JP2006041523A - フッ素利用率を増大させる方法 - Google Patents
フッ素利用率を増大させる方法 Download PDFInfo
- Publication number
- JP2006041523A JP2006041523A JP2005212544A JP2005212544A JP2006041523A JP 2006041523 A JP2006041523 A JP 2006041523A JP 2005212544 A JP2005212544 A JP 2005212544A JP 2005212544 A JP2005212544 A JP 2005212544A JP 2006041523 A JP2006041523 A JP 2006041523A
- Authority
- JP
- Japan
- Prior art keywords
- source
- fluorine
- process gas
- hydrogen
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 119
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 title claims abstract description 60
- 229910052731 fluorine Inorganic materials 0.000 title claims abstract description 59
- 239000011737 fluorine Substances 0.000 title claims abstract description 59
- 230000008569 process Effects 0.000 claims abstract description 92
- 239000007789 gas Substances 0.000 claims abstract description 76
- 239000001257 hydrogen Substances 0.000 claims abstract description 39
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 39
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 38
- 239000000463 material Substances 0.000 claims description 46
- 230000004913 activation Effects 0.000 claims description 15
- 239000000203 mixture Substances 0.000 claims description 8
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 claims description 6
- 230000003213 activating effect Effects 0.000 claims description 5
- 239000003701 inert diluent Substances 0.000 claims description 5
- 239000000376 reactant Substances 0.000 claims description 4
- 229910052734 helium Inorganic materials 0.000 claims description 3
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 claims description 2
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 claims 1
- 239000010436 fluorite Substances 0.000 claims 1
- MDQRDWAGHRLBPA-UHFFFAOYSA-N fluoroamine Chemical compound FN MDQRDWAGHRLBPA-UHFFFAOYSA-N 0.000 claims 1
- 239000000758 substrate Substances 0.000 abstract description 5
- 238000004140 cleaning Methods 0.000 description 23
- 229910004298 SiO 2 Inorganic materials 0.000 description 20
- 238000005530 etching Methods 0.000 description 16
- 238000001994 activation Methods 0.000 description 13
- 238000000151 deposition Methods 0.000 description 13
- 238000006243 chemical reaction Methods 0.000 description 11
- 238000012360 testing method Methods 0.000 description 11
- 230000008021 deposition Effects 0.000 description 10
- 238000011065 in-situ storage Methods 0.000 description 9
- 239000000126 substance Substances 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 7
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000000047 product Substances 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 229910052723 transition metal Inorganic materials 0.000 description 6
- 150000003624 transition metals Chemical class 0.000 description 6
- 239000000654 additive Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 4
- 230000000996 additive effect Effects 0.000 description 4
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 238000007725 thermal activation Methods 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 230000003197 catalytic effect Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 239000002131 composite material Substances 0.000 description 3
- 125000001153 fluoro group Chemical group F* 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 238000002835 absorbance Methods 0.000 description 2
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 2
- 125000004429 atom Chemical group 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 2
- -1 for example Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000000678 plasma activation Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- UHEQNBYNVROVAY-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoro-2-(1,1,1,3,3,3-hexafluoropropan-2-ylperoxy)propane Chemical compound FC(F)(F)C(OOC(C(F)(F)F)C(F)(F)F)C(F)(F)F UHEQNBYNVROVAY-UHFFFAOYSA-N 0.000 description 1
- SFFUEHODRAXXIA-UHFFFAOYSA-N 2,2,2-trifluoroacetonitrile Chemical compound FC(F)(F)C#N SFFUEHODRAXXIA-UHFFFAOYSA-N 0.000 description 1
- MTLOQUGSPBVZEO-UHFFFAOYSA-N 2,2,3,3,3-pentafluoropropanenitrile Chemical compound FC(F)(F)C(F)(F)C#N MTLOQUGSPBVZEO-UHFFFAOYSA-N 0.000 description 1
- UEOZRAZSBQVQKG-UHFFFAOYSA-N 2,2,3,3,4,4,5,5-octafluorooxolane Chemical compound FC1(F)OC(F)(F)C(F)(F)C1(F)F UEOZRAZSBQVQKG-UHFFFAOYSA-N 0.000 description 1
- SYNPRNNJJLRHTI-UHFFFAOYSA-N 2-(hydroxymethyl)butane-1,4-diol Chemical compound OCCC(CO)CO SYNPRNNJJLRHTI-UHFFFAOYSA-N 0.000 description 1
- RRKMWVISRMWBAL-UHFFFAOYSA-N 3,4-dihydroxy-5-methoxybenzaldehyde Chemical compound COC1=CC(C=O)=CC(O)=C1O RRKMWVISRMWBAL-UHFFFAOYSA-N 0.000 description 1
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- BTNNPSLJPBRMLZ-UHFFFAOYSA-N benfotiamine Chemical compound C=1C=CC=CC=1C(=O)SC(CCOP(O)(O)=O)=C(C)N(C=O)CC1=CN=C(C)N=C1N BTNNPSLJPBRMLZ-UHFFFAOYSA-N 0.000 description 1
- 238000005422 blasting Methods 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 238000004523 catalytic cracking Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 231100000481 chemical toxicant Toxicity 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- VMKJWLXVLHBJNK-UHFFFAOYSA-N cyanuric fluoride Chemical compound FC1=NC(F)=NC(F)=N1 VMKJWLXVLHBJNK-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- REAOZOPEJGPVCB-UHFFFAOYSA-N dioxygen difluoride Chemical compound FOOF REAOZOPEJGPVCB-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- AQYSYJUIMQTRMV-UHFFFAOYSA-N hypofluorous acid Chemical class FO AQYSYJUIMQTRMV-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- SPIJXNSIVIGRKN-UHFFFAOYSA-N n,n,1,1,1-pentafluoromethanamine Chemical compound FN(F)C(F)(F)F SPIJXNSIVIGRKN-UHFFFAOYSA-N 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 1
- ZEIYBPGWHWECHV-UHFFFAOYSA-N nitrosyl fluoride Chemical compound FN=O ZEIYBPGWHWECHV-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000002186 photoactivation Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000005201 scrubbing Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 239000013076 target substance Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000003440 toxic substance Substances 0.000 description 1
- JRHMNRMPVRXNOS-UHFFFAOYSA-N trifluoro(methoxy)methane Chemical compound COC(F)(F)F JRHMNRMPVRXNOS-UHFFFAOYSA-N 0.000 description 1
- PGOMVYSURVZIIW-UHFFFAOYSA-N trifluoro(nitroso)methane Chemical compound FC(F)(F)N=O PGOMVYSURVZIIW-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B08—CLEANING
- B08B—CLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
- B08B7/00—Cleaning by methods not provided for in a single other subclass or a single group in this subclass
- B08B7/0035—Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Materials Engineering (AREA)
- Health & Medical Sciences (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Optics & Photonics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Hydrogen, Water And Hydrids (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【課題】 基材から望ましくない物質を除去するのに用いられるプロセスガスのフッ素利用率を増大させる方法を提供する。
【解決手段】 モル比が約0.01〜約0.99の水素源/フッ素源を提供するのに十分な量において水素源をプロセスガスに添加する工程を含む、フッ素源を含むプロセスガスのフッ素利用率を増大させる方法が提供される。
【選択図】 図1
【解決手段】 モル比が約0.01〜約0.99の水素源/フッ素源を提供するのに十分な量において水素源をプロセスガスに添加する工程を含む、フッ素源を含むプロセスガスのフッ素利用率を増大させる方法が提供される。
【選択図】 図1
Description
化学気相成長(CVD)及び原子層堆積(ALD)技術は、例えば、半導体素子に用いられるシリコンウェハを含む様々な基材上に不揮発性固体膜を形成するために用いられている。堆積技術の更なる例としては、大気圧化学気相成長(APCVD)、プラズマ化学気相成長(PECVD)、減圧化学気相成長(LPCVD)、物理気相成長(PVD)、有機金属化学気相成長(MOCVD)、原子層化学気相成長(ALCVD)、物理気相成長(PVD)、スパッタコーティング、及びエピタキシャル堆積が挙げられる。これらの堆積技術は、典型的には、物品の表面上で一定の条件(即ち、温度、圧力、雰囲気など)のもと反応して薄膜又はコーティングを形成する化学試薬又は前駆体の気相混合物をプロセスチャンバーに導入することを伴う。
これらの堆積技術を用いることに関連する1つの欠点は、プロセスチャンバーの表面及びその中に含まれる装備品上に望ましくない物質を堆積又は蓄積させることである。例えば、PECVD法においては、基材に所望の材料のコーティングを与えるだけでなく、プラズマが材料と反応してプロセスチャンバー内の他の表面に材料を付着させる。同様に、当技術分野で用いられるプラズマエッチ技術もまた、表面及びチャンバー内に含まれる装備品上にエッチング材料とガス放電からの副産物を堆積させる。これらの物質の定期的な除去が粒子の形成を防ぎかつ安定なチャンバー操作を維持するのに必要とされる。これら物質又は堆積及び/又はエッチング残留物の組成は、プロセスチャンバー内で堆積及び/又はエッチングされる膜に応じて変化する場合があるが、典型的には、例えば、Si、SiO2、窒化ケイ素(Si3N4)、SiOxNyHz、又は他の誘電体、有機ケイ素材料、有機ケイ酸塩複合材料、W及びTaなどの遷移金属、WNx及びTaNxなどの遷移金属二元化合物、高分子材料、並びにWNxCyなどの遷移金属三元化合物を含むことができる。これら物質の多くは化学的に安定な傾向にあり、堆積及び/又はエッチングサイクルを繰り返した後には除去することが困難になる。
これらの物質はまた、エッチング及び堆積プロセスの際、チャンバー壁上に望ましくなく蓄積することがあるため、プロセスチャンバーは定期的に洗浄する必要がある。プロセスチャンバーは、典型的には、スクラビング又はブラスチングなどの機械的手段によって洗浄されている。ウエット洗浄も同様に、機械的手段に加えて又はその代わりにチャンバー洗浄に用いることができる。上記の方法は、プロセスチャンバーの中断時間が増大すること、非常に腐食性又は有毒性の化学物質を取り扱う必要があること、及び組み立てや取り外しを繰り返すことでプロセスチャンバーの摩耗が増大することを含むがそれらに限定されない種々の理由のために望ましくない。
乾式チャンバー洗浄法は、以下の利点、即ち、プロセスチャンバーの真空度を維持し、プロセスチャンバーの中断時間を最小限に抑え、及び/又は生産性を向上させるという利点を提供するため、機械的及び/又はウエット洗浄技術に代わる魅力的な方法である。典型的な乾式チャンバー洗浄法の際には、反応性化学種は、原位置プラズマ(in-situ plasma)、遠隔プラズマ、熱加熱、及び紫外線(UV)処理などの1つ又は複数の活性化手段を用いて前駆体から生成される。反応性化学種は、プロセスチャンバー内の堆積及び/又はエッチング残留物と反応して揮発性化学種を形成する。真空条件下で、揮発性化学種がチャンバーから除去され、結果としてチャンバーが洗浄される。堆積材料又はエッチング残留物の大部分は、分子又は原子のフッ素と反応させることにより揮発させることができる。フッ素原子又は分子の最も直接的な供給源はフッ素(F2)ガスそれ自体である。しかしながら、F2単独では危険であり、取り扱いが困難である。したがって、実際には、NF3、SF6などのフッ素含有化合物、又は例えば、CxFyなどのパーフルオロカーボンとO2の混合物を用いることが好ましい。これらのフッ素含有化合物から必要なフッ素原子又は分子を生成するため、プラズマ、加熱又はUV処理などの活性化工程が必要とされる。
フッ素含有ガスは、堆積又はエッチング残留物を除去する上で効果的である。しかし、これらフッ素含有ガスのフッ素利用率は、特に遠隔プラズマ下流化学洗浄に関してはしばしば低い。本明細書で規定される「フッ素利用率」という用語は、洗浄されるべき材料と反応することによって揮発性化学種を形成するのに用いられるフッ素の割合を言う。
洗浄ガスのフッ素利用率を改善し、それによって堆積及び/又はエッチング残留物などの物質の除去速度を向上させる方法が本明細書で記載される。
1つの実施態様においては、モル比が約0.01〜約0.99の水素源/フッ素源を提供するのに十分な量において水素源をプロセスガスに添加する工程を含む、フッ素源を含むプロセスガスのフッ素利用率を増大させる方法が提供される。
別の態様においては、水素源/フッ素源のモル比が約0.01〜約0.99である水素源とフッ素源を含む群から選択された少なくとも1つの反応体を含むプロセスガスを提供する工程;該プロセスガスを少なくとも1つのエネルギー源を用いて活性化し反応性化学種を形成する工程;該反応性化学種と物質を接触させて少なくとも1つの揮発性生成物を形成する工程;及び該少なくとも1つの揮発性生成物をプロセスチャンバーから除去する工程を含む、物質で少なくとも部分的に被覆されたプロセスチャンバー表面から物質を除去する方法が提供される。
更なる態様においては、水素源/フッ素源のモル比が約0.01〜約0.99である水素源とフッ素源を含む群から選択された少なくとも1つの反応体を含むプロセスガスを提供する工程;該プロセスガスを少なくとも1つのエネルギー源を用いて活性化し反応性化学種を形成する活性化工程であって、該活性化工程の少なくとも一部がプロセスチャンバーの外部で行われる工程;該反応性化学種と物質を接触させて少なくとも1つの揮発性生成物を形成する工程;及び該少なくとも1つの揮発性生成物をプロセスチャンバーから除去する工程を含む、物質で少なくとも部分的に被覆されたプロセスチャンバー表面から物質を除去する方法が提供される。
モル比が約0.1〜約0.3の水素/三フッ化窒素を提供するのに十分な量において水素をプロセスガスに添加する工程を含む、三フッ化窒素を含むプロセスガスのフッ素利用率を増大させる方法が提供される。
洗浄ガスのフッ素利用率を改善し、それによって堆積及び/又はエッチング残留物などの物質の除去速度を向上させる方法が本明細書で記載される。三フッ化窒素(NF3)などのフッ素源は、通常、エレクトロニクス産業やガラス産業においてチャンバーを洗浄するのに用いられる。現在、そのフッ素利用率は相対的に低い。そのフッ素利用率を向上させることが非常に望ましい。洗浄化学成分にNF3又は他のフッ素源を用いる実施態様については、より高いフッ素利用率によって設備の所有コスト(CoO)が低減されるであろう。
本明細書で記載される方法は、一定量の水素源をプロセスガスに添加することによってNF3などのフッ素源のフッ素利用率を改善する。水素源とフッ素源を約0.01〜約0.99、約0.01〜0.6又は約0.1〜約0.3のモル比で組み合わせることにより、Si、SiO2、WNxCy、高分子化合物、有機ケイ酸塩複合物、及び/又はハロゲン化物を含む種々の物質のエッチング/除去速度を向上させることが可能であることは驚くべきことであり、予期せぬことであった。この提案は、フッ素系のエッチ/洗浄化学における従来の常識に反するものである。従来の常識では、フッ素含有プラズマへの水素源の添加は、反応性フッ素原子を減少させ、それによってエッチ/洗浄プロセスの有効性を低下させる可能性のあるHFの形成を招く場合があるとされている。
本明細書で開示される方法は、プロセスチャンバー内の表面の少なくとも一部から種々の物質を洗浄するのに有用である。除去されるべき物質の限定的でない例としては、Si、SiO2、窒化ケイ素(Si3N4)、SiOxNyHz、又は他の誘電体、有機ケイ素材料、有機ケイ酸塩複合材料、W及びTaなどの遷移金属、高分子材料、WNx及びTaNxなどの遷移金属二元化合物、並びにWNxCyなどの遷移金属三元化合物が挙げられる。この物質は、物質と反応して揮発性生成物を形成するのに十分な条件下でそれを反応性化学種と接触させることにより、プロセスチャンバー内の1つ又は複数の表面及びその中に含まれる任意の装備品から除去することが可能である。本明細書で用いられる「揮発性生成物」という用語は、プロセスチャンバー内の物質と、水素源とフッ素源を含むプロセスガスを活性化することにより形成される反応性化学種との間の反応における反応生成物及び副産物に関する。
本明細書で開示される方法は、プロセスチャンバーの内側及びその中に含まれる種々の装備品、例えば、流体入口、流体出口、シャワーヘッド、加工物台などしかしそれらに限定されない装備品の表面からそれらに対する損傷を最小限に抑えつつ種々の物質を洗浄するのに有用である。例示的なプロセスチャンバーとしては、化学気相成長(CVD)反応器、有機金属化学気相成長(MOCVD)反応器、原子層堆積(ALD)反応器、原子層化学気相成長(ALCVD)反応器、物理気相成長(PVD)反応器、及びスパッタコーティング反応器が挙げられる。チャンバーの表面及びその中に含まれる装備品は、チタン、アルミニウム、ステンレス鋼、ニッケル、又はそれらを含む合金などの金属、及び/又はセラミック、例えば、石英又はAl2O3などの絶縁材料を含む種々の異なる材料から構成することができる。
プロセスガスは、水素源、フッ素源、及び任意選択で少なくとも1つの不活性希釈ガスを含む。水素源/フッ素源のモル比は、約0.01〜約0.99、約0.1〜約0.6又は約0.1〜約0.3の範囲にある。モル比は、プロセスガス中の水素源とフッ素源の選択に応じて変わる場合があることが理解される。プロセスガスが水素源及びフッ素源としてそれぞれH2及びNF3を含む場合のような1つの特定の実施態様においては、水素源/フッ素源の好ましいモル比は、約0.1〜約0.3の範囲にあることができる。しかしながら、プロセスガスがH2及びF2を含む場合のような他の実施態様においては、モル比はより広く、例えば、約0.01〜約0.99の範囲にあることができる。使用することのできる水素源の例としては、水素(H2)、アンモニア(NH3)、メタン(CH4)、トリフルオロメタン(CHF3)、フルオロメタン(CH3F)、CH2F2、及びそれらの混合物が挙げられる。プロセスガス中に存在する水素源の量は、プロセスガスの合計体積に基づいて0.1〜99.9vol%、0.1〜50vol%又は0.1〜40vol%の範囲にあることができる。
プロセスガスはフッ素源を含む。本明細書で記載されるプロセスに好適なフッ素源の例としては、HF(フッ化水素酸)、NF3(三フッ化窒素)、SF6(六フッ化硫黄)、FNO(フッ化ニトロシル)、C3F3N3(フッ化シアヌル)、C2F2O2(フッ化オキサリル)、パーフルオロカーボン、例えば、CF4、C2F6、C3F8、C4F8など、ハイドロフルオロカーボン、例えば、CHF3及びC3F7Hなど、ハイドロフルオロエーテル(例えば、CF3−O−CH3(メチルトリフルオロメチルエーテル))、オキシフルオロカーボン、例えば、C4F8O(パーフルオロテトラヒドロフラン)など、酸素化ハイドロフルオロカーボン、例えば、CH3OCF3(HFE−143a)、ハイポフルオライト、例えば、CF3−OF(フルオロキシトリフルオロメタン(FTM))及びFO−CF2−OF(ビス−ジフルオロキシ−ジフルオロメタン(BDM))など、フルオロパーオキシド、例えば、CF3−O−O−CF3(ビス−トリフルオロ−メチル−パーオキシド(BTMP))、F−O−O−Fなど、フルオロトリオキシド、例えば、CF3−O−O−O−CF3など、フルオロアミン、例えば、CF5N(パーフルオロメチルアミン)、フルオロニトリル、例えば、C2F3N(パーフルオロアセトニトリル)、C3F6N(パーフルオロプロピオニトリル)、並びにCF3NO(トリフルオロニトロシルメタン)及びCOF2(フッ化カルボニル)が挙げられる。フッ素源は、通常のボンベ、安全搬送システム、真空搬送システム、及び/又は使用時にフッ素源を発生させる固体又は液体系発生器などしかしそれらに限定されない種々の手段により搬送することができる。プロセスガス中に存在するフッ素源の量は、プロセスガスの合計体積に基づいて0.1vol%〜99.9vol%又は25vol%〜99vol%の範囲にあることができる。
幾つかの実施態様においては、1つ又は複数の不活性希釈ガスをプロセスガスに添加することができる。不活性希釈ガスの例としては、窒素、CO2、ヘリウム、ネオン、アルゴン、クリプトン、及びキセノンが挙げられる。プロセスガス中に存在できる不活性希釈ガスの量は、プロセスガスの合計体積に基づいて0vol%〜99.9vol%の範囲にあることができる。
幾つかの好ましい実施態様においては、プロセスガスは、酸素又は酸素源が実質的にない。酸素源の例としては、酸素(O2)、オゾン(O3)、一酸化炭素(CO)、二酸化炭素(CO2)、二酸化窒素(NO2)、亜酸化窒素(N2O)、一酸化窒素(NO)、水(H2O)及びそれらの混合物が挙げられる。
プロセスガスは、原位置プラズマ、遠隔プラズマ、遠隔熱/触媒活性化、原位置熱加熱(in-situ thermal heating)、電子付着、及び光活性化などしかしそれらに限定されない1つ又は複数のエネルギー源によって活性化され、反応性化学種を形成することができる。これらの供給源は、単独で又は組み合わせて用いることができる。
熱又はプラズマ活性化及び/又は増強は、幾つかの物質のエッチング及び洗浄の効力に有意に影響を与えることができる。熱加熱活性化においては、プロセスチャンバー及びその中に含まれる装備品は、抵抗加熱器又は強力なランプのいずれかによって加熱される。プロセスガスは、反応性ラジカル及び原子に熱分解され、続いて除去されるべき物質が揮発される。さらに、温度を上げることによって、反応活性化エネルギー障壁を乗り越えかつ反応速度を高めるためのエネルギー源を提供することができる。熱活性化について、基材は、少なくとも100℃、少なくとも300℃、又は少なくとも500℃に加熱することができる。圧力範囲は、一般には10mTorr〜760Torr、又は1Torr〜760Torrである。
原位置プラズマなどの原位置活性化源がプロセスガスを活性化するのに用いられる実施態様においては、プロセスガス中に含まれる水素及びフッ素ガス分子は、放電により分解して反応性イオン及びラジカルなどの反応性化学種を形成することができる。フッ素含有イオン及びラジカルは、望ましくない物質と反応して真空ポンプによりプロセスチャンバーから除去できる揮発性化学種を形成することができる。原位置プラズマ活性化については、少なくとも0.2W/cm2、少なくとも0.5W/cm2、又は少なくとも1W/cm2のRF出力密度を有する13.56MHzのRF電源でプラズマを発生させることができる。同様に、13.56MHzよりも低いRF周波数で原位置プラズマを操作して、接地したチャンバー壁及び/又はその中に含まれる装備品の洗浄を強化することができる。操作圧力は、一般には、2.5mTorr〜100Torr、5mTorr〜50Torr、又は10mTorr〜20Torrの範囲にある。任意選択で、さらに、熱及びプラズマ増強を組み合わせることができる。
幾つかの実施態様においては、遠隔プラズマ源、遠隔熱活性化源、遠隔触媒活性化源、又は熱及び触媒活性化を組み合わせた供給源などしかしそれらに限定されない遠隔活性化源が、揮発性生成物を生成するため原位置プラズマに加えて用いられる。遠隔プラズマ洗浄においては、プロセスガスを堆積チャンバーの外部で活性化して反応性化学種を形成し、望ましくない物質を揮発するためにこの反応性化学種をプロセスチャンバーに導入する。RF又はマイクロ波源のいずれでも、遠隔プラズマ源を発生することができる。加えて、遠隔プラズマ発生反応性化学種と除去されるべき物質との反応は、反応器を加熱することにより活性化/向上させることができる。遠隔プラズマ発生反応性化学種と除去されるべき物質との反応は、プロセスガス中に含まれる水素及びフッ素含有源を解離するのに十分な温度に反応器を加熱することにより活性化及び/又は向上させることができる。除去されるべき物質との洗浄反応を活性化するのに必要とされる具体的な温度は、プロセスガスの製法に依存している。
あるいはまた、洗浄分子を強力な紫外線(UV)放射により解離して反応性ラジカル及び原子を形成することができる。UV放射はまた、望ましくない材料における強い化学結合の切断を促進するため、除去されるべき物質の除去速度を高めることができる。
遠隔熱活性化においては、プロセスガスは、まず、プロセスチャンバー外部の加熱領域に流される。ここで、ガスは、洗浄されるべきチャンバー外部の容器内で高温に接触することにより解離する。その代わりとなるアプローチとしては、プロセスガスを解離するための触媒変換器の使用、又はプロセスガス中の水素及びフッ素源の活性化を容易にするための熱加熱と接触分解の組み合わせの使用が挙げられる。
他の実施態様においては、プロセスガス中の水素及びフッ素源の分子は、光子への強力な曝露により解離して反応性化学種を形成することができる。例えば、紫外線、深紫外線及び真空紫外線放射は、除去されるべき物質における強い化学結合を切断して、プロセスガス中の水素及びフッ素源を解離し、それによって望ましくない物質の除去速度を高めることを促進させることができる。
洗浄プロセスに対する活性化及び向上の他の手段もまた用いることができる。例えば、光子誘起化学反応を遠隔又は原位置のいずれかで使用して反応性化学種を発生させ、エッチング/洗浄反応を向上させることができる。さらに、洗浄ガスの接触転化を使用してプロセスチャンバーを洗浄するための反応性化学種を形成することができる。
本明細書で記載される方法は、以下の例を参照してより詳細に説明されるが、本方法は、それらに限定されるとは見なされないと解されるべきである。
以下は、水素源を特定のモル比でフッ素源に添加することにより、Si、SiO2及びWNxCyを含む種々の物質のエッチ速度がどのように向上されるかを示す実験例である。
図1は実験構成の概略図である。MKS製の遠隔プラズマ発生器(Model Astron AX7561)を反応器チャンバーの上部に直接取り付けた。Astronの出口と試験試料との距離は約6インチである。図1は実験構成の概略図を示す。遠隔プラズマ発生器10(マサチューセッツ州、ウィルミントンのMKSインスツルメンツから入手可能なMKS ASTRON)を反応器12の上部に取り付けた。プラズマ発生器10の出口14と試験試料16との距離は約6インチ(15.25cm)であった。試料16を台座加熱器18の表面に置いた。加熱器を用いて様々な基材温度を得た。配管20を介してプラズマ発生器10にプロセスガスを供給した。すべての試験において、チャンバー圧力をポンプ用ポート22の助けを借りて4Torrに保持した。
各実験運転について、試験試料をプロセスチャンバー内の支持プレート上に置いた。次いで、チャンバーを排気した。プロセスガスをチャンバーに供給し、チャンバー圧力を安定させた。次いで、反応性ガスを遠隔プラズマにより活性化した。詳細な実験手順を以下の通り記載する。
1.チャンバーをベントして前面ドアを開け、
2.試験試料を装填して前面ドアを閉め、
3.チャンバーを排気してベースラインの真空圧力に到達させ、
4.アルゴン(Ar)を導入して圧力を安定させ、
5.遠隔プラズマ電源のスイッチを入れ、
6.プロセスガスを導入し、
7.測定時間の後、遠隔プラズマ電源のスイッチを切り、
8.プロセス流を止めてチャンバーを排気し、
9.チャンバーをベントして分析のための試験試料を回収する。
1.チャンバーをベントして前面ドアを開け、
2.試験試料を装填して前面ドアを閉め、
3.チャンバーを排気してベースラインの真空圧力に到達させ、
4.アルゴン(Ar)を導入して圧力を安定させ、
5.遠隔プラズマ電源のスイッチを入れ、
6.プロセスガスを導入し、
7.測定時間の後、遠隔プラズマ電源のスイッチを切り、
8.プロセス流を止めてチャンバーを排気し、
9.チャンバーをベントして分析のための試験試料を回収する。
WNxCy及びSiO2について、エッチ速度は、遠隔プラズマ処理前後での試料厚さの差により測定した。WNxCyの厚さはプロフィルメータにより測定し、SiO2の厚さは反射率計により測定した。Siについて、エッチ速度は、遠隔プラズマ処理前後での試料の質量変化により測定した。1インチ平方のWNxCyピース、4インチのブランクSiウェハ、及び1μmのSiO2膜で被覆された4インチのシリコンウェハを試験試料として用いた。
[例1:シリコン(Si)材料の遠隔プラズマ洗浄]
水素源の添加の有無による遠隔プラズマ活性化プロセスガスの効果を説明する実験を、シリカ(Si)試験試料(即ち、4インチのブランクSiウェハ)を用いて本例で説明する。実験構成は上記のものと同じである。表1は、Siを対象物質として用いた場合の実験結果を記載している。天秤を用いて遠隔プラズマ処理前後でのSi試験試料の質量変化を調べた。Siの除去速度は、遠隔プラズマ処理の単位時間当りのSi質量損失として規定される。表1は、Siの除去がH2の添加により相当に増加、即ち、125%増加したことを示している。
水素源の添加の有無による遠隔プラズマ活性化プロセスガスの効果を説明する実験を、シリカ(Si)試験試料(即ち、4インチのブランクSiウェハ)を用いて本例で説明する。実験構成は上記のものと同じである。表1は、Siを対象物質として用いた場合の実験結果を記載している。天秤を用いて遠隔プラズマ処理前後でのSi試験試料の質量変化を調べた。Siの除去速度は、遠隔プラズマ処理の単位時間当りのSi質量損失として規定される。表1は、Siの除去がH2の添加により相当に増加、即ち、125%増加したことを示している。
[例2.二酸化ケイ素(SiO2)材料の遠隔プラズマ洗浄]
実験構成は上記のものと同じである。図2はSiO2のエッチ速度に関するH2/NF3モル比の効果のグラフによる説明を提供する。Ar50sccm、NF350sccm、及びチャンバー圧力4Torrの条件において、H2/NF3比の増加とともにSiO2のエッチ速度が増加した。図2は、より高いH2/NF3比ではエッチ速度の増加がそれほど顕著でないことをさらに示している。H2/NF3モル比が0.4に達したときに、遠隔プラズマを消した。その結果として、H2/NF3比が0.4でエッチングは全くなかった。
実験構成は上記のものと同じである。図2はSiO2のエッチ速度に関するH2/NF3モル比の効果のグラフによる説明を提供する。Ar50sccm、NF350sccm、及びチャンバー圧力4Torrの条件において、H2/NF3比の増加とともにSiO2のエッチ速度が増加した。図2は、より高いH2/NF3比ではエッチ速度の増加がそれほど顕著でないことをさらに示している。H2/NF3モル比が0.4に達したときに、遠隔プラズマを消した。その結果として、H2/NF3比が0.4でエッチングは全くなかった。
図3(a)及び3(b)は、それぞれH2の添加がない場合とある場合についてSiO2エッチングプロセスの際に採取された排出ガス流のFTIRスペクトルを与えている。図3(a)と図3(b)を比較すると、H2の添加によってHFが生成し、SiF4吸光度の強度が高くなることがわかる。HFの生成に関する1つの考えられる反応経路は、H・+F2→HF+F・である。この点について、H・ラジカルと再結合F2分子との反応を通してより多くのF・ラジカルが生成されるため、NF3の利用率を高めることができると考えられる。図3(a)と比較した図3(b)のSiF4吸光度の強度増加は、H2の添加によってSiO2のエッチ速度とNF3の利用率が高められることをさらに確認するものである。
表2は、3つの異なるNF3流量、50sccm、100sccm及び150sccmでかつH2の添加がある場合とない場合に関するSiO2エッチングの種々の実験結果を与えている。表2の結果は、SiO2エッチングに関するH2添加の効果がより低い流量範囲でより顕著であることを確認するものである。
NF3とH2の間の特有の相互作用を確認するため、3つの他のガス、Ar、He及びO2を、それぞれNF3に対する添加ガスとしてSiO2エッチングに関するその効果を試験した。表3は、異なる添加ガスを用いたSiO2エッチ速度の変化を表にしている。同じNF3流量(100sccm)、チャンバー圧力(4Torr)及び添加ガス/NF3モル比では、H2がSiO2のエッチ速度を有意に増加させる唯一の添加ガスであることが見出された。対照的に、0.15のO2/NF3比でO2を添加すると、SiO2のエッチ速度が半分に低下した。表3の結果は、H2の添加がエッチング速度を高めることを示している。
[例3:三元炭化窒化タングステン(WNxCy)の遠隔プラズマ洗浄]
同じAr及びNF3流量(ArとNF3の両方について50sccm)、同じ反応器チャンバー圧力(4Torr)及び同じエッチ時間(2分)で、H2流量を0から15sccmに逐次変化させた。表4に異なるH2流量でのWNxCyのエッチ速度を示す。10sccmH2又は15sccmH2いずれの添加によっても、WNxCy層全体がエッチング除去された。加えて、相当量のSiも同様に両条件でエッチングされた。すべての試験条件の中で、膜厚はH2/NF3比0.2で最も減少したが、このことはWNxCy材料のエッチングに関して最適のH2/NF3モル比が存在することを示している。
同じAr及びNF3流量(ArとNF3の両方について50sccm)、同じ反応器チャンバー圧力(4Torr)及び同じエッチ時間(2分)で、H2流量を0から15sccmに逐次変化させた。表4に異なるH2流量でのWNxCyのエッチ速度を示す。10sccmH2又は15sccmH2いずれの添加によっても、WNxCy層全体がエッチング除去された。加えて、相当量のSiも同様に両条件でエッチングされた。すべての試験条件の中で、膜厚はH2/NF3比0.2で最も減少したが、このことはWNxCy材料のエッチングに関して最適のH2/NF3モル比が存在することを示している。
10 遠隔プラズマ発生器
12 反応器
14 出口
16 試験試料
18 台座加熱器
20 配管
22 ポンプ用ポート
12 反応器
14 出口
16 試験試料
18 台座加熱器
20 配管
22 ポンプ用ポート
Claims (10)
- モル比が約0.01〜約0.99の水素源/フッ素源を提供するのに十分な量において水素源をプロセスガスに添加する工程を含む、フッ素源を含むプロセスガスのフッ素利用率を増大させる方法。
- 水素源/フッ素源のモル比が約0.01〜約0.99である水素源とフッ素源を含む群から選択された少なくとも1つの反応体を含むプロセスガスを提供する工程;
該プロセスガスを少なくとも1つのエネルギー源を用いて活性化し反応性化学種を形成する工程;
該反応性化学種と物質を接触させて少なくとも1つの揮発性生成物を形成する工程;及び
該少なくとも1つの揮発性生成物をプロセスチャンバーから除去する工程
を含む、物質で少なくとも部分的に被覆されたプロセスチャンバー表面から物質を除去する方法。 - 前記フッ素源が、F2、HF、NF3、SF6、COF2、NOF、C3F3N3、C2F2O2、パーフルオロカーボン、ハイドロフルオロカーボン、オキシフルオロカーボン、酸素化ハイドロフルオロカーボン、ハイポフルオライト、ハイドロフルオロエーテル、フルオロパーオキシド、フルオロトリオキシド、フルオロアミン、フルオロニトリル、及びそれらの混合物から選択された少なくとも1つを含む、請求項2に記載の方法。
- 前記水素源が、H2、NH3、CH4、CHF3、CH2F2、CH3F、及びそれらの混合物から選択された少なくとも1つを含む、請求項2に記載の方法。
- 前記プロセスガスが、N2、He、Ne、Kr、Xe、Ar、及びそれらの混合物から選択された少なくとも1つの不活性希釈ガスをさらに含む、請求項2に記載の方法。
- 前記少なくとも1つのエネルギー源が遠隔プラズマ源である、請求項2に記載の方法。
- 前記プラズマが、0.5〜50Torrのプラズマ圧力で発生される、請求項6に記載の方法。
- 前記プラズマ発生器が、100〜10,000WのRF電力を有する、請求項6に記載の方法。
- 水素源/フッ素源のモル比が約0.01〜約0.99である水素源とフッ素源を含む群から選択された少なくとも1つの反応体を含むプロセスガスを提供する工程;
該プロセスガスを少なくとも1つのエネルギー源を用いて活性化し反応性化学種を形成する活性化工程であって、該活性化工程の少なくとも一部がプロセスチャンバーの外部で行われる工程;
該反応性化学種と物質を接触させて少なくとも1つの揮発性生成物を形成する工程;及び
該少なくとも1つの揮発性生成物をプロセスチャンバーから除去する工程
を含む、物質で少なくとも部分的に被覆されたプロセスチャンバー表面から物質を除去する方法。 - モル比が約0.1〜約0.3の水素/三フッ化窒素を提供するのに十分な量において水素をプロセスガスに添加する工程を含む、三フッ化窒素を含むプロセスガスのフッ素利用率を増大させる方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/897,811 US20060017043A1 (en) | 2004-07-23 | 2004-07-23 | Method for enhancing fluorine utilization |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2006041523A true JP2006041523A (ja) | 2006-02-09 |
Family
ID=35285509
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005212544A Pending JP2006041523A (ja) | 2004-07-23 | 2005-07-22 | フッ素利用率を増大させる方法 |
Country Status (8)
Country | Link |
---|---|
US (1) | US20060017043A1 (ja) |
EP (1) | EP1619269A3 (ja) |
JP (1) | JP2006041523A (ja) |
KR (1) | KR100760891B1 (ja) |
CN (1) | CN1724706A (ja) |
IL (1) | IL169682A0 (ja) |
SG (2) | SG136950A1 (ja) |
TW (1) | TWI263271B (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008235562A (ja) * | 2007-03-20 | 2008-10-02 | Taiyo Nippon Sanso Corp | プラズマcvd成膜装置のクリーニング方法 |
JP2009152539A (ja) * | 2007-11-30 | 2009-07-09 | National Institute Of Advanced Industrial & Technology | 半導体デバイスの連続製造方法及びチャンバー |
JP2015053501A (ja) * | 2009-12-23 | 2015-03-19 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | シリコン含有膜の平滑SiConiエッチング |
JP2015153941A (ja) * | 2014-02-17 | 2015-08-24 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
Families Citing this family (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4272486B2 (ja) * | 2003-08-29 | 2009-06-03 | 東京エレクトロン株式会社 | 薄膜形成装置及び薄膜形成装置の洗浄方法 |
US20060090773A1 (en) * | 2004-11-04 | 2006-05-04 | Applied Materials, Inc. | Sulfur hexafluoride remote plasma source clean |
US20090047447A1 (en) * | 2005-08-02 | 2009-02-19 | Sawin Herbert H | Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor |
CN101238238A (zh) * | 2005-08-02 | 2008-08-06 | 麻省理工学院 | 使用氟化硫从cvd/pecvd腔的内部除去表面沉积物的远程腔方法 |
TW200722370A (en) * | 2005-08-26 | 2007-06-16 | Showa Denko Kk | Method and apparatus for producing nitrogen trifluoride |
US7368394B2 (en) * | 2006-02-27 | 2008-05-06 | Applied Materials, Inc. | Etch methods to form anisotropic features for high aspect ratio applications |
US7759249B2 (en) * | 2006-03-28 | 2010-07-20 | Tokyo Electron Limited | Method of removing residue from a substrate |
US20070284541A1 (en) * | 2006-06-08 | 2007-12-13 | Vane Ronald A | Oxidative cleaning method and apparatus for electron microscopes using UV excitation in a oxygen radical source |
US8507879B2 (en) * | 2006-06-08 | 2013-08-13 | Xei Scientific, Inc. | Oxidative cleaning method and apparatus for electron microscopes using UV excitation in an oxygen radical source |
WO2008012665A1 (en) * | 2006-07-27 | 2008-01-31 | L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method of cleaning film forming apparatus and film forming apparatus |
US20080142039A1 (en) * | 2006-12-13 | 2008-06-19 | Advanced Technology Materials, Inc. | Removal of nitride deposits |
US20100151677A1 (en) * | 2007-04-12 | 2010-06-17 | Freescale Semiconductor, Inc. | Etch method in the manufacture of a semiconductor device |
CN102498581A (zh) * | 2009-09-18 | 2012-06-13 | 苏威氟有限公司 | 在环境压力下制造用于太阳能电池的晶片的方法 |
US20110088718A1 (en) * | 2009-10-16 | 2011-04-21 | Matheson Tri-Gas, Inc. | Chamber cleaning methods using fluorine containing cleaning compounds |
US20150097485A1 (en) * | 2013-10-08 | 2015-04-09 | XEI Scientific Inc. | Method and apparatus for plasma ignition in high vacuum chambers |
US9257638B2 (en) * | 2014-03-27 | 2016-02-09 | Lam Research Corporation | Method to etch non-volatile metal materials |
CN103962353B (zh) * | 2014-03-31 | 2016-03-02 | 上海华力微电子有限公司 | 等离子体刻蚀装置的腔体清洗方法 |
US9595467B2 (en) * | 2014-11-14 | 2017-03-14 | Applied Materials, Inc. | Air gap formation in interconnection structure by implantation process |
KR102504833B1 (ko) | 2017-11-16 | 2023-03-02 | 삼성전자 주식회사 | 식각 가스 혼합물과 이를 이용한 패턴 형성 방법과 집적회로 소자의 제조 방법 |
US20200286742A1 (en) * | 2019-03-06 | 2020-09-10 | Kateeva, Inc. | Remote plasma etch using inkjet printed etch mask |
US11262664B2 (en) * | 2019-11-19 | 2022-03-01 | Kla Corporation | System and method for protecting optics from vacuum ultraviolet light |
IL302225A (en) * | 2020-10-22 | 2023-06-01 | Resonac Corp | Etching method and method for manufacturing a semiconductor element |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5923875A (ja) * | 1982-07-30 | 1984-02-07 | Sony Corp | ドライエツチング方法 |
JPH0752718B2 (ja) * | 1984-11-26 | 1995-06-05 | 株式会社半導体エネルギー研究所 | 薄膜形成方法 |
US4923828A (en) * | 1989-07-07 | 1990-05-08 | Eastman Kodak Company | Gaseous cleaning method for silicon devices |
JP2804700B2 (ja) * | 1993-03-31 | 1998-09-30 | 富士通株式会社 | 半導体装置の製造装置及び半導体装置の製造方法 |
JPH0786242A (ja) * | 1993-09-10 | 1995-03-31 | Fujitsu Ltd | 半導体装置の製造方法 |
FR2740725B1 (fr) * | 1995-11-06 | 1998-01-23 | Sempag Sa | Dispositif de mise en ligne d'une bande utilisee dans une machine rotative d'impression |
US5788778A (en) * | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
US20030010354A1 (en) * | 2000-03-27 | 2003-01-16 | Applied Materials, Inc. | Fluorine process for cleaning semiconductor process chamber |
DE10029523A1 (de) * | 2000-06-21 | 2002-01-10 | Messer Griesheim Gmbh | Verfahren und Vorrichtung zum Reinigen eines PVD- oder CVD-Reaktors sowie von Abgasleitungen desselben |
US6569257B1 (en) * | 2000-11-09 | 2003-05-27 | Applied Materials Inc. | Method for cleaning a process chamber |
US6692903B2 (en) * | 2000-12-13 | 2004-02-17 | Applied Materials, Inc | Substrate cleaning apparatus and method |
US6581612B1 (en) * | 2001-04-17 | 2003-06-24 | Applied Materials Inc. | Chamber cleaning with fluorides of iodine |
JP3421329B2 (ja) * | 2001-06-08 | 2003-06-30 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法 |
JP4409134B2 (ja) * | 2001-10-09 | 2010-02-03 | パナソニック株式会社 | 実装システム |
US6843858B2 (en) * | 2002-04-02 | 2005-01-18 | Applied Materials, Inc. | Method of cleaning a semiconductor processing chamber |
JP3855081B2 (ja) * | 2002-07-01 | 2006-12-06 | 株式会社日立国際電気 | フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法 |
US7357138B2 (en) * | 2002-07-18 | 2008-04-15 | Air Products And Chemicals, Inc. | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials |
-
2004
- 2004-07-23 US US10/897,811 patent/US20060017043A1/en not_active Abandoned
-
2005
- 2005-07-14 IL IL169682A patent/IL169682A0/en unknown
- 2005-07-19 SG SG200716869-3A patent/SG136950A1/en unknown
- 2005-07-19 SG SG200504898A patent/SG119370A1/en unknown
- 2005-07-20 TW TW094124608A patent/TWI263271B/zh not_active IP Right Cessation
- 2005-07-22 JP JP2005212544A patent/JP2006041523A/ja active Pending
- 2005-07-22 EP EP05016010A patent/EP1619269A3/en not_active Ceased
- 2005-07-22 KR KR1020050066728A patent/KR100760891B1/ko not_active IP Right Cessation
- 2005-07-25 CN CNA2005100849565A patent/CN1724706A/zh active Pending
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008235562A (ja) * | 2007-03-20 | 2008-10-02 | Taiyo Nippon Sanso Corp | プラズマcvd成膜装置のクリーニング方法 |
JP2009152539A (ja) * | 2007-11-30 | 2009-07-09 | National Institute Of Advanced Industrial & Technology | 半導体デバイスの連続製造方法及びチャンバー |
JP2015053501A (ja) * | 2009-12-23 | 2015-03-19 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | シリコン含有膜の平滑SiConiエッチング |
JP2015153941A (ja) * | 2014-02-17 | 2015-08-24 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
IL169682A0 (en) | 2007-07-04 |
TW200605212A (en) | 2006-02-01 |
US20060017043A1 (en) | 2006-01-26 |
TWI263271B (en) | 2006-10-01 |
EP1619269A2 (en) | 2006-01-25 |
EP1619269A3 (en) | 2006-11-08 |
CN1724706A (zh) | 2006-01-25 |
KR20060046589A (ko) | 2006-05-17 |
KR100760891B1 (ko) | 2007-09-27 |
SG136950A1 (en) | 2007-11-29 |
SG119370A1 (en) | 2006-02-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100760891B1 (ko) | 불소 이용 강화를 위한 방법 | |
KR100848064B1 (ko) | 기판으로부터 탄소 함유의 잔사를 제거하는 방법 | |
US7371688B2 (en) | Removal of transition metal ternary and/or quaternary barrier materials from a substrate | |
EP2007923B1 (en) | Etching process | |
KR100656770B1 (ko) | 고유전율 물질의 에칭 방법 및 고유전율 물질용 증착챔버의 세정 방법 | |
JP4264479B2 (ja) | Cvd装置のクリーニング方法 | |
EP1788120A1 (en) | Removal of titanium nitride with xenon difluoride | |
EP1538235A1 (en) | Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials | |
US20060144820A1 (en) | Remote chamber methods for removing surface deposits | |
TW583736B (en) | Plasma cleaning gas and plasma cleaning method | |
JP2009050854A (ja) | 窒化チタンの除去方法 | |
JP2002280376A (ja) | Cvd装置のクリーニング方法およびそのためのクリーニング装置 | |
US20050258137A1 (en) | Remote chamber methods for removing surface deposits | |
JP2009503905A (ja) | 表面沈着物の除去および化学蒸着(cvd)チャンバーの内部の内部表面の不動態化方法 | |
EP1733072A2 (en) | Remote chamber methods for removing surface deposits | |
US20060254613A1 (en) | Method and process for reactive gas cleaning of tool parts | |
US8932406B2 (en) | In-situ generation of the molecular etcher carbonyl fluoride or any of its variants and its use | |
JP4801709B2 (ja) | Cvd装置を用いた成膜方法 | |
WO2015173003A1 (en) | A process for etching and chamber cleaning and a gas therefor | |
Hellriegel et al. | Feasibility study for usage of diluted fluorine for chamber clean etch applications as an environmental friendly replacement of NF3 | |
JP3468412B2 (ja) | クリーニングガス |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20090127 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090210 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090630 |