JP2005522877A - 集積回路用の多孔質シリカ誘電体のための新規なポロジェン - Google Patents

集積回路用の多孔質シリカ誘電体のための新規なポロジェン Download PDF

Info

Publication number
JP2005522877A
JP2005522877A JP2003585170A JP2003585170A JP2005522877A JP 2005522877 A JP2005522877 A JP 2005522877A JP 2003585170 A JP2003585170 A JP 2003585170A JP 2003585170 A JP2003585170 A JP 2003585170A JP 2005522877 A JP2005522877 A JP 2005522877A
Authority
JP
Japan
Prior art keywords
composition
poly
porogen
film
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003585170A
Other languages
English (en)
Inventor
ルー,ビクター・ワイ
ラング,ロジャー・ワイ
デン,エリック
シエ,ソンユアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of JP2005522877A publication Critical patent/JP2005522877A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J9/00Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof
    • C08J9/26Working-up of macromolecular substances to porous or cellular articles or materials; After-treatment thereof by elimination of a solid phase from a macromolecular composition or article, e.g. leaching out
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/02Polysilicates
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2201/00Foams characterised by the foaming process
    • C08J2201/04Foams characterised by the foaming process characterised by the elimination of a liquid or solid component, e.g. precipitation, leaching out, evaporation
    • C08J2201/046Elimination of a polymeric phase
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2383/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen, or carbon only; Derivatives of such polymers
    • C08J2383/04Polysiloxanes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本発明は、ナノ多孔質誘電体フィルムの製造および半導体デバイス、およびこれら改良されたフィルムを含んでなる集積回路に関する。本発明のナノ多孔質フィルムは、ケイ素含有プレポリマーを使用して製造され、金属イオン不含有のオニウムまたは求核性触媒を用いて低下させたゲル化温度での架橋を可能にする工程により製造される。

Description

本発明は、ナノ多孔質シリカ誘電体フィルムの製造、およびこれら改良されたフィルムを含んでなる半導体デバイスおよび集積回路に関する。本発明のナノ多孔質フィルムは、プレポリマーを含有するケイ素を使用して調製されるものであって、ポロジェンのSi網目構造への化学的結合を妨げる二重末端キャップ化ポロジェンの使用により調製される。その結果として、本質的にすべての利用可能なシリカノール(Si-OH)基がポロジェンの除去前に架橋されて硬い網目構造を与えるので、殆どシリカノール基を持たないナノ多孔質フィルムが生成される。
集積回路の形状は0.15μm以下まで低下しているので、新しい世代の電子デバイスにおいて、連結RCディレイ、電力消費およびシグナル干渉を伴う問題は、益々解決困難になっている。これら難題を克服するための解決策の1つは、レベル間誘電体(ILD)および金属間誘電体(IMD)用途のための約2.5未満の誘電率の材料を開発することである。低誘電率材料を集積回路に適用する試みがなされてきたが、当該技術分野において集積回路の製造に使用されるそのような材料の加工方法におけるおよびその誘電的および機械的特性の両者の最適化における更なる改善について長年にわたる要求が依然として存在する。
低誘電率を持つ材料の1つのタイプは、ケイ素含有プレポリマーからスピン−オン ゾル−ゲル(spin-on sol-gel)技術により調製されるナノ多孔質シリカフィルムである。空気は1の誘電率を有し、そしてナノメータースケール多孔構造を有する適切なシリカ材料内に空気が導入された時、そのようなフィルムを比較的低い誘電率(“k”)で調製することができる。ナノ多孔質シリカ材料は魅力的である。なぜなら、テトラアセトキシシラン(TAS)/メチルトリアセトキシシラン(MTAS)から誘導されるシリコンポリマーのような有機置換シラン類を含む類似の前駆体がベースマトリックスとして使用され、且つシリカSiO2の現行のスピンオンガラス(“S.O.G.”)および化学蒸着(“CVD”)に使用されるからである。そのような材料は、モジュラス/およびスタッドプルデータにより示唆される通り高い機械的強度を示す。機械的特性は、多孔質フィルムの孔サイズ分布をコントロールすることにより最適化することができる。ナノ多孔質シリカ材料は、孔サイズをコントロールすることができ、かくして得られるフィルム材料の密度、機械的強度および誘電率をコントロールすることができるので、魅力的である。低いkに加えて、ナノ多孔質フィルムは、900℃までの熱安定性;実質的に小さい孔サイズ、つまり少なくとも集積回路のマイクロ電子部品形状よりも小さいスケールの規模;半導体に広く使用されているシリカおよびテトラエトキシシラン(TEOS)のような材料からの調製;広域にわたりナノ多孔質シリカの誘電率を“調整”できる能力を含む他の利点があり、そして、ナノ多孔質シリカの堆積は、慣例的なS.O.G.加工に使用されるのと類似するツールを使用して達成することができる。
シリカ材料における高い多孔性は、別のやり方でナノ多孔質形態の同じ材料からの利用よりも、低い誘電率をもたらす。追加的な利点は、ナノ多孔質フィルムをその材料の相対密度を変動させながら製造するために追加の組成物および工程を使用し得ることである。他の材料の要求には、すべての孔が回路形状よりも実質的に小さいという要求、多孔性と関連する強度低下に対処するという要求、および誘電率および環境安定性への表面化学の役割が含まれる。
密度(また逆に多孔性)は、材料の誘電率をコントロールするナノ多孔質フィルムの重要なパラメーターであり、この特性は、100%の多孔性で最大の空気間隙から0%の多孔性で緻密なシリカまでの連続的範囲にわたり容易に変動する。密度が増加するにつれ、誘電率および機械的強度は増加するが、多孔性の度合いは低下し、そしてその逆も同様である。このことは、ナノ多孔質フィルムの密度範囲は、所望の範囲の低誘電率と所望の用途に許容される機械的特性との間で最適にバランスさせなければならないことを示唆する。
ナノ多孔質シリカフィルムは、数々の方法により以前から作製されている。例えば、ナノ多孔質フィルムは、溶剤とシリカ前駆体との混合物を使用し、それを目的に適した基体上に堆積させて調製される。通常、例えば、スピンオンガラス組成物の形態の前駆体を基体へ適用し、次いで、ナノメータースケール空隙を含んでなる誘電体フィルムを形成するようなやり方で重合させる。
そのようなナノ多孔質フィルムを、例えば、スピンコーティングにより形成する時、そのフィルムコーティングは、典型的には酸または塩基性触媒および水で触媒され、初期の加熱段階の間に重合化およびゲル化(“熟成”)を引き起こす。孔サイズ選択を通じて最大強度を達成するために低分子量ポロジェンが使用される。
米国特許第5,895,263号は、分解性ポリマーおよび有機ポリシリカを含んでなる組成物、即ち縮合または重合したシリコンポリマーを含む組成物を適用することにより、基体、例えば、ウエハ上にナノ多孔質シリカ誘電体フィルムを形成すること、その組成物を加熱してポリシリカを更に縮合させること、およびその分解性ポリマーを分解して多孔質誘電体層を形成することを記載している。この方法は、半導体上にナノ多孔質フィルムを形成する以前から使用されてきた多くの方法と同様に、熟成または縮合工程およびナノ多孔質フィルムを形成するためのポリマーの除去の両者のために加熱を必要とするという不都合を有する。さらには、前駆体溶液中に含まれる有機ポリシリカは、その溶液を調製した後に分子量が増大する傾向を有するという不都合がある;その結果、そのような前駆体溶液の粘度は保管の間に増大するので、その溶液の年月が増えるにつれ、保管された溶液から作られるフィルムの厚みは増大するであろう。したがって、有機ポリシリカの不安定性は、マイクロ電子部品/集積回路製造工程において一貫したフィルム特性を達成するために短い貯蔵寿命、冷蔵保存、そしてコーティングパラメーターの微調整を要求する。
安定な多孔質構造の形成は、ポロジェン除去温度がマトリックス材料の架橋温度(またはそのゲル温度)より高いという条件に依拠する。10nm平均孔サイズ径より小さい安定なナノ多孔質構造は、ナトリウムのようアルカリカチオンの濃度がスピンオン溶液中で10億分の200〜300重量部(ppb)より低い場合に生じることはない。しかし、低い金属濃度のための厳しい要求をIC用途では満たさなければならない。一般的実施では、スピンオン溶液中で50ppbより低い金属濃度を有することである。したがって、2.5未満の誘電率および直径で約10nm未満の平均孔サイズ径を一貫して与えることができる低金属性ナノ多孔質シリカフィルムを開発するという必要性が存在する。
過去において、孔サイズ選択を介して最大強度を達成するために低分子量ポリエチレングリコールモノメチルエーテルがポロジェンとして選択された。安定した孔構造の形成は、ポロジェン除去温度がマトリックスの架橋温度(またはゲル温度)よりも高いという条件に依拠する。そのようなポロジェンはSi網目構造と化学的に反応でき、その工程の間に架橋反応に関与するフリーシラノール基をキャップすることが観察された。そのような種は分解して、より高い温度で起こる最終的硬化段階の後に望ましくない単独のSi-OH基を生じさせる。シラノール基から生じる親水性の変化は、誘電特性に有害な影響を与える。したがって、低くて安定したk値を持つ誘電性材料を得るためには最終フィルム中に存在する単独シラノール基の量を最小化することが望ましい。さらに、フリーシラノール基を有することは、IC集積体において望ましくないガス抜け(out-gassing)をもたらす。低くて安定したkについての厳格な要求は、IC用途のためには満足させなければならない。一般的な実施は、疎水性フィルムを得ることである。したがって、2.2未満の誘電率を一貫して与えることができ且つ可能な限り水分を吸収しない疎水性ナノ多孔質シリカフィルムを開発するという必要性が存在する。さらに、孔はシリカ網目構造上へのポロジェンの化学的付着の結果として形成されると一般に考えられていた。
現在、化学的付着は多孔質シリカを形成するために必要ではないことがわかっている。現在、二重末端キャップ化ポリエチレンオキサイドポロジェンの使用を通じて、ポロジェンとシリコンプレポリマーの物理的ブレンドから生じる多孔質シリカの形成は、より疎水性なフィルムを与え、それは周囲環境でのフィルムと加熱後のフィルムとの間のより低いデルタk値により示唆される通りである。ポリ(エチレングリコール)ジメチルエーテルのような二重末端キャップ化ポロジェンの効果は、ポロジェンのSi網目構造への如何なる化学的付着も阻止し、そのようにして追加的なシラノールをポロジェンの除去の間に生じさせず、そして存在するシラノール基は可能な限り完全に架橋させられ、こうしてシラノール基がほとんど無いナノ多孔質フィルムを生成することである。オニウムイオンまたは求核性物質の追加的使用を介して、低温度での低金属性スピンオン配合物中の多孔質シリカ網目構造の形成を促進させることができる。オニウムイオンまたは求核性物質の効果は、ゲル温度を低下させ、そのようにして堅い網目構造をポロジェンの除去の前に形成させ、こうしてアルカリイオンの存在しないナノ多孔質フィルムを生成することである。ポロジェンの機能は、孔サイズをコントロールすること、および安定した孔の形成後に速やかに分解されることである。ゾル−ゲル反応の架橋の度合いを妨害する他の副反応は最小化する。
発明の要旨
本発明は、ナノ多孔質シリカ誘電体フィルムを製造する方法であって、
(a) ケイ素含有プレポリマー;オニウム化合物および求核性物質からなる群より選択される金属イオン不含有触媒;およびケイ素含有プレポリマーに結合しないポロジェンを含んでなる組成物を調製すること;
(b) その組成物で基体をコーティングしてフィルムを形成すること;
(c) その組成物を架橋させてゲル化フィルムを生成すること;および
(d) そのゲル化フィルムを、実質的にすべての前記ポロジェンを除去するのに有効な温度および期間で加熱すること;
を含んでなる方法を提供する。
また、本発明は、前記の方法によって基体上に生成されたナノ多孔質誘電体フィルム、並びにそのナノ多孔質誘電体フィルムを含んでなる集積回路のような半導体デバイスを提供する。
また、本発明は、ケイ素含有プレポリマーと結合しないポロジェンであって、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(サイクリックグリコール)ジエーテル、クラウンエーテル、完全に末端キャップされたポリアルキレンオキサイド、完全に末端キャップされたポリアリーレンオキサイド、ポリノルベン、およびそれらの組合せからなる群より選択されるポロジェンを提供する。
さらに、本発明は、ケイ素含有プレポリマー;およびケイ素含有プレポリマーと結合しないポロジェンであって、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(サイクリックグリコール)ジエーテル、クラウンエーテル、完全に末端キャップされたポリアルキレンオキサイド、完全に末端キャップされたポリアリーレンオキサイド、ポリノルベン、およびそれらの組合せからなる群より選択されるポロジェンを含んでなる組成物を提供する。
またさらに、本発明は、多孔質シリカフィルムの孔サイズをコントロールする方法であって、
(a) ケイ素含有プレポリマー;オニウム化合物および求核性物質からなる群より選択される金属イオン不含有触媒;およびポロジェンを含んでなる組成物を調製すること;
(b) その組成物で基体をコーティングしてフィルムを形成すること;
(c) その組成物を架橋させてゲル化フィルムを生成すること;および
(d) そのゲル化フィルムを、実質的にすべての前記ポロジェンを除去するのに有効な温度および期間で加熱すること;
を含んでなり、且つケイ素含有プレポリマーに結合しないポロジェンを使用することを含んでなる方法を提供する。
好ましい態様の詳細な記載
かくして、本発明の方法によって、約3またはそれ未満、好ましくは約2.5またはそれ未満の範囲の誘電率またはk値を有するナノ多孔質シリカ誘電体フィルムを製造することができる。典型的には、ナノ多孔質シリカ誘電体フィルムを含むケイ素をベースとする誘電体フィルムは、適切なケイ素含有プレポリマーを含んでなる組成物から調製されるものであり、それをケイ素含有プレポリマーに結合しないポロジェン、およびオニウム化合物でも求核性物質でもよい金属イオン不含有触媒とブレンドして調製される。1つまたはそれを越える任意の溶剤、他のポロジェンおよび/または他の成分を含めてもよい。誘電体前駆体組成物は、フィルムを形成するためのあらゆる公知技術の方法によって、例えば、集積回路(“IC”)のような半導体デバイスの製造に適切な基体へ適用される。次いで、その組成物は、加熱などによりゲル化フィルムを生成される。次いで、そのゲル化フィルムは、実質的にすべてのポロジェンを除去するより高い温度で加熱される。
本発明の方法により製造されるフィルムは、当該技術に以前から知られているものに優る多くの利点を有し、それら利点には、シラノール基をほとんど持たず、より多くのケイ素メチル基を持つナノ多孔質フィルムを製造できること、機械的強度が改善されて、施された基体上で半導体デバイスを製造するのに要求される更なる加工段階に耐えるナノ多孔質フィルムを製造できること、および低くて安定な誘電率を有するナノ多孔質フィルムを製造できることが含まれる。その安定な誘電率の特性は、有利なことに、ナノ多孔質シリカ誘電体フィルムを形成する多々の方法でかつて要求されていたようにフィルム表面を疎水性にする更なる表面改質段階を要求することなく達成される。そのようなことがなく、本発明の方法により製造されるシリカ誘電体フィルムは、初めに形成されたものとして十分に疎水性である。
本発明の工程は、サイズ分布においても均一なナノメータースケール直径孔サイズを提供する。得られるナノ多孔質シリカフィルムは、典型的には約3以下、より典型的には約1.3〜約3.0、さらに典型的には約1.7〜約2.5の誘電率を有する。そのフィルムは、典型的には、約1nm〜約30nm、より好ましくは約1nm〜約10nm、さらに典型的には約1nm〜約5nmの平均孔直径を有する。そのフィルムは、典型的には、フィルムの全体積を基準に約5%〜約80%の空隙体積率を有する。
ナノ多孔質誘電体フィルムという用語は、有機または無機ガラスをベースとする材料、例えば、ケイ素をベースとするあらゆる適切な材料から本法によって調製される誘電体フィルムを意味する。さらに、“熟成”という用語は、基体上の堆積後における組合せのシリカベース前駆体組成物のゲル化、縮合化、または重合化を意味する。“硬化”という用語は、残留シアノール(Si-OH)基の除去、残留水の除去、およびそのフィルムを後のマイクロ電子部品製造工程の際により安定なものとする工程を意味する。その硬化工程は、ゲル化後に典型的には加熱の適用により行われるが、あらゆる他の公知技術の形態の硬化を、例えば、電子ビーム、紫外線照射およびそれらに類したものの形態のエネルギーの適用により使用し得る。
誘電体フィルム、例えば、レベル間コーティングは、基体へ適用されるのに適切な組成物から調製される。誘電体前駆体組成物を適用するための公知技術の方法には、スピンコーティング、ディップコーティング、ブラッシング、ローリング、スプレイおよび/または化学蒸着によるものが含まれるが、これらに限定されない。場合により、誘電体フィルムを形成するためのベース材料の適用に先立ち、その基体表面をコーティングのために標準的な公知技術のクリーニング法により用意しておく。次いで、コーティングは、誘電体コーティングの望ましいタイプおよびコンシステンシーを達成するように加工され、それら加工段階は、選択される前駆体および所望の最終製品に適切であるように選択される。本法および本組成物の更なる詳細は以下に示される。
本明細書に使用される“基体”には、あらゆる適切な組成物が含まれ、それは、本発明のナノ多孔質シリカフィルムがその組成物に適用される且つ/またはその上に形成されるのに先立って形成される組成物である。例えば、基体は、典型的には集積回路を製造するのに適したシリコンウエハであり、ベース材料(これからナノ多孔質シリカフィルムが形成される)は基体上に、スピンコーティング、ディップコーティング、ブラッシング、ローリングおよび/またはスプレイの公知技術の方法を含むが、これらに限らない慣例的方法によって適用される。ナノ多孔質シリカフィルムを形成するためのベース材料の適用に先立ち、場合により、その基体表面をコーティングのために標準的な公知技術のクリーニング法により用意しておく。
本明細書において企図される基体には、あらゆる所望の実質的に固体の材料が含まれ得る。特に望ましい基体層には、フィルム、ガラス、セラミック、プラスチック、金属またはコーティングされた金属、あるいは複合材料が含まれる。好ましい態様において、その基体には、ヒ化ケイ素又はガリウムダイまたはウエハ表面;銅、銀、ニッケルまたは金でメッキされたリードフレームに見られるようなパッケージング表面;集積回路またはパッケージ相互接続線に見られるような銅表面;ビア壁または補強材接続面(“銅”には裸銅およびそれの酸化物の考慮も含まれる);ポリイミドをベースとするフレックスパッケージ、リードまたは他の合金ハンダボール表面に見られるようなポリマーをベースとするパッケージングまたは基板接続面;ガラスおよび;ポリマーが含まれる。有用な基体には、ケイ素、窒化ケイ素、酸化ケイ素、オキシ炭化ケイ素、二酸化ケイ素、炭化ケイ素、オキシ窒化ケイ素、窒化チタン、窒化タンタル、窒化タングステン、アルミニウム、銅、タンタル、有機シロキサン、有機ケイ素ガラス、およびフッ素化ケイ素ガラスが含まれる。他の好ましい態様では、その基体には、パッケージングおよび回路基板産業において一般的なケイ素、銅、ガラスおよびポリマーのような材料が含まれる。本組成物で作られた回路基板は、その表面に様々な電導回路のためのパターンが設けられるであろう。回路基板は、織り込まれた非電導性ファイバーまたはガラスクロスのような様々な補強材を含み得る。そのような回路基板は、片面でも両面でもよい。本発明に適切な基体には、半導体材料、例えば、ヒ化ガリウム(“GaAs”);ケイ素;および晶質ケイ素、ポリケイ素、非晶質ケイ素、エピタキシャルケイ素および二酸化ケイ素(“SiO2”)並びにそれらの混合物のようなケイ素含有組成物が含まれるが、他のものを除外しない。
基体の表面上には、周知のリソグラフィー技術により形成される金属、酸化物、窒化物オキシ窒化物のラインのような、任意のパターンの***線がある。それらラインに適切な材料には、シリカ、窒化ケイ素、窒化チタン、窒化タンタル、アルミニウム、アルミニウム合金、銅、銅合金、タンタル、タングステン、およびオキシ窒化ケイ素が含まれる。これらのラインを作るのに有用な金属ターゲットは、共通譲受人の米国特許第5,780,755号;第6,238,494号;第6,331,233B1号;および第6,348,139B1号に教示されており、Honeywell International Inc.から市販されている。これらのラインは、集積回路の導電体および絶縁体を形成する。それらは典型的には、約20マイクロメーター以下、好ましくは1マイクロメーター以下、より好ましくは約0.05〜約1マイクロメーターの距離で互いに近接して離れている。他の任意の形状を持つ適切な基体の表面には、シリコンウエハを空気中で加熱することにより形成される酸化物層、より好ましくは、プラズマ増強されたテトラエトキシシラン酸化物(“PETEOS”)、プラズマ増強されたシラン酸化物(“PEシラン”)およびそれらの混合物のような認知されている技術の材料の化学蒸着により形成されるSiO2酸化物層、並びに予め形成された1つまたはそれを越えるナノ多孔質シリカ誘電体フィルムが含まれる。
本発明のナノ多孔質シリカフィルムは、予め形成されている基体の特徴部分である回路要素および/または導電路のような任意の電子表面形状の間に被覆するように且つ/または横たえるように適用可能である。そのような任意の基板特徴部分を本発明のナノ多孔質シリカフィルムの上に少なくとも1つの追加層に適用し、その低誘電性フィルムで、得られた集積回路の1つ以上または複数の電気的および/または電子的な機能層を絶縁するようにすることもできる。このように、本発明による基体には、場合により、多層および/または多数構成部品集積回路の製造の際に本発明のナノ多孔質シリカフィルム上にまたはそれに隣接させて形成されるケイ素材料が含まれる。更なる選択肢では、本発明によるナノ多孔質シリカフィルムを支える基体は、あらゆる公知技術の非多孔質絶縁層、例えば、ガラスキャップ層で更に被覆されてもよい。
本発明によるナノ多孔質シリカ誘電体フィルムを形成するために使用される架橋可能な組成物には、容易に縮合する1つまたはそれを越えるケイ素含有プレポリマーが含まれる。
それは、加水分解可能である少なくとも2つの反応基を有するべきである。そのような反応基には、アルコキシ(RO)、アセトキシ(AcO)等が含まれる。本発明の方法および組成物がどのようにして達成されるかについて如何なる理論や仮説にも拘束されないが、水は、ケイ素モノマー上のそれら反応基を加水分解し、Si-OH基(シラノール)を形成すると考えられる。後者は、次の式:
Figure 2005522877
により表されるように、他のシラノール基とまたは他の反応基との縮合反応を受けることになる。
これら縮合反応は、ケイ素含有ポリマーの形成を導く。本発明の1つの態様において、そのプレポリマーには、式I:
Figure 2005522877
(その式中、xは0〜約2の整数であり、yは4-xであって、約2〜4の整数であり、Rは独立に、アルキル、アリール、水素、アルキレン、アリーレンおよび/またはこれらの組合せであり、Lは独立に選択されるものであって、電気的に陰性の基、例えば、アルコキシ、カルボキシ、ハライド、イソシアナート、および/またはこれらの組合せである。)
により記述される化合物またはそれら化合物のあらゆる組合せが含まれる
特に有用なプレポリマーは、xが約0〜約2であり、yが約2〜約4であり、RがアルキルまたはアリールまたはHであり、且つLが電気的陰性基である場合の式Iにより提供されるものであって、Si-L結合の加水分解の速度がSi-OCH2CH3結合の加水分解の速度よりも速いものである。かくして、下記の反応について(a)および(b)のように指定する:
Figure 2005522877
(a)の速度は、(b)の速度よりも速い。
式Iによる適切な化合物の例には、次のもの:
Figure 2005522877
および/または上記のあらゆる組合せが含まれるが、これらに限定されない。
本発明の別の態様において、その組成物には、式Iにより示される化合物から、加水分解および縮合反応によって合成されるポリマーであって、数平均分子量が約150〜約300,000amu、より典型的には約150〜約10,000amuであるものが含まれる。
本発明の更なる態様において、本発明による有用なケイ素含有プレポリマーには、有機シラン類が含まれ、例えば、式II:
Figure 2005522877
によるアルコキシシランが含まれる。
場合により、式IIは、R基の少なくとも2つが独立にC1〜C4アルコキシ基であり、その残部(あるならば)が水素、アルキル、フェニル、ハロゲン、置換フェニルからなる群より独立に選択されるアルコキシシランである。この発明の目的のために、アルコキシという用語には、室温に近い温度でケイ素から加水分解により速やかに開裂可能なあらゆる他の有機基が含まれる。R基は、エチレングリコキシまたはプロピレングリコキシまたはそれらに類したものでよいが、好ましくは4つのR基のすべてがメトキシ、エトキシ、プロポキシまたはブトキシである。最も好ましいアルコキシシランには、テトラエトキシシラン(TEOS)およびテトラメトキシシランが含まれるが、他のものが排除される訳ではない。
更なる選択肢において、例えば、プレポリマーは式IIにより記載されるようなアルキルアルコキシシランでもよく、R基の少なくとも2つが独立にC1〜C4アルキルアルコキシ基であり、そこでそのアルキル部分がC1〜C4アルキルであり、そのアルコキシ部分がC1〜C6アルコキシまたはエーテルアルコキシ基であり;その残部(あるならば)が独立に水素、アルキル、フェニル、ハロゲン、置換フェニルからなる群より選択されてもよい。1つの好ましい態様では、各R基はメトキシ、エトキシまたはプロポキシである。他の好ましい態様では、少なくとも2つのR基がアルキルアルコキシ基であり、そのアルキル部分がC1〜C4アルキルであり、そのアルコキシ部分がC1〜C6アルコキシである。気相前駆体のための別の好ましい態様では、少なくとも2つのR基は、nが2〜6である式(C1〜C6アルコキシ)nのエーテル−アルコキシ基である。
好ましいケイ素含有プレポリマーには、例えば、テトラエトキシシラン、テトラプロポキシシラン、テトライソプロポキシシラン、テトラ(メトキシエトキシ)シラン、テトラ(メトキシエトキシエトキシ)シランのような加水分解されてシリカを生成し得る4つの基を有するアルコキシシラン類;フェニルトリエトキシシランのようなアリールアルコキシシラン類;およびトリエトキシシランのようなフィルムにSiH官能性を与える前駆体のあらゆる組合せが含まれる。テトラキス(メトキシエトキシエトキシ)シラン、テトラキス(エトキシエトキシ)シラン、テトラキス(ブトキシエトキシエトキシ)シラン、テトラキス(2−エチルエトキシ)シラン、テトラキス(メトキシエトキシ)シラン、およびテトラキス(メトキシプロポキシ)シランは、本発明に特に有用である。
本発明の更に他の態様では、上記のアルコキシシラン化合物は、アセトキシおよび/またはハロゲンをベースとする解離基を持つ化合物により全部または一部が置換されてもよい。例えば、プレポリマーは、アセトキシ−シラン化合物のようなアセトキシ(CH3-CO-O-)および/またはハロゲン化化合物、例えば、ハロゲン化シラン化合物、および/またはそれらの組合せでよい。ハロゲン化プレポリマーについてそのハロゲンは、例えば、Cl、Br、Iであり、ある側面では場合によりFを含むであろう。好ましいアセトキシ誘導プレポリマーには、例えば、テトラアセトキシシラン、メチルトリアセトキシシランおよび/またはそれらの組合せが含まれる。
本発明の1つの特定の態様では、ケイ素含有プレポリマーには、モノマーまたはポリマー前駆体、例えば、アセトキシシラン、エトキシシラン、メトキシシラン、および/またはそれらの組合せが含まれる。
本発明のさらに特定の態様では、ケイ素含有プレポリマーには、テトラアセトキシシラン、C1〜約C6アルキルまたはアリール−トリアセトキシシラン、およびそれらの組合せが含まれる。特に下記で例証される通り、そのトリアセトキシシランはメチルトリアセトキシシランである。
ケイ素含有プレポリマーは、好ましくは、全組成中に約10重量パーセント〜約80重量パーセントの量で存在し、好ましくは、全組成中に約20重量パーセント〜約60重量パーセントの量で存在する。
そして、本組成物は、オニウム化合物または求核性物質である少なくとも1つの金属イオン不含有触媒を含有する。その触媒は、例えば、アンモニウム化合物、アミン、ホスホニウム化合物またはホスフィン化合物でよい。他のものを排除しないが、そのようなものの例には、テトラ有機アンモニウム化合物およびテトラ有機ホスホニウム化合物が含まれ、テトラメチルアンモニウムアセテート、テトラメチルアンモニウムヒドロキサイド、テトラブチルアンモニウムアセテート、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、テトラメチルホスホニウムアセテート、テトラメチルホスホニウムヒドロキサイド、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィン、およびそれらの組合せが含まれる。本組成物は、組成物の架橋を促進する非金属求核性添加物を含んでもよい。これらには、ジメチルスルホン、ジメチルホルムアミド、ヘキサメチルホスホラストリアミド(HMPT)、アミンおよびそれらの組合せが含まれる。その触媒は、好ましくは、全組成中に百万分の約1重量部(ppm)〜約1000ppmの量で存在し、好ましくは、全組成中に約6ppm〜約200ppmの量で存在する。
そして、本組成物は、化合物またはオリゴマーまたはポリマーであってケイ素含有プレポリマーに結合しないように選択される少なくとも1つのポロジェンを含有する。それを除去する時、例えば、加熱の適用によって、ナノメータースケール多孔構造を有するシリカ誘電体フィルムが製造される。ポロジェン除去により製造される孔のスケールは、選択されたポロジェン成分の有効な立体直径に比例する。いかなる特定の孔サイズ範囲(すなわち、直径)についての要求もフィルムが使用される半導体デバイスのスケールにより定まる。さらに、ポロジェンは、例えば、その小さな直径構造内の毛管現象により、できた孔の崩壊を生じさせ非多孔質(稠密)フィルムの形成を生じさせるほど小さくすべきではない。またさらに、所定のフィルムの孔集団内のすべての孔の直径のばらつき(バリエーション)が最小であるべきである。ポロジェンは、所定のサンプル内に実質的に均一分子量および分子寸法を有し、分子量および/または分子寸法の統計学的分布を有しない化合物であることが好ましい。その分子量分布におけるいかなる有意なばらつきをも回避することは、本法により扱われるフィルム内の孔直径の実質的に一様な分布を可能にする。製造されたフィルムが孔サイズの広い分布を有すると、1つまたはそれを越える大きな孔、すなわち、信頼性のある半導体デバイスの製造を妨害し得るバブルを形成する可能性がある。
さらに、ポロジェンは、それがフィルム形成を妨害せずフィルムから速やかに且つ選択的に除去されるような分子量および構造を有するべきである。このことは、典型的には加工温度に上限を有する半導体デバイスの性質に基づく。概して、ポロジェンは、例えば、約450℃より低い温度で、新たに形成されるフィルムから除去可能であるべきである。特定の態様において、所望の次のフィルム形成製造工程および材料に依存して、そのポロジェンは、約150℃〜約450℃の温度で約30秒〜約60分の時間内に速やかに除去されるように選択される。そのポロジェンの除去は、そのフィルムを大気圧またはそれを上回る圧力でまたは減圧下で加熱することにより、あるいはそのフィルムを放射線に曝すことにより、あるいはその両者により誘導し得る。
上記特性を満たすポロジェンには、例えば、約150℃〜450℃の沸点、昇華温度および/または分解温度(大気圧で)を有するそれら化合物およびポリマーが含まれる。加えて、本発明による使用に適したポロジェンには、例えば、約100〜50,000amu、さらに好ましくは約100〜約3,000amuの分子量を有するものが含まれる。
ケイ素含有プレポリマーに結合しないポロジェンには、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(サイクリックグリコール)ジエーテル、クラウンエーテル、ポリカプロラクトン、完全に末端キャップされたプロアルキレンオキサイド、完全に末端キャップされたプロアルキレンオキサイド、プリノルベン、およびそれらの組合せが含まれる。ケイ素含有プレポリマーに結合しない好ましいポロジェンには、ポリ(エチレングリコール)ジメチルエーテル、ポリ(エチレングリコール)ビス(カルボキシメチル)エーテル、ポリ(エチレングリコール)ジベンゾナート、ポリ(エチレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジベンゾナート、ポリ(プロピレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジメチルエーテル、15−クラウン5、18−クラウン−6、ジベンゾ−18−クラウン−6、ジシクロヘキシル−18−クラウン−6、ジベンゾ−15−クラウン−5およびそれらの組合せが含まれる。
ケイ素含有プレポリマーに結合しないポロジェンは、好ましくはその組成物全体に約1〜約50重量パーセント以上の量で存在する。より好ましくは、ポロジェンはその組成物中に約2〜約20重量パーセントの量で存在する。
本組成物は、場合により、約150℃〜450℃の沸点、昇華温度または分解温度を有する追加のポロジェンを含有する。好ましくは、追加ポロジェンは、約100〜約50,000amuの分子量を有する。好ましくは、追加ポロジェンは、少なくとも1つの反応性ヒドロキシルまたはアミノ官能基を有する試薬を含み、前記試薬は、有機化合物、有機ポリマー、無機ポリマーおよびそれらの組合せからなる群より選択される。本発明の工程および組成物における使用に適したそのような追加ポロジェンには、ポリマー、好ましくは、ヒドロキシルまたはアミノのような1つまたはそれを越える反応基を含有するものが含まれる。
これらの一般的パラメーター内において、本発明の組成物および方法における使用に適するポリマーポロジェンは、例えば、ポリアルキレンオキサイド、ポリアルキレンオキサイドのモノエーテル、脂肪族ポリエステル、アクリルポリマー、アセタールポリマー、ポリ(カプロラクトン)、ポリ(バレラクトン)、ポリ(メチルメタクリレート)、ポリ(ビニルブチラール)および/またはそれらの組合せである。ポロジェンがポリアルキレンオキサイドモノエーテルである場合、1つの特定の態様は、酸素原子間がC1〜約C6アルキル鎖、およびC1〜約C6アルキルエーテル残基であり、そのアルキル鎖は、置換されていても置換されていなくてもよく、例えば、ポリエチレングリコールモノメチルエーテルまたはポリプロピレングリコールモノメチルエーテルである。
本発明がどのように作用するかについていかなる理論にも仮説にも縛られないが、“フィルムから速やかに除去される”ポロジェンは、次のこと:(1)加熱段階の間のポロジェンの物理的蒸発、(2)より揮発性な分子断片へのポロジェンの分解、(3)追加ポロジェンとSi含有成分との間の結合の破壊およびそれに続くそのポロジェンのフィルムからの蒸発、またはモード(1)〜(3)のあらゆる組合せのうちの1つまたはそれらの組合せを受けると考えられる。ポロジェンは、かなりの(substantial)割合のポロジェンが除去されるまで、例えば、約50重量%またはそれを越えるポロジェンが除去されるまで加熱される。より詳細には、ある態様において、選択されたポロジェンおよびフィルム材料に依存して、少なくとも約75重量%またはそれを越えるポロジェンが除去される。このように“かなりの(substantially)”により意味することは、単に例示の目的で約50重量%〜約75重量%またはそれを越える初期ポロジェンの適用フィルムからの除去である。
追加ポロジェンは、使用される時、好ましくは、全組成中に約1〜約50重量%の量で存在する。より詳細には、追加ポロジェンは、使用される時、その組成物中に約2〜約20重量%の量で存在する。
そして、その組成物は、場合により、溶剤組成物を含む。本願明細書において言及する“溶剤”とは、単一の溶剤、極性または非極性および/またはすべての組成物成分を可溶化するように選択される溶剤系を形成する相溶性溶剤の組合せを包含すると理解させるべきである。溶剤は、場合により、組成物中にその粘度を低下させて標準化技法(例えば、スピンコーティング、スプレイコーティング、ディップコーティング、ローラーコーティング、およびそれらに類したもの)による基体上への均一なコーティングを促進させるために含有させる。
溶剤除去を容易にするためには溶剤は、選択されたいかなるポロジェンおよび他の前駆体成分の沸点に対しても相対的に低い沸点を有するものである。例えば、本発明の工程に有用な溶剤は、その溶剤が適用フィルムから蒸発して前駆体組成物の活性部分を適所に残すことを可能とするように約50〜250℃の沸点を有する。様々な安全性および環境上の要求のために、溶剤は、高い引火温度(一般に40℃より大きい)および比較的低い毒性を有する。適切な溶剤には、例えば、炭化水素、並びに官能基C-O-C(エーテル)、-CO-O(エステル)、-CO-(ケトン)、-OH(アルコール)、-CO-N-(アミド)を有する溶剤、およびこれら複数の官能基を有する溶剤、およびそれらの組合せが含まれる。
限定されないが、本組成物のための溶剤には、ジ−n−ブチルエーテル、アニソール、アセトン、3−ペンタノン、2−ヘプタノン、エチルアセテート、n−プロピルアセテート、n−ブチルアセテート、エチルラクテート、エタノール、2−プロパノール、ジメチルアセトアミド、プロピレングリコールエチルエーテルアセテート、および/またはそれらの組合せが含まれる。溶剤はケイ素含有プレポリマー成分と反応しない溶剤が好ましい。
溶剤成分は、好ましくはその全組成中に約10重量%〜約95重量%の量で存在する。より好ましい範囲は、約20%〜約75%、より好ましくは約20%〜約60%である。使用される溶剤のパーセンテージが大きくなるほど、得られるフィルムが薄くなる。使用されるポロジェンのパーセンテージが大きくなるほど、生じる多孔性が大きくなる。
本発明の他の態様では、その組成物は、水、つまり液体または水蒸気のいずれかを含み得る。例えば、その組成物全体が基体へ適用され、次いで標準温度および標準大気圧で水蒸気を含む周囲雰囲気に曝され得る。場合により、本組成物は、基体への適用の前にその前駆体組成物の熟成を開始するのに適した比率(ただし、所望の基体へ適用される前に前駆体組成物熟成またはゲル化まで至らしめる比率では存在しない)の水を含むように調製される。例示の目的で、水を前駆体組成物中に混合する場合、水は、その組成物がケイ素含有プレポリマー中のSi原子に対する水のモル比にして約0.1:1〜約50:1の水を含む比率で存在する。より好ましい範囲は、約0.1:1〜10:1であり、より好ましくは約0.5:1〜1.5:1である。
当業者は、架橋のためおよびナノ多孔質誘電体フィルムからのポロジェン除去のための特定の温度範囲は、選択された材料、基体および所望のナノスケール孔構造に依存し、これらパラメーターのルーティン操作により容易に決定される通りであることを認識するであろう。概して、コーティングされた基体は、本組成物の基体上での架橋を行わせてゲル化フィルムを製造するための加熱のような温度に曝される。
架橋は、段階(c)において、フィルムをゲル化するために約100℃〜約250℃の温度で約30秒〜約10分間加熱することにより行われ得る。当業者は、数々のあらゆる追加的な公知技術の硬化方法が任意に使用され、それには、公知技術の方法に従い、フィルムを電子線エネルギー、紫外線エネルギー、マイクロ波エネルギーおよびそれらに類したものに曝すことによりフィルムを硬化させるのに十分なエネルギーの適用が含まれることをも認識するであろう。
一旦フィルムが熟成すると、すなわち、それが固体または実質的に固体まで十分に縮合すると、ポロジェンを除去することができる。これはフィルム固化前にそのフィルムから蒸発しない程度に十分に不揮発性であるべきである。ポロジェンは、段階(d)においてゲル化フィルムを、約150℃〜約450℃、好ましくは約150℃〜約350℃の温度で、約30秒〜約1時間をかけて加熱することにより除去される。本発明の重要な特徴は、段階(c)架橋は、段階(d)の加熱温度に満たない温度で行われる。
有用性:
本発明の組成物は、接着促進剤、消泡剤、洗剤、難燃剤、顔料、可塑剤、安定剤、および界面活性剤のような追加成分を含んでもよい。本組成物は、断熱材、カプセル材、ポリマーおよびセラミックス複合材のためのマトリックス材料、軽量複合材、防音材、抗腐食性コーティング、セラミック粉末のための結合材、難燃性コーティングのようなマイクロ電子部品以外の用途における有用性を有する。
本組成物は、マイクロチップ、マイクロチップモジュール、ラミネート化回路基板、プリント配線基板における誘電性基体材料としてマイクロ電子工学用途に特に有用である。本組成物は、エッチストップまたはハードマスクとしても使用し得る。
本発明のフィルムは、スプレイ、ローリング、ディップ、スピンコーティング、フローコーティング、またはキャスティングのような溶液技法により形成することができ、マイクロ電子部品にはスピンコーティングが好ましい。好ましくは、本組成物を溶剤中に溶解させる。本組成物のそのような溶液における使用に適した溶剤には、所望の温度で揮発する有機、有機金属、または無機分子のあらゆる適切な純粋物または混合物が含まれる。適切な溶剤には、非プロトン性溶剤、例えば、シクロペンタノン、シクロヘキサノン、シクロヘプタノンおよびシクロオクタノンのような環状ケトン類;アルキルが約1〜4炭素原子を有するN−アルキルピロリジノンのような環状アミド類;およびそれらの混合物が含まれる。多種多様な他の有機溶剤は、それらが接着促進剤の溶解を助けると同時にコーティング用溶液として得られる溶液の粘度を効果的にコントロールすることができる限り、本発明に使用され得る。その溶解を助けるために撹拌および/または加熱のような様々な促進法を使用してもよい。他の適切な溶剤には、メチルエチルケトン、メチルイソブチルケトン、ジブチルエーテル、環状ジメチルポリシロキサン、ブチロラクトン、γ−ブチロラクトン、2−ヘプタノン、エチル3−エトキシプロピナート、1−メチル−2−ピロリジノン、プロピレングリコールメチルエーテルアセテート(PGMEA)、およびメシチレン、キシレン、ベンゼンおよびトルエンのような炭化水素系溶剤が含まれる。
本組成物は、電気デバイスに、より詳細には、単一集積回路(“IC”)チップに関連する相互接続における層間誘電体として使用され得る。集積回路チップは、典型的には、その表面に本組成物の複数の層および金属導電体の複数の層を有する。また、本組成物の領域を、集積回路の同じ層または平面において、不連続な金属導電体間または導電体の領域間に含ませてもよい。
本フィルムは、集積回路製造のためのデュアルダマシン(銅のようなもの)加工およびサブストラクティブ(substractive)金属(アルミニウム又はアルミニウム/タングステンのようなもの)加工に使用され得る。本組成物は、所望のすべてのスピンオン堆積化フィルムに使用することができ、参照により本願明細書中に全部組み込まれるMichael E. Thomas,“Spin-On Stacked Films for Low keff Dielectrics”, Solid State Technology (July 2001)により教示される通りである。本組成物は、共通譲受人の米国特許第6,248,457B1号;第5,986,045号;第6,124,411号;および第6,303,733号により教示されるような追加誘電体を有するすべてのスピンオン堆積化フィルムに使用され得る。
分析試験法
誘電率:誘電率は、硬化させた層の上にアルミニウムの薄いフィルムをコーティングし、次いで1MHzでキャパシタンス−ボルト測定を行い、その層の厚みに基づいてk値を計算することにより決定される。
屈折率:屈折率測定は、厚み測定と一緒にJ.A. Woollam M-88分光偏光解析器を使用して行われた。CauchyモデルをPsiおよびデルタについての最大適合を計算するために使用した。他に指示がなければ、屈折率は633nmの波長で示された(偏光解析の詳細は、例えば“Spectroscopic Ellipsometry and Reflectometry”by H.G. Thompkins and William A. McGahan, John Wiley and Sons, Inc., 1999に見出すことができる)。
平均孔サイズ直径:Micromeretics ASAP 2000 自動等温N2吸着装置上でUHP(超高純度工業ガス)N2を使用し、多孔質試料のN2等温線を、その試料を試料チューブ内の液体N2バス内に77°kで浸漬して測定した。
試料調製のためその材料を、標準的加工条件を使用してシリコンウエハ上に先ず堆積させた。各試料につき、3つのウエハを約6000オングストロームのフィルム厚みで調製した。次いで、それらフィルムをウエハからカミソリ刃ブレードで擦ることにより除去し、粉末試料を生成させた。これら粉末試料を、それらを秤量する前にオーブン内で180℃で予め乾燥させ、その粉末を10nm内径試料チューブ内に注意深く入れ、次いで0.01 Torrで3時間より長い間180℃で脱気させた。
そして、その吸着および脱着N2吸着を、分析が長時間を要すると示さないかぎり5秒平衡インターバルを使用して自動的に測定した。その等温線を測定するのに要求される時間は、試料の質量、試料の孔体積率、測定されるデータポイントの数、平衡インターバルおよびP/Po許容度(Pは試料チューブ内の試料の作動圧力である。Poは装置外部の周辺圧力である)に比例した。その装置でN2等温線を測定し、P/Poに対するN2をプロットする。
見掛けのBET(S. Brunauer, P.H.Emmett, E.Teller; J.Am.Chem.Soc.60,309-319(1938)に開示されている固体表面への多層ガス吸着のためのBrunauer, Emmett, Teller法)比表面積は、N2吸着等温線の低P/Po領域から、BET理論を使用し、R2適合>0.9999を与えるBET方程式の直線区画を使用して計算した。
孔体積率は、相対圧力P/Po値、通常はP/Po〜0.95で吸着したN2の体積率であって、圧縮が完全であるところで等温線の平坦領域内から計算した。ただし、吸着したN2の密度は液体N2と同じであること、およびすべての孔が当該P/Poで圧縮N2で充満されていると仮定した。
孔サイズ分布は、N2等温線の吸着アームから、BJH(E.P.Barret, L.G.Joyner,P.P. Halenda; J.Am.Chem.Soc.,73,373-380(1951))理論を使用して計算した。これは、蒸気圧の抑圧に対する曲率についてのKelvin方程式、およびP/Poに対する吸着N2単層の厚みを記述するHalsey方程式を使用し、P/Poに対する圧縮N2の体積率を特定範囲の孔サイズの孔体積率に変換する。
平均円筒形状孔直径Dは、試料と同じ見掛けBET比表面積Sa(m2/g)および孔体積率Vp(cc/g)、つまりD(nm)=4000Vp/Saを有する円筒形の直径とした。
FTIR分析:FTIRスペクトルを、Nicolet Magna 550 FTIR分光測定器を透過モードで使用して取得した。基板バックグラウンドスペクトルを未コーティング基板で取得した。フィルムスペクトルをその基板をバックグラウンドとして使用して取得した。次いで、フィルムスペクトルをピーク位置および強度の変化について分析した。
下記の非限定的な実施例は、本発明を例証するために役立つ。
本実施例は、反応性末端基を有するポロジェンでのナノ多孔質シリカの製造を示す。前駆体は、100ml丸底フラスコ(マグネット撹拌棒を含む)内に、10gテトラアセトキシシラン、10gメチルトリアセトキシシラン、および17gプロピレングリコールメチルエチルアセテート(PGMEA)を組合せることにより調製される。これら成分をN2雰囲気(N2グローブバッグ)内で組合せた。そのフラスコもN2雰囲気に接続し、周囲の水分がその溶液に入ることを防いだ(標準温度よび圧力)。
その反応混合物を80℃まで加熱し、その後1.5gの水をフラスコに添加した。水添加が完了した後、その反応混合物を周囲温度まで冷却し、その後4.26gのポリエチレングリコールモノメチルエーテル(“PEO”;MW550amu)をポロジェンとして添加し、更に2時間撹拌した。その後、得られた溶液を0.2ミクロンフィルターに通してろ過し、次の段階のための前駆体溶液マスターバッチを提供した。そして、その溶液を一連の8インチシリコンウエハ上に置き、各々スピンチャック上で1000rpmで30秒間スピンさせた。前駆体中の水の存在は、ウエハが最初のオーブン内に挿入される時までに実質的に縮合したフィルムコーティングをもたらす。最初のオーブン内への挿入は、下記で説明する通り、スピニングの完了の10秒間以内に行う。次いで、コーティングされた各ウエハを、特定の温度に予めセットして配列した一連のオーブン内にそれぞれ1分間移した。本実施例では、3つのオーブンがあり、予めセットした温度はそれぞれ125℃、200℃および350℃である。PEOは、各ウエハがそれら3つのオーブンの各々を通じて移動する時、これら連続的加熱段階により除かれた。各ウエハは、3オーブン段階的加熱処理を受けた後に冷却され、そして、生成された誘電体フィルムは偏光解析法を使用して測定され、その厚みおよび屈折率が決定された。次いで、各フィルムコーティングされたウエハを425℃で1時間、流動窒素下で更に硬化させた。
そのフィルムは、6770Åの硬化厚みおよび1.230の硬化反射率を有する。生成された硬化フィルムは、約10%のΔk%を有する(下記のエントリー1を参照されたい)。その表中、フィルムのキャパシタンスは周囲条件(部屋の温度および湿度)下で測定された。周囲キャパシタンス値に基づく誘電率をk周囲と呼ぶ。フィルムのキャパシタンスは、吸着した水分を飛ばすためにウエハをホットプレート中で200℃で2分間加熱した後、再度測定した。脱水分キャパシタンスに基づく誘電率をk脱ガスと呼ぶ。Δk%=(k周囲−k脱ガス)/k周囲×100%から計算されるそれら2つのk値の差は、所定のフィルムの疎水性の表示の1つである。様々な量(エントリー2および3についてそれぞれ5.43gおよび5.04g)のPEOを添加し、異なる誘電率のナノ多孔質フィルムを生成したところ、そのΔk%は10%を越えた(下記の表のエントリー2および3を見よ)。硬化させたフィルムもFTIRを使用してケイ素メチル(SiC、ν:1277.4cm-1)−対−酸化ケイ素(SiO、ν:1055.0cm-1)面積比を決定した。一般に、そのSiC/SiO比は、0.0250〜0.0264の範囲にあると考えられる。その平均孔サイズ直径は2.1〜2.2nmであった。
実施例2
本実施例は、ポリ(エチレングリコール)ジメチルエーテル(“DMEPEO”;MW500 amu)をポロジェンとして使用する以外は、実施例1の繰り返しである。本実施例は、より疎水性のフィルムが得られることを示す。1つの例では、少量のメチルトリアセトキシシラン(MTAS、2%、エントリー6を参照されたい)を溶液に加え、in-situ表面改変剤として表面の親和性を小さくするために利用した。フィルムを1000rpmまたは1500rpmでのスピンコーティングによりウエハ上に堆積させた。スピンコーティングの後、フィルムを3つのホットプレート内で、125℃、200℃および350℃の温度で各々1分間加熱した。ベーク後、フィルムを流体窒素下で425℃で1時間硬化させた。硬化後のフィルムのk、R.I.およびSiC/SiO比の結果は、下記の表に列記されている。そのΔk%値は8.5%より小さく、SiC/SiO比は0.0282±0.0001であることが示される(エントリー4〜5を参照されたい)。別にMTAS(2%)が添加された場合、得られたフィルムは、2.2%のΔk%値を有する、より有意に疎水性であった(エントリー6を参照されたい)。
Figure 2005522877
Figure 2005522877
本発明は、好ましい態様への参照で詳しく示され且つ記載されているが、当業者は、様々な変更および修飾が本発明の精神および範囲から逸脱することなく成され得ることを容易に認識するであろう。特許請求の範囲は、開示された態様、上に開示されているそれらの代替物およびそれらのすべての均等物を網羅するように解釈されることが意図されている。

Claims (46)

  1. ナノ多孔質シリカ誘電体フィルムを製造する方法であって、
    (a) ケイ素含有プレポリマー;オニウム化合物および求核性物質からなる群より選択される金属イオン不含有触媒;および該ケイ素含有プレポリマーに結合しないポロジェンを含んでなる組成物を調製すること;
    (b) 該組成物で基体をコーティングしてフィルムを形成すること;
    (c) 該組成物を架橋させてゲル化フィルムを生成すること;および
    (d) 該ゲル化フィルムを、実質的にすべての前記ポロジェンを除去するのに有効な温度および期間で加熱すること;
    を含んでなる製造方法。
  2. 前記ナノ多孔質シリカ誘電体フィルムが、該フィルムの体積に基づいて約5%〜約80%の孔隙体積率を有する、請求項1の製造方法。
  3. 前記得られたナノ多孔質シリカ誘電体フィルムは、約3またはそれ未満の誘電率を有する、請求項1の製造方法。
  4. 前記ナノ多孔質シリカ誘電体フィルムは、約1nm〜約30nmの平均孔直径を有する、請求項1の製造方法。
  5. 前記ポロジェンは、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(サイクリックグリコール)ジエーテル、クラウンエーテル、ポリカプロラクトン、完全に末端がキャップされたポリアルキレンオキサイド、完全に末端がキャップされたポリアリーレンオキサイド、ポリノルベン、およびそれらの組合せからなる群より選択される、請求項1の製造方法。
  6. 前記ポロジェンは、ポリ(エチレングリコール)ジメチルエーテル、ポリ(エチレングリコール)ビス(カルボキシメチル)エーテル、ポリ(エチレングリコール)ジベンゾナート、ポリ(エチレングリコール)プロピルメチルエーテル、ポリ(エチレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジベンゾナート、ポリ(プロピレングリコール)ジブチルエーテル、ポリ(プロピレングリコール)ジメチルエーテル、ポリ(プロピレングリコール)ジグリシジルエーテル、15−クラウン5、18−クラウン−6、ジベンゾ−18−クラウン−6、ジシクロヘキシル−18−クラウン−6、ジベンゾ−15−クラウン−5、およびそれらの組合せからなる群より選択される、請求項1の製造方法。
  7. 前記触媒は、アンモニウム化合物、アミン、ホスホニウム化合物、およびホスフィン化合物からなる群より選択される、請求項1の製造方法。
  8. 前記触媒は、テトラ有機アンモニウム化合物、およびテトラ有機ホスホニウム化合物からなる群より選択される、請求項1の製造方法。
  9. 前記触媒は、テトラメチルアンモニウムアセテート、テトラメチルアンモニウムヒドロキサイド、テトラブチルアンモニウムアセテート、トリフェニルアミン、トリオクチルアミン、トリドデシルアミン、トリエタノールアミン、テトラメチルホスホニウムアセテート、テトラメチルホスホニウムヒドロキサイド、トリフェニルホスフィン、トリメチルホスフィン、トリオクチルホスフィン、およびそれらの組合せからなる群より選択される、請求項1の製造方法。
  10. 前記組成物は、該組成物の架橋を促進する非金属求核性添加物を更に含んでなる、請求項1の製造方法。
  11. 前記組成物は、該組成物の架橋を促進する求核性添加物であって、ジメチルスルホン、ジメチルホルムアミド、ヘキサメチルホスホラストリアミド、アミン、およびそれらの組合せからなる群より選択される求核性添加物を更に含んでなる、請求項1の製造方法。
  12. 前記組成物は、前記ケイ素含有プレポリマー中の前記Si原子に対する水のモル比にして約0.1:1〜約50:1の水を更に含んでなる、請求項1の製造方法。
  13. 前記組成物は、式I:
    Figure 2005522877
    (xは0〜約2の整数であり、yは4-xであって約2〜4の整数であり;Rはアルキル、アリール、水素、アルキレン、アリーレンおよびそれらの組合せからなる群より独立に選択され;Lは電気的に陰性の基であって、アルコキシ、カルボキシ、アミノ、アミド、ハライド、イソシアナート、およびそれらの組合せからなる群より独立に選択される)のケイ素含有プレポリマーを含んでなる、請求項1の製造方法。
  14. 前記組成物が、式Iによるプレポリマーを縮合させることにより形成されるポリマーを含んでなり、前記ポリマーの数平均分子量が約150〜約300,000amuの範囲である、請求項13の製造方法。
  15. 前記組成物が、アセトキシシラン、エトキシシラン、メトキシシラン、およびそれらの組合せからなる群より選択されるケイ素含有プレポリマーを含んでなる、請求項1の製造方法。
  16. 前記組成物が、テトラアセトキシシラン、C1〜約C6アルキルまたはアリール−トリアセトキシシラン、およびそれらの組合せからなる群より選択されるケイ素含有プレポリマーを含んでなる、請求項1の製造方法。
  17. 前記トリアセトキシシランが、メチルトリアセトキシシランである、請求項16の製造方法。
  18. 前記組成物が、テトラキス(2,2,2−トリフルオロエトキシ)シラン、テトラキス(トリフルオロアセトキシ)シラン、テトライソシアナートシラン、トリス(2,2,2−トリフルオロエトキシ)メチルシラン、トリス(トリフルオロアセトキシ)メチルシラン、メチルトリイソシアナートシラン、およびそれらの組合せからなる群より選択されるケイ素含有プレポリマーを含んでなる、請求項1の製造方法。
  19. 前記組成物が、前記段階(c)の架橋は、段階(d)の加熱温度より低い温度で行われる、請求項1の製造方法。
  20. 追加のポロジェンを更に含んでなり、該追加ポロジェンは、約150℃〜約450℃の沸点、昇華点または分解温度を有する、請求項1の製造方法。
  21. 加熱段階(c)が、前記フィルムを、約100℃〜約250℃の温度で、約30秒〜約10分間加熱することを含んでなる、請求項1の製造方法。
  22. 段階(d)が、前記フィルムを、約150℃〜約450℃の温度で、約30秒〜約1時間加熱することを含んでなる、請求項1の製造方法。
  23. 追加ポロジェンを更に含んでなり、該追加ポロジェンが約100〜約50,000amuの分子量を有する、請求項1の製造方法。
  24. 追加ポロジェンを更に含んでなり、該追加ポロジェンが、少なくとも1つの反応性ヒドロキシルまたはアミノ官能基を有する試薬を含んでなり、前記試薬は、有機化合物、有機ポリマー、無機ポリマー、およびそれらの組合せからなる群より選択される、請求項1の製造方法。
  25. 追加ポロジェンを更に含んでなり、該追加ポロジェンが、ポリアルキレンオキサイド、ポリアルキレンオキサイドのモノエーテル、脂肪族ポリエステル、アクリルポリマー、アセタールポリマー、ポリ(カプロラクトン)、ポリ(バレラクトン)、ポリ(メチルメタクリレート)、ポリ(ビニルブチラール)、およびそれらの組合せからなる群より選択される、請求項1の製造方法。
  26. 追加ポロジェンを更に含んでなり、該追加ポロジェンがポリアルキレンオキサイドモノエーテルを含んでなり、該ポリアルキレンオキサイドモノエーテルが、酸素原子間にC1〜約C6アルキル鎖、およびC1〜約C6アルキルエーテル残基を有し、該アルキル鎖は置換されていても置換されていなくてもよい、請求項1の製造方法。
  27. 前記ポリアルキレンオキサイドモノエーテルは、ポリエチレングリコールモノメチルエーテルまたはポリプロピレングリコールモノブチルエーテルである、請求項26の製造方法。
  28. 前記ポロジェンは、該組成物中に、該組成物の約1〜50重量%の量で存在する、請求項1の製造方法。
  29. 前記組成物が、溶剤を更に含んでなる、請求項1の製造方法。
  30. 前記組成物が、溶剤を該組成物の約10〜約95重量%の量で更に含んでなる、請求項1の製造方法。
  31. 前記組成物が、約50〜約250℃の沸点を有する溶剤を更に含んでなる、請求項1の製造方法。
  32. 前記組成物が、炭化水素、エステル、エーテル、ケトン、アルコール、アミド、およびそれらの組合せからなる群より選択される溶剤を更に含んでなる、請求項1の製造方法。
  33. 前記溶剤は、ジ−n−ブチルエーテル、アニソール、アセトン、3−ペンタノン、2−ヘプタノン、エチルアセテート、n−プロピルアセテート、n−ブチルアセテート、2−プロパノール、ジメチルアセトアミド、プロピレングリコールエチルエーテルアセテート、およびそれらの組合せからなる群より選択される、請求項29の製造方法。
  34. 請求項1の方法により基体上に生成されたナノ多孔質誘電体フィルム。
  35. 請求項34のナノ多孔質誘電体フィルムを含んでなる半導体デバイス。
  36. 集積回路である、請求項35の半導体デバイス。
  37. ケイ素含有プレポリマーに結合しないポロジェンであって、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(サイクリックグリコール)ジエーテル、クラウンエーテル、完全に末端キャップされたポリアルキレンオキサイド、完全に末端キャップされたポリアリーレンオキサイド、ポリノルベン、およびそれらの組合せからなる群より選択されるポロジェン。
  38. 前記ポロジェンは、ポリ(エチレングリコール)ジメチルエーテル、ポリ(エチレングリコール)ビス(カルボキシメチル)エーテル、ポリ(エチレングリコール)ジベンゾナート、ポリ(エチレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジベンゾナート、ポリ(プロピレングリコール)ジグリシジルエーテル、ポリ(プロピレングリコール)ジメチルエーテル、15−クラウン5、18−クラウン−6、ジベンゾ−18−クラウン−6、ジシクロヘキシル−18−クラウン−6、ジベンゾ−15−クラウン−5、およびそれらの組合せからなる群より選択される、請求項37のポロジェン。
  39. ケイ素含有プレポリマーおよびポロジェンを含んでなる組成物であって、該ポロジェンは、該ケイ素含有プレポリマーに結合しないものであり、ポリ(アルキレン)ジエーテル、ポリ(アリーレン)ジエーテル、ポリ(サイクリックグリコール)ジエーテル、クラウンエーテル、ポリカプロラクトン、完全に末端キャップされたポリアルキレンオキサイド、完全に末端キャップされたポリアリーレンオキサイド、ポリノルベン、およびそれらの組合せからなる群より選択される組成物。
  40. 金属イオン不含有触媒を更に含んでなる、請求項39の組成物。
  41. 前記金属イオン不含有触媒が、テトラメチルアンモニウムアセテートである、請求項40の組成物。
  42. 前記ケイ素含有プレポリマーが、アセトキシをベースとする解離基の組合せを含んでなる、請求項39の組成物。
  43. 前記アセトキシをベースとする解離基の組合せが、テトラアセトキシシランおよびメチルトリアセトキシシランを含んでなる、請求項42の組成物。
  44. 請求項39の前記組成物を含んでなるスピンオン組成物。
  45. 請求項44の前記スピンオン組成物を含んでなるフィルム。
  46. 多孔質シリカフィルムの孔サイズをコントロールする方法であって、
    (a) ケイ素含有プレポリマー;オニウム化合物および求核性物質からなる群より選択される金属イオン不含有触媒;およびポロジェンを含んでなる組成物を調製すること;
    (b) 該組成物で基体をコーティングしてフィルムを形成すること;
    (c) 該組成物を架橋させてゲル化フィルムを生成すること;および
    (d) 該ゲル化フィルムを、実質的にすべての前記ポロジェンを除去するのに有効な温度および期間で加熱すること;
    を含んでなり、且つ該ケイ素含有プレポリマーに結合しないポロジェンを使用することを含んでなる方法。
JP2003585170A 2002-04-10 2002-04-10 集積回路用の多孔質シリカ誘電体のための新規なポロジェン Pending JP2005522877A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2002/015255 WO2003088343A1 (en) 2002-04-10 2002-04-10 New porogens for porous silica dielectric for integral circuit applications

Publications (1)

Publication Number Publication Date
JP2005522877A true JP2005522877A (ja) 2005-07-28

Family

ID=29247997

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003585170A Pending JP2005522877A (ja) 2002-04-10 2002-04-10 集積回路用の多孔質シリカ誘電体のための新規なポロジェン

Country Status (4)

Country Link
US (1) US7381442B2 (ja)
JP (1) JP2005522877A (ja)
AU (1) AU2002309806A1 (ja)
WO (1) WO2003088343A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005183816A (ja) * 2003-12-22 2005-07-07 Jsr Corp シリカ系膜形成用組成物ならびにシリカ系膜およびその形成方法
JP2005332589A (ja) * 2004-05-18 2005-12-02 Hitachi Displays Ltd 有機エレクトロルミネッセンス表示装置
JPWO2018101278A1 (ja) * 2016-11-30 2019-10-24 株式会社リコー 酸化物又は酸窒化物絶縁体膜形成用塗布液、酸化物又は酸窒化物絶縁体膜、電界効果型トランジスタ、及びそれらの製造方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7604855B2 (en) * 2002-07-15 2009-10-20 Jds Uniphase Corporation Kinematic images formed by orienting alignable flakes
US7682701B2 (en) * 2002-02-27 2010-03-23 Hitachi Chemical Co., Ltd. Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts
KR100819226B1 (ko) * 2002-02-27 2008-04-02 히다치 가세고교 가부시끼가이샤 실리카계 피막형성용 조성물, 실리카계 피막 및 그제조방법 및 전자부품
US7687590B2 (en) * 2002-02-27 2010-03-30 Hitachi Chemical Company, Ltd. Composition for forming silica based coating film, silica based coating film and method for preparation thereof, and electronic parts
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
CN101252030A (zh) * 2004-03-02 2008-08-27 气体产品与化学公司 用于制备含溶剂的低介电材料的组合物
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US20050239264A1 (en) * 2004-04-21 2005-10-27 Honeywell International Inc. Materials suitable for shallow trench isolation
CN1300262C (zh) * 2004-05-09 2007-02-14 中国科学院化学研究所 超亲水性和/或超亲油性纳米孔材料的用途
US7015061B2 (en) 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
EP1632956A1 (en) * 2004-09-07 2006-03-08 Rohm and Haas Electronic Materials, L.L.C. Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
UA93569C2 (uk) * 2009-03-24 2011-02-25 Інститут Фізики Нан України Спосіб визначення in situ bitamih-d-синтезувальної дози природного та штучного ультрафіолетового опромінення та персональний біодозиметр для його здійснення
KR101142334B1 (ko) * 2009-06-04 2012-05-17 에스케이하이닉스 주식회사 반도체 소자 및 그의 제조방법
US9176259B2 (en) * 2011-03-04 2015-11-03 Intermolecular, Inc. Sol-gel based antireflective (AR) coatings with controllable pore size using organic nanocrystals and dendrimers
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US20150170926A1 (en) * 2013-12-16 2015-06-18 David J. Michalak Dielectric layers having ordered elongate pores
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US11782033B2 (en) 2019-01-18 2023-10-10 The Regents Of The University Of Michigan Microscale collector-injector technologies for passive environmental vapor sampling and focused injection

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955140A (en) 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US6126733A (en) 1997-10-31 2000-10-03 Alliedsignal Inc. Alcohol based precursors for producing nanoporous silica thin films
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6022812A (en) 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
US6093636A (en) 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
TWI230712B (en) 1998-09-15 2005-04-11 Novartis Ag Polymers
DE69941677D1 (de) * 1998-09-25 2010-01-07 Jgc Catalysts & Chemicals Ltd Flüssige beschichtungszusammensetzung für silicabeschichtung mit niedriger durchlössigkeit und mit d
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6576568B2 (en) 2000-04-04 2003-06-10 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US20020132496A1 (en) * 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
US6685983B2 (en) * 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005183816A (ja) * 2003-12-22 2005-07-07 Jsr Corp シリカ系膜形成用組成物ならびにシリカ系膜およびその形成方法
JP2005332589A (ja) * 2004-05-18 2005-12-02 Hitachi Displays Ltd 有機エレクトロルミネッセンス表示装置
JP4695345B2 (ja) * 2004-05-18 2011-06-08 株式会社 日立ディスプレイズ 有機エレクトロルミネッセンス表示装置
JPWO2018101278A1 (ja) * 2016-11-30 2019-10-24 株式会社リコー 酸化物又は酸窒化物絶縁体膜形成用塗布液、酸化物又は酸窒化物絶縁体膜、電界効果型トランジスタ、及びそれらの製造方法

Also Published As

Publication number Publication date
WO2003088343A1 (en) 2003-10-23
AU2002309806A1 (en) 2003-10-27
US20050123735A1 (en) 2005-06-09
US7381442B2 (en) 2008-06-03

Similar Documents

Publication Publication Date Title
JP4662718B2 (ja) 集積回路用途用の低金属多孔質シリカ誘電体
JP2005522877A (ja) 集積回路用の多孔質シリカ誘電体のための新規なポロジェン
JP2006500769A (ja) 低k材料用の中間層接着促進剤
US6495479B1 (en) Simplified method to produce nanoporous silicon-based films
KR100682614B1 (ko) 실란계 나노 다공성 실리카 박막 및 그 제조방법
JP4125637B2 (ja) 低誘電率材料及びその製造方法
US20060159938A1 (en) Composition for forming low dielectric thin film comprising polymer nanoparticles and method of preparing low dielectric thin film using the same
US7294584B2 (en) Siloxane-based resin and a semiconductor interlayer insulating film using the same
US20060145306A1 (en) Composition for forming low dielectric thin film comprising porous nanoparticles and method of preparing low dielectric thin film using the same
TWI400754B (zh) A precursor film composition of a porous film and a method for producing the same, a porous film and a method for producing the same, and a semiconductor device
KR100671850B1 (ko) 다공질 필름의 개질 방법 및 개질된 다공질 필름 및 그 용도
US7470634B2 (en) Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane
JP4549781B2 (ja) 新規のシロキサン樹脂及びこれを用いた半導体層間絶縁膜
US20030099843A1 (en) Low-permittivity porous siliceous film, semiconductor devices having such films, and coating composition for forming the film
JP2006165540A (ja) 低誘電性メソポーラス薄膜の製造方法
US20050113472A1 (en) Porous materials
KR20040039368A (ko) 전자 장치 및 조성물
KR100572801B1 (ko) 기계적 특성이 우수한 절연막 코팅 조성물
JP2005036228A (ja) ゲルマニウムを含むシロキサン系樹脂及びこれを用いた半導体層間絶縁膜の形成方法
WO2003010246A1 (en) Siloxane resins
US7148263B2 (en) Hybrid inorganic/organic low k dielectric films with improved mechanical strength
US20060135633A1 (en) Porous low-dielectric constant (k) thin film with controlled solvent diffusion
JP2005536026A (ja) ナノ多孔質材料およびその形成方法
KR101023916B1 (ko) 분자 다면체형 실세스퀴옥산을 이용한 반도체 층간절연막의 형성방법
TW200306282A (en) New porogens for porous silica dielectric for integral circuit applications

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080307

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080515