JP2005332378A - Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method - Google Patents

Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method Download PDF

Info

Publication number
JP2005332378A
JP2005332378A JP2005121220A JP2005121220A JP2005332378A JP 2005332378 A JP2005332378 A JP 2005332378A JP 2005121220 A JP2005121220 A JP 2005121220A JP 2005121220 A JP2005121220 A JP 2005121220A JP 2005332378 A JP2005332378 A JP 2005332378A
Authority
JP
Japan
Prior art keywords
waveform
input
delay time
instance
delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005121220A
Other languages
Japanese (ja)
Inventor
Noriko Ishibashi
典子 石橋
Naoki Amakawa
直樹 雨河
Nobufusa Iwanishi
信房 岩西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2005121220A priority Critical patent/JP2005332378A/en
Publication of JP2005332378A publication Critical patent/JP2005332378A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To propose a method for characterizing a cell property so that a delay time in a cell can be calculated accurately even when a distortion is caused in an input waveform to the cell and an output waveform corresponding to the size of the cell's driving capacity. <P>SOLUTION: Considering that there may be a case where a mirror effect occurs corresponding to a magnitude of a driving load that a cell drives and a distortion is caused to an input waveform and an output waveform of a cell attributable to the mirror effect, one effective input terminal capacitance effectively equal to the cell causing the distortion of the waveform by the mirror effect and the driving load connected to it is calculated (Step 1103). Then the obtained effective input terminal capacitance is characterized as an input slope waveform and a function of the driving load, and is shown in table data 11. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、波形歪を考慮して回路設計を行うことを目的とした、半導体集積回路における波形変歪を考慮したセル特性キャラクタライズ方法及びその方法を使用した半導体集積回路の遅延時間計算方法に関するものである。   The present invention relates to a cell characteristic characterization method considering waveform distortion in a semiconductor integrated circuit and a method for calculating a delay time of a semiconductor integrated circuit using the method, in order to design a circuit in consideration of waveform distortion. Is.

ゲートレベル遅延計算の遅延計算用のライブラリ作成におけるセル特性キャラクタライズ方法としては、キャラクタライズ対象セルに対し、入力トランジション値と駆動負荷とを、値を変化させて与え、出力端子における波形の傾きである出力トランジション値と、セル遅延時間とを測定し、前記出力トランジション値とセル遅延時間の値とを、それぞれセルへの入力トランジション値と駆動負荷との二次元テーブルとして表すことによりキャラクタライズし、ライブラリ化する方法が一般的である。図24に、従来のセル遅延時間を算出するためのライブラリの例を示す。図24において、1001で示すインデックスTran1〜Tran5がセルへ入力される波形の入力トランジション値、1002で示すインデックスLoad1〜Load5がセルの出力端子に接続された駆動負荷である。D11からD55は、各入力トランジション及び駆動負荷が与えられた時のセルの遅延時間である。   The cell characteristic characterization method for creating a delay calculation library for gate level delay calculation is to change the input transition value and drive load for the cell to be characterized, and change the slope of the waveform at the output terminal. Characterize by measuring a certain output transition value and cell delay time, and expressing the output transition value and the cell delay time value as a two-dimensional table of the input transition value to the cell and the driving load, respectively. A method of creating a library is common. FIG. 24 shows an example of a library for calculating a conventional cell delay time. In FIG. 24, indexes Tran1 to Tran5 indicated by 1001 are input transition values of the waveform input to the cell, and indexes Load1 to Load5 indicated by 1002 are drive loads connected to the output terminals of the cell. D11 to D55 are cell delay times when each input transition and drive load are applied.

前記図24に示した前記入力トランジション値及び駆動負荷の二次元で表現された遅延時間テーブルを参照して、各セルの実際の入力トランジション値と、各ゲートが駆動する実際の駆動負荷とに対応する各セルの遅延時間を求めるのは、従来の一般的手法である。この場合、各ゲートへの入力トランジション値は、トランジション測定の閾値を基準にして、電圧が閾値に達する時刻を用いて、遅延計算ツールによって算出される。   Referring to the delay time table expressed in two dimensions of the input transition value and driving load shown in FIG. 24, it corresponds to the actual input transition value of each cell and the actual driving load driven by each gate. It is a conventional general method to obtain the delay time of each cell. In this case, the input transition value to each gate is calculated by the delay calculation tool using the time when the voltage reaches the threshold value with reference to the threshold value of the transition measurement.

この二次元の遅延時間テーブルを利用した遅延計算方法の例として、例えば非特許文献1では、先ず、セルが駆動する容量を実効容量として求めておき、その後、入力トランジション値と駆動負荷との二次元の遅延時間テーブルで生成されたライブラリを参照して、セルへの入力トランジションの値と前記求めた実効容量(駆動負荷)の値とに対応する遅延時間を求めることにより、セルの遅延時間を計算している。   As an example of a delay calculation method using the two-dimensional delay time table, for example, in Non-Patent Document 1, first, a capacity driven by a cell is obtained as an effective capacity, and thereafter, an input transition value and a drive load By referring to the library generated in the dimension delay time table, the delay time of the cell is obtained by obtaining the delay time corresponding to the value of the input transition to the cell and the value of the effective capacity (drive load) obtained above. I'm calculating.

また、前記とは別の遅延計算方法として、セルへの入力波形に歪が生じている場合の遅延計算方法に、例えば、特許文献1に示されているように、セルへの非線形な入力信号波形を線形な入力信号波形の集合として線形近似した結果を用いて遅延計算を行う方法が提案されている。   Further, as a delay calculation method different from the above, a delay calculation method in the case where distortion occurs in the input waveform to the cell, for example, as shown in Patent Document 1, a nonlinear input signal to the cell There has been proposed a method of performing delay calculation using a result obtained by linearly approximating a waveform as a set of linear input signal waveforms.

更に、前記従来の遅延計算方法を利用したレイアウト後の回路修正においては、遅延計算結果を元に、セットアップ検証及びホールド検証を行い、信号が早く到着して誤動作の原因になるパスに対しては、バッファ挿入等を行って遅延時間の調整を行う処理を行い、信号が遅く到着して誤動作の原因になるパスに対しては、該当パスのセルの駆動能力を上げる等の処理を行う。
特開2001-67387号公報 信学技報VLD98-137
Furthermore, in circuit correction after layout using the conventional delay calculation method, setup verification and hold verification are performed based on the delay calculation result, and for paths that cause signals to arrive early and cause malfunctions. Then, processing for adjusting the delay time by performing buffer insertion or the like is performed, and for a path in which a signal arrives late and causes a malfunction, processing for increasing the cell driving capability of the corresponding path is performed.
JP 2001-67387 A IEICE technical report VLD98-137

しかしながら、実際の回路においては、セルの駆動能力の大きさと駆動容量の大きさとの関係により、各セルへの入出力波形に歪が発生する場合がある。これに対して、前記非特許文献1に記載されている遅延計算方法では、このような場合を考慮せず、入力波形に歪が発生しないことを前提としていたため、前記のような波形歪を生じる場合には計算結果に誤差を生じることになる。   However, in an actual circuit, distortion may occur in the input / output waveform to each cell depending on the relationship between the driving capability of the cell and the driving capacity. On the other hand, the delay calculation method described in Non-Patent Document 1 does not consider such a case and assumes that no distortion occurs in the input waveform. If it occurs, an error occurs in the calculation result.

特に、前記のような原因により、遅延測定の閾値付近において波形歪が発生すると、セル特性抽出結果が実際の結果と大きく異なり、遅延計算精度が劣化するという問題が生じる。   In particular, when waveform distortion occurs in the vicinity of the delay measurement threshold due to the above-described causes, the cell characteristic extraction result is significantly different from the actual result, and there is a problem that the delay calculation accuracy deteriorates.

また、前記特許文献1に記載される遅延計算方法では、計算精度を上げるために、セル入力波形の歪を考慮しているが、セルが駆動する容量の大きさに依存して生じる波形の歪の影響については考慮していないため、このような原因により歪が発生するときには計算結果に誤差を生じることになる。   In addition, in the delay calculation method described in Patent Document 1, the distortion of the cell input waveform is taken into consideration in order to increase the calculation accuracy, but the distortion of the waveform that occurs depending on the size of the capacity driven by the cell. Therefore, when distortion occurs due to such a cause, an error occurs in the calculation result.

更に、これら従来の遅延計算方法を用いて、レイアウト後の回路修正を行う場合についても、実際はセルへの入出力の波形に歪が生じていることにより、実際の遅延時間の方が長い場合も発生し得る。このような場合に対して、例えばホールドエラー修正では、余計な回路修正作業が発生することになり、また、セットアップエラー修正においては、エラーを見逃す原因となり得る。   Furthermore, when the circuit is corrected after layout using these conventional delay calculation methods, the actual delay time may be longer due to distortion in the input / output waveform to the cell. Can occur. In such a case, for example, in the hold error correction, an extra circuit correction work occurs, and in the setup error correction, an error may be overlooked.

以上の欠点に鑑み、本発明の目的は、セルが駆動する駆動負荷容量の大きさ及びセルに入力される入力スロープ波形に起因して、遅延計算対象となる回路に対する入出力波形に歪が生じる場合において、前記歪の原因を考慮することにより、精度良く遅延計算を行うことにある。   In view of the above drawbacks, the object of the present invention is to cause distortion in the input / output waveform for the circuit subject to delay calculation due to the magnitude of the drive load capacitance driven by the cell and the input slope waveform input to the cell. In some cases, the delay calculation is performed with high accuracy by considering the cause of the distortion.

前記の目的を達成するために、本発明では、入出力波形に歪を生じる場合の特定の回路条件を遅延計算対象回路への入力スロープ波形とこの遅延計算対象回路が駆動する駆動負荷とに対するパラメータとして抽出し、更に、この関係をライブラリ化して、実際の遅延計算時にこのライブラリを参照することにより、入力スロープ波形及び駆動負荷から正確な出力波形及び遅延値を算出する。また、レイアウト後の回路修正を行う場合にも、前記ライブラリを参照することにより波形歪の影響を考慮する。   In order to achieve the above object, in the present invention, specific circuit conditions when distortion occurs in an input / output waveform are parameters for an input slope waveform to a delay calculation target circuit and a driving load driven by the delay calculation target circuit. Further, this relationship is made into a library, and this library is referred to at the time of actual delay calculation, whereby an accurate output waveform and delay value are calculated from the input slope waveform and drive load. Also, when performing circuit correction after layout, the influence of waveform distortion is taken into account by referring to the library.

すなわち、請求項1記載の発明のセル特性キャラクタライズ方法は、所定の駆動負荷が接続されたセルの入力波形がミラー効果に起因して変歪する場合の前記セルの特性をキャラクタライズするセル特性キャラクタライズ方法であって、前記入力波形が前記駆動負荷を持つキャラクタライズ対象セルに入力される際に、前記入力波形が前記ミラー効果に起因して所定の遅延時間だけ前記入力波形よりも遅れた変歪波形となる場合の前記セルの実効入力端子容量を算出する実効入力端子容量算出ステップと、前記実効入力端子容量算出ステップで算出した実効入力端子容量を前記入力波形と前記駆動負荷の値との関数として保持する保持ステップとを有することを特徴とする。   That is, the cell characteristic characterization method according to the first aspect of the invention is a cell characteristic that characterizes the characteristics of the cell when the input waveform of the cell to which a predetermined driving load is connected is distorted due to the mirror effect. In the characterization method, the input waveform is delayed from the input waveform by a predetermined delay time due to the mirror effect when the input waveform is input to the characterization target cell having the driving load. An effective input terminal capacity calculating step for calculating an effective input terminal capacity of the cell in the case of a distortion waveform, and an effective input terminal capacity calculated in the effective input terminal capacity calculating step with the input waveform and the value of the driving load. Holding step for holding as a function of

請求項2記載の発明のセル特性キャラクタライズ方法は、入力スロープ波形を発生させる入力スロープ波形発生ステップと、入力バンプ波形を発生させる入力バンプ波形発生ステップと、前記入力スロープ波形に前記入力バンプ波形が重畳した入力波形をキャラクタライズ対象セルに入力し、この入力した際の前記キャラクタライズ対象セルの出力波形を測定する回路シミュレーションステップと、前記測定されたキャラクタライズ対象セルの出力波形を、出力スロープ波形と、出力バンプ波形とに分離するスロープ波形及びバンプ波形分離ステップと、前記出力スロープ波形及び出力バンプ波形を前記入力スロープ波形及び入力バンプ波形の関数として保持する保持ステップとを有することを特徴とする。   According to a second aspect of the present invention, there is provided an input slope waveform generating step for generating an input slope waveform, an input bump waveform generating step for generating an input bump waveform, and the input bump waveform in the input slope waveform. A circuit simulation step of inputting the superimposed input waveform to the characterization target cell and measuring the output waveform of the characterization target cell when this input is performed, and outputting the measured output waveform of the characterization target cell as an output slope waveform A slope waveform and a bump waveform separating step for separating the output waveform into an output bump waveform, and a holding step for holding the output slope waveform and the output bump waveform as a function of the input slope waveform and the input bump waveform. .

請求項3記載の発明は、前記請求項2に記載のセル特性キャラクタライズ方法において、前記入力バンプ波形及び出力バンプ波形は、各々、スロープ波形の波形遷移時間、バンプ波形のバンプ波形高さ、バンプ波形幅、バンプ面積、バンプ波形がピークに達するまでの時間、及びバンプ波形がスロープ波形に重畳するタイミングにより定義されることを特徴とする。   According to a third aspect of the present invention, in the cell characteristic characterization method according to the second aspect, the input bump waveform and the output bump waveform are a waveform transition time of a slope waveform, a bump waveform height of a bump waveform, and a bump, respectively. The waveform width, the bump area, the time until the bump waveform reaches a peak, and the timing at which the bump waveform is superimposed on the slope waveform are defined.

請求項4記載の発明のセル特性キャラクタライズ方法は、所定の駆動負荷が接続されたキャラクタライズ対象セルの入力側に駆動能力の低いセルを接続し、この駆動能力の低いセルに入力波形を入力して、この入力された際の前記キャラクタライズ対象セルの入力波形及び出力波形に波形歪みが発生したか否かを検出する波形歪検出ステップと、前記キャラクタライズ対象セルの入力波形及び出力波形についての波形歪の有無を、前記キャラクタライズ対象セルの入力波形と前記駆動負荷の値との関数又はテーブルとして保持する保持ステップとを有することを特徴とする。   According to a fourth aspect of the present invention, there is provided a cell characteristic characterization method in which a cell having a low driving capability is connected to an input side of a cell to be characterized to which a predetermined driving load is connected, and an input waveform is input to the cell having a low driving capability. Then, a waveform distortion detecting step for detecting whether or not waveform distortion has occurred in the input waveform and output waveform of the characterization target cell at the time of the input, and the input waveform and output waveform of the characterization target cell A holding step of holding the presence or absence of the waveform distortion as a function or table of the input waveform of the cell to be characterized and the value of the driving load.

請求項5記載の発明の半導体集積回路の遅延時間計算方法は、前記請求項2に記載のセル特性キャラクタライズ方法を使用して、複数のセルが複数の配線で接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、前記複数のセルのうち1つを遅延時間計算対象セルとして、この対象セルについて、入力波形と駆動負荷の値とを抽出する駆動負荷及び入力波形抽出ステップと、前記請求項2に記載のセル特性キャラクタライズ方法での関数を参照して、前記抽出された入力波形及び駆動負荷の値では入力波形又は出力波形に歪が発生するパターンか否かを検出する歪発生パターン検出ステップと、前記歪発生パターン検出ステップで歪が発生するパターンであることが検出されないときには、前記遅延時間計算対象セルについてゲートレベルの遅延計算処理を実行するゲートレベル遅延計算ステップと、前記歪発生パターン検出ステップで歪が発生するパターンであることが検出されたときには、前記遅延時間計算対象セルについてトランジスタレベルの遅延計算処理を実行するトランジスタレベル遅延計算ステップとを有することを特徴とする。   According to a fifth aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculating method in which a plurality of cells are connected by a plurality of wirings using the cell characteristic characterization method according to the second aspect. A delay time calculation method for a semiconductor integrated circuit that calculates a delay time of a circuit in consideration of waveform distortion, wherein one of the plurality of cells is set as a delay time calculation target cell, and the input waveform and drive of the target cell are calculated. A drive load and input waveform extraction step for extracting a load value, and a function in the cell characteristic characterization method according to claim 2, wherein the input waveform is the input waveform extracted by the extracted input waveform and drive load value. Alternatively, a distortion generation pattern detection step for detecting whether or not the output waveform has a distortion is detected, and the distortion generation pattern is detected in the distortion generation pattern detection step. When it is detected that there is a pattern in which distortion is generated in a gate level delay calculation step for executing a gate level delay calculation process for the delay time calculation target cell and in the distortion generation pattern detection step, the delay time is detected. And a transistor level delay calculation step for executing a transistor level delay calculation process for the calculation target cell.

請求項6記載の発明は、前記請求項5に記載の半導体集積回路の遅延時間計算方法において、前記トランジスタレベル遅延計算ステップでの遅延計算の終了後に、前記遅延時間計算対象セルの入力波形又は出力波形に波形歪が発生しているか否かを検出し、波形歪が発生しているときには、その波形歪の発生がなくなるまで、前記トランジスタレベル遅延計算ステップでのトランジスタレベルの遅延計算を繰り返させる波形歪検出ステップを有することを特徴とする。   According to a sixth aspect of the present invention, in the delay time calculation method for a semiconductor integrated circuit according to the fifth aspect, after completion of the delay calculation in the transistor level delay calculation step, the input waveform or output of the delay time calculation target cell A waveform that detects whether or not waveform distortion has occurred in the waveform, and when waveform distortion has occurred, repeats the transistor level delay calculation in the transistor level delay calculation step until the waveform distortion does not occur It has a distortion detection step.

請求項7記載の発明の半導体集積回路の遅延時間計算方法は、複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、前記全てのインスタンスの遅延時間及び前記全てのネットの配線遅延時間、並びに前記全てのインスタンスの入力端子及び出力端子における信号波形を計算する第1の遅延計算ステップと、前記複数のインスタンスの1つである遅延時間計算対象インスタンスについて、ミラー効果に起因する入力波形の変歪の有無に応じた遅延時間計算対象インスタンスの変動入力端子容量値が入力され、前記変動入力端子容量値を前記遅延時間計算対象インスタンスの入出力間のカップリング容量として表し、前記遅延時間計算対象インスタンスの出力端子につながるネットをアグレッサーとし且つ前記遅延時間計算対象セルの入力端子につながるネットをヴィクティムとして、クロストーク計算し、これにより、前記遅延時間計算対象インスタンスのミラー効果に起因して変歪した変歪入力信号波形を得るインスタンス入力信号波形計算ステップと、前記インスタンス入力信号波形計算ステップにおいて計算された前記変歪入力信号波形が入力され、前記遅延時間計算対象インスタンスの入出力端子間の信号波形伝播を計算して、前記遅延時間計算対象インスタンスの変歪出力信号波形を得るインスタンス出力信号波形伝播ステップと、前記得られた遅延時間計算対象インスタンスの変歪入力信号波形及び変歪出力信号波形に基づいて、前記遅延時間計算対象インスタンスの遅延時間を計算し、更に、前記変歪出力信号波形を伝播させて、後段のインスタンスの遅延時間及び後段のネットの配線遅延時間を計算する第2の遅延計算ステップとを有することを特徴とする。   According to a seventh aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculating method for calculating a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion. A delay time calculation method, comprising: a first delay calculation step of calculating a delay time of all the instances and a wiring delay time of all the nets, and a signal waveform at an input terminal and an output terminal of all the instances; For the delay time calculation target instance that is one of the plurality of instances, a variable input terminal capacitance value of the delay time calculation target instance according to the presence or absence of distortion of the input waveform due to the mirror effect is input, and the variable input terminal A capacity value is represented as a coupling capacity between input and output of the delay time calculation target instance, and the delay time calculation pair The crosstalk calculation is performed by using the net connected to the output terminal of the instance as an aggressor and the net connected to the input terminal of the delay time calculation target cell as a victim, thereby causing distortion due to the mirror effect of the delay time calculation target instance. An instance input signal waveform calculating step for obtaining a deformed distortion input signal waveform, and a signal between input and output terminals of the delay time calculation target instance, wherein the distortion input signal waveform calculated in the instance input signal waveform calculation step is input. An instance output signal waveform propagation step for calculating waveform propagation to obtain a distortion output signal waveform of the delay time calculation target instance, and a distortion input signal waveform and a distortion output signal waveform of the obtained delay time calculation target instance The delay time calculation target inst And a second delay calculation step for calculating the delay time of the latter instance and the wiring delay time of the latter net by propagating the waveform of the distortion output signal. And

請求項8記載の発明の半導体集積回路の遅延時間計算方法は、複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、前記全てのインスタンスの遅延時間及び前記全てのネットの配線遅延時間、並びに前記全てのインスタンスの入力端子及び出力端子における信号波形を計算する第1の遅延計算ステップと、前記複数のインスタンスの1つである遅延時間計算対象インスタンスについて、ミラー効果に起因する入力波形の変歪の有無に応じた遅延時間計算対象インスタンスの変動入力端子容量値が入力され、前記変動入力端子容量値を前記遅延時間計算対象インスタンスの入出力間のカップリング容量として表し、前記遅延時間計算対象インスタンスの出力端子につながるネットをアグレッサーとし且つ前記遅延時間計算対象セルの入力端子につながるネットをヴィクティムとして、クロストーク計算し、これにより、前記遅延時間計算対象インスタンスのミラー効果に起因して変歪した変歪入力信号波形を得るインスタンス入力信号波形計算ステップと、前記遅延時間計算対象インスタンスについて、前記変動入力端子容量値が入力され、前記変動入力端子容量値を前記遅延時間計算対象インスタンスの入出力間のカップリング容量として表し、前記遅延時間計算対象インスタンスの入力端子につながるネットをアグレッサーとし且つ前記遅延時間計算対象セルの出力端子につながるネットをヴィクティムとして、クロストーク計算し、これにより、前記遅延時間計算対象インスタンスのミラー効果に起因して変歪した変歪出力信号波形を得るインスタンス出力信号波形計算ステップと、前記得られた遅延時間計算対象インスタンスの変歪入力信号波形及び変歪出力信号波形に基づいて、前記遅延時間計算対象インスタンスの遅延時間を計算し、更に、前記変歪出力信号波形を伝播させて、後段のインスタンスの遅延時間及び後段のネットの配線遅延時間を計算する第2の遅延計算ステップとを有することを特徴とする。   According to another aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculating method for calculating a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion. A delay time calculation method, comprising: a first delay calculation step of calculating a delay time of all the instances and a wiring delay time of all the nets, and a signal waveform at an input terminal and an output terminal of all the instances; For the delay time calculation target instance that is one of the plurality of instances, a variable input terminal capacitance value of the delay time calculation target instance according to the presence or absence of distortion of the input waveform due to the mirror effect is input, and the variable input terminal A capacity value is represented as a coupling capacity between input and output of the delay time calculation target instance, and the delay time calculation pair The crosstalk calculation is performed by using the net connected to the output terminal of the instance as an aggressor and the net connected to the input terminal of the delay time calculation target cell as a victim, thereby causing distortion due to the mirror effect of the delay time calculation target instance. An instance input signal waveform calculation step for obtaining a distorted input signal waveform, and the variable input terminal capacitance value for the delay time calculation target instance, and the variable input terminal capacitance value is input / output to / from the delay time calculation target instance It is expressed as a coupling capacitance between the delay time calculation target instance and the net connected to the input terminal of the delay time calculation target instance is an aggressor and the net connected to the output terminal of the delay time calculation target cell is a victim, thereby calculating the crosstalk. Time calculation target An instance output signal waveform calculating step for obtaining a deformed output signal waveform deformed due to an instance mirror effect, and a deformed input signal waveform and a deformed output signal waveform of the obtained delay time calculation target instance A second delay calculation step of calculating a delay time of the delay time calculation target instance, and further propagating the distortion output signal waveform to calculate a delay time of the latter instance and a wiring delay time of the latter net It is characterized by having.

請求項9記載の発明の半導体集積回路の遅延時間計算方法は、前記請求項2に記載のセル特性キャラクタライズ方法を使用して、複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、前記複数のインスタンスのうち1つの遅延時間計算対象インスタンスへの入力波形が、入力バンプ波形の重畳した波形であるとき、この入力波形を、前記入力バンプ波形が重畳していない入力スロープ波形と、前記入力バンプ波形とに分離するスロープ波形及びバンプ波形分離ステップと、前記請求項2に記載のセル特性キャラクタライズ方法で保持された関数を参照して、前記入力スロープ波形及び前記入力バンプ波形に対応する前記遅延時間計算対象インスタンスの出力スロープ波形及び出力バンプ波形を求めて、前記遅延時間計算対象インスタンスの出力波形として前記出力スロープ波形にバンプ波形が重畳した出力波形を求めるライブラリ参照ステップと、前記遅延時間計算対象インスタンスの出力側に接続される後段ネットにおいて外部要因でバンプ波形が発生するとき、このバンプ波形の情報が入力され、このバンプ波形を前記遅延時間計算対象インスタンスの出力波形に重畳して、前記後段ネットの出力波形を計算するネット波形計算ステップとを有することを特徴とする。   According to a ninth aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculation method in which a plurality of instances are connected by a plurality of nets using the cell characteristic characterization method according to the second aspect. A delay time calculation method for a semiconductor integrated circuit that calculates a delay time of a circuit in consideration of waveform distortion, wherein an input waveform to one delay time calculation target instance of the plurality of instances is superimposed with an input bump waveform 3. The cell characteristic according to claim 2, wherein when the waveform is a waveform, the input waveform is separated into an input slope waveform on which the input bump waveform is not superimposed and the input bump waveform, and a slope waveform and bump waveform separation step. The delay time calculation corresponding to the input slope waveform and the input bump waveform with reference to the function held by the characterization method A library reference step of obtaining an output slope waveform and an output bump waveform of an elephant instance, obtaining an output waveform in which a bump waveform is superimposed on the output slope waveform as an output waveform of the delay time calculation target instance, and the delay time calculation target instance When a bump waveform occurs due to an external factor in the subsequent net connected to the output side, information on this bump waveform is input, and this bump waveform is superimposed on the output waveform of the delay time calculation target instance, And a net waveform calculation step for calculating an output waveform.

請求項10記載の発明の半導体集積回路の遅延時間計算方法は、入力側に配線が接続されると共に出力側に駆動負荷が接続されるセルの入力信号波形がミラー効果に起因して変歪する場合に、前記請求項1記載のセル特性キャラクタライズ方法を使用して、前記変歪した入力信号波形を計算するセルの入力波形計算方法であって、前記波形計算対象セルの前記変歪前の入力波形と前記駆動負荷の値とが入力され、前記請求項1記載のセル特性キャラクタライズ方法で得られた関数を参照して、前記波形計算対象セルの前記変歪前の入力波形と前記駆動負荷の値とに対応する実効入力端子容量を計算する入力端子容量計算ステップと、前記波形計算対象セルの入力側に接続された配線の出力信号波形が入力され、前記入力された配線の出力信号波形と、前記計算された実効入力端子容量に前記波形計算対象セルの入力側に接続された配線の容量を加えた負荷容量とに基づいて、前記波形計算対象セルの前記変歪後の入力波形を計算する波形計算ステップとを有することを特徴とする。   According to a tenth aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculation method in which an input signal waveform of a cell having a wiring connected to an input side and a drive load connected to an output side is distorted due to a mirror effect. A cell input characterization method for calculating the distorted input signal waveform using the cell characteristic characterization method according to claim 1, wherein the distorted input signal waveform before the distortion is calculated. An input waveform and a value of the driving load are input, and referring to a function obtained by the cell characteristic characterization method according to claim 1, the input waveform before the distortion of the waveform calculation target cell and the driving An input terminal capacity calculating step for calculating an effective input terminal capacity corresponding to a load value; an output signal waveform of a wiring connected to the input side of the waveform calculation target cell; and an output signal of the input wiring And the input waveform after the distortion of the waveform calculation target cell, based on the shape and the load capacity obtained by adding the capacitance of the wiring connected to the input side of the waveform calculation target cell to the calculated effective input terminal capacitance And a waveform calculation step for calculating.

請求項11記載の発明の半導体集積回路の遅延時間計算方法は、複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、前記全てのインスタンスの遅延時間及び前記全てのネットの配線遅延時間、前記全てのインスタンスの入力端子及び出力端子における信号波形、並びに前記全てのインスタンスの実効入力端子容量を計算すると共に、入力信号波形及び実効入力端子容量を含むミラー効果発生条件が入力され、前記計算された各インスタンス別の入力信号波形及び前記実効入力端子容量を前記ミラー効果発生条件と照合して、入力信号にミラー効果が発生するインスタンスをリストアップし、ミラー効果発生インスタンスリストとして出力する遅延計算ステップと、前記遅延計算ステップで計算された遅延時間をネットリストに割り当てて、静的なタイミング解析を行い、各パスのタイミングがタイミング設計仕様を満たすか否かを判定し、前記タイミング設計仕様を満たしていないときには、その満たしていないパスのタイミングと前記タイミング設計仕様との差分をスラック情報として記憶する静的タイミング解析ステップと、前記静的タイミング解析ステップでタイミング設計仕様を満たさないと判定されたパスに含まれるインスタンスを前記ミラー効果発生インスタンスリストと照合し、前記パスに含まれるインスタンスが前記ミラー効果発生インスタンスリスト中に含まれるとき、このインスタンスのミラー効果に起因する遅延変動量を計算し、この遅延変動量をパス遅延変動レポートとして出力するミラー効果発生インスタンス抽出ステップと、前記タイミング設計仕様を満たさないと判定されたパスの前記スラック情報を前記パス遅延変動レポートとを照合し、ミラー効果による遅延変動量では前記タイミング設計仕様を満たすときには、前記パスはタイミング設計仕様を満たしていると再判定するタイミング再判定ステップとを有することを特徴とする。   According to a eleventh aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculating method for calculating a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion. A delay time calculation method, comprising: delay times of all instances and wiring delay times of all nets; signal waveforms at input terminals and output terminals of all instances; and effective input terminal capacities of all instances. While calculating, Miller effect generation conditions including an input signal waveform and effective input terminal capacitance is input, and the calculated input signal waveform and effective input terminal capacitance for each instance are compared with the Miller effect generation conditions, List the instances where the mirror effect occurs in the input signal and create a mirror effect occurrence instance list. A delay calculating step to output, assigning the delay time calculated in the delay calculating step to the netlist, performing a static timing analysis, determining whether the timing of each path satisfies the timing design specifications, When the timing design specification is not satisfied, a static timing analysis step that stores the difference between the timing of the path that does not satisfy the timing design specification as slack information, and the timing design specification is not satisfied in the static timing analysis step The instance included in the path determined to be compared with the mirror effect occurrence instance list, and when the instance included in the path is included in the mirror effect occurrence instance list, the delay variation amount due to the mirror effect of this instance Calculate this delay variation The mirror effect occurrence instance extraction step that is output as a path delay variation report, and the slack information of the path that is determined not to satisfy the timing design specification are collated with the path delay variation report. When the timing design specification is satisfied, the path includes a timing redetermination step for re-determining that the path satisfies the timing design specification.

請求項12記載の発明の半導体集積回路の遅延時間計算方法は、複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、ネットリスト、及び前記複数のインスタンスの遅延時間及び前記複数のネットの配線遅延時間が入力され、前記遅延時間及び配線遅延時間を前記ネットリストに割り当てて、静的なタイミング解析を行う静的タイミング解析ステップと、前記静的タイミング解析ステップでのタイミング解析の結果がタイミング設計仕様を満たしているか否かを判定するタイミングMET判定ステップと、前記タイミングMET判定ステップでタイミング設計仕様を満たしていないと判定されたとき、レイアウト情報が入力されて、このレイアウト情報に基いて、タイミング改善のためにインスタンスのサイズの変更又は再配線を含む回路修正を行う回路修正ステップと、前記回路修正ステップで回路修正が行われた後の全インスタンスの遅延時間及び全ネットの配線遅延時間を計算し、その計算後に前記静的タイミング解析ステップに戻る遅延計算ステップと、前記タイミングMET判定ステップでタイミング設計仕様を満たしていると判断されたとき、ミラー効果発生条件が入力され、このミラー効果発生条件に基づいて、ミラー効果が発生するインスタンスであって且つこのミラー効果の発生に起因してタイミングが前記タイミング設計仕様を満たさなくなるパスに含まれるインスタンスを抽出するミラー効果発生インスタンス抽出ステップと、前記ミラー効果発生インスタンス抽出ステップで抽出されたミラー効果が発生インスタンスを修正するか、又は前記ミラー効果を起こさせる要因となっているインスタンスを修正するかの回路修正方法を決定し、前記回路修正ステップに戻る回路修正方法決定ステップとを有することを特徴とする。   According to a twelfth aspect of the present invention, there is provided a semiconductor integrated circuit delay time calculation method for calculating a delay time of a semiconductor integrated circuit formed by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion. A delay time calculation method comprising: inputting a net list, a delay time of the plurality of instances, and a wiring delay time of the plurality of nets; assigning the delay time and the wiring delay time to the net list; Static timing analysis step for performing timing analysis, timing MET determination step for determining whether or not a result of timing analysis at the static timing analysis step satisfies timing design specifications, and timing design at the timing MET determination step When it is determined that the specifications are not met, layout information is input, A circuit correction step for performing circuit correction including instance size change or rewiring to improve timing based on the layout information, and delay times of all instances after the circuit correction is performed in the circuit correction step, and When the delay calculation step of calculating the wiring delay time of all nets and returning to the static timing analysis step after the calculation and the timing MET determination step determines that the timing design specifications are satisfied, the mirror effect generation condition is Based on this mirror effect occurrence condition, the mirror effect that extracts an instance that is a mirror effect and that is included in a path whose timing does not satisfy the timing design specification due to the occurrence of the mirror effect Generation instance extraction step and generation of the mirror effect A circuit correction method for determining whether to correct an instance in which the mirror effect extracted in the instance extraction step corrects an occurrence instance or an instance causing the mirror effect, and returns to the circuit correction step. And a method determining step.

請求項13記載の発明は、前記請求項12に記載の半導体集積回路の遅延時間計算方法において、前記回路修正方法決定ステップは、ミラー効果が発生しているインスタンス自体のセルサイズをミラー効果が発生しないセルサイズに変更するような回路修正方法を提示するミラー効果発生インスタンス修正方法提示ステップと、前記ミラー効果を引き起こす要因となっている信号波形を生成するインスタンスのセルサイズを、前記ミラー効果を引き起こさない信号波形となるセルサイズに変更するような回路修正方法を提示するミラー効果要因インスタンス修正方法提示ステップと、前記2つの修正方法提示ステップで提示された2つの回路修正方法同士を比較し、面積ダメージが少ない回路方法を選択する最適修正方法選定ステップとを有することを特徴とする。   According to a thirteenth aspect of the present invention, in the delay time calculation method for a semiconductor integrated circuit according to the twelfth aspect, in the circuit correction method determining step, the cell size of the instance itself in which the mirror effect is generated is generated by the mirror effect. A mirror effect generation instance correction method presenting step for presenting a circuit correction method for changing to a cell size that does not, and a cell size of an instance that generates a signal waveform that causes the mirror effect, causing the mirror effect. A mirror effect factor instance correction method presenting step for presenting a circuit correction method for changing to a cell size with no signal waveform is compared with the two circuit correction methods presented in the two correction method presenting steps, An optimal correction method selection step to select a circuit method with less damage And wherein the Rukoto.

以上により、請求項1記載の発明では、セル及び駆動負荷と置き換え可能な、実効的に等価な負荷であり、ミラー効果による波形変歪を生ずる場合の負荷を表した実効入力端子容量をセル特性に応じて算出し、この実効入力端子容量を入力波形及び駆動負荷に対応付けてキャラクタライズする。   As described above, according to the first aspect of the present invention, the effective input terminal capacitance representing the load in the case of the waveform distortion caused by the Miller effect, which is an effectively equivalent load that can be replaced with the cell and the driving load, is represented by the cell characteristic. The effective input terminal capacitance is characterized in association with the input waveform and the driving load.

また、請求項2及び請求項3記載の発明では、入力スロープ波形と入力バンプ波形とを生成し、この入力スロープ波形に入力バンプ波形を重畳させたバンプ重畳入力スロープ波形から得られる出力波形を、出力スロープ波形と出力バンプ波形とに分離し、入出力バンプ波形を、波形遷移時間、バンプ波形高さ、バンプ波形幅、バンプ面積、バンプがピークに達するまでの時間及び波形にバンプが重畳するタイミングにより表されるパラメータにより定義し、この入出力バンプ波形が重畳された入出力スロープ波形を、バンプ波形の重畳していない入力スロープ波形とバンプが発生する場合の駆動負荷とに対して関係づけることにより、セル特性をキャラクタライズする。   Further, in the inventions of claim 2 and claim 3, an input slope waveform and an input bump waveform are generated, and an output waveform obtained from the bump superimposed input slope waveform obtained by superimposing the input bump waveform on the input slope waveform, The output slope waveform and the output bump waveform are separated, and the input / output bump waveform is changed to waveform transition time, bump waveform height, bump waveform width, bump area, time until the bump reaches the peak, and timing when the bump is superimposed on the waveform. The input / output slope waveform on which the input / output bump waveform is superimposed is related to the input slope waveform on which the bump waveform is not superimposed and the driving load when a bump occurs. To characterize the cell characteristics.

更に、請求項4記載の発明では、キャラクタライズ対象セルの入力側に、駆動能力の低いセルを接続することにより、セル特性キャラクタライズ対象セルの入力波形歪を敏感に感知し、波形の歪が発生する条件を、歪の生じていない入力スロープ波形の入力トランジション値及び歪が生ずる場合の駆動負荷容量値による二次元テーブルとして表し、セル特性をキャラクタライズし、その結果をライブラリ化する。   Furthermore, in the invention described in claim 4, by connecting a cell having low driving capability to the input side of the characterization target cell, the input waveform distortion of the cell characteristic characterization target cell is sensitively sensed, and the waveform distortion is reduced. The conditions that occur are expressed as a two-dimensional table based on the input transition value of the input slope waveform without distortion and the drive load capacitance value when distortion occurs, characterizing the cell characteristics, and making the result a library.

請求項5及び請求項6記載の発明では、セルが駆動する駆動負荷容量と入力トランジション値を検出し、これらを前記得られたライブラリに対して参照することにより、駆動負荷容量値と入力トランジション値とから波形に歪が発生するパターンを抽出するステップを有し、歪発生のパターンを抽出しない場合にはゲートレベル遅延計算を行うことにより処理時間を短縮し、抽出した場合にはトランジスタレベル遅延計算に切り替え、トランジスタレベル遅延計算において出力波形に歪が発生していないかどうかを検出する。また、出力波形に歪が発生していないことを確認した後、再び他の遅延計算対象に移る。   According to the fifth and sixth aspects of the present invention, the driving load capacity value and the input transition value are detected by detecting the driving load capacity and the input transition value driven by the cell and referring to the obtained library. To extract a pattern in which the waveform is distorted from the above, and if the pattern for generating the distortion is not extracted, the gate level delay calculation is performed to shorten the processing time, and if extracted, the transistor level delay calculation is performed. In the transistor level delay calculation, it is detected whether or not distortion has occurred in the output waveform. In addition, after confirming that the output waveform is not distorted, the process shifts to another delay calculation target again.

加えて、請求項7記載の発明は、遅延ライブラリとRC情報とを入力として、デザイン中の全インスタンス及び配線の遅延時間及び入出力端子における信号波形を計算し、ミラー効果による変動容量値を入力として、前記変動容量値をセル入出力間のカップリング容量として表現することによりミラー効果を考慮する。更に、ミラー効果による入力波形の変動に加えて、インスタンス出力端子に継るネットをアグレッサー、インスタンス入力端子に継るネットをヴィクティムとしてクロストーク計算することにより、インスタンス入出力間の信号波形伝播を計算し、ミラー効果を考慮したインスタンス出力信号波形を計算する。そして、前記により求められたインスタンス入力信号波形とインスタンス出力信号波形とからセルの遅延時間を計算し、更に、それぞれの信号波形を伝播させて、配線遅延及びその他セルの遅延時間を計算する。これにより、ミラー効果による信号波形の変動や遅延時間変動を計算することができる。   In addition, the invention according to claim 7 receives the delay library and RC information as input, calculates delay times of all instances and wirings in the design and signal waveforms at the input / output terminals, and inputs a variable capacitance value due to the mirror effect. Then, the mirror effect is considered by expressing the variable capacitance value as a coupling capacitance between the cell input and output. Furthermore, in addition to fluctuations in the input waveform due to the mirror effect, signal waveform propagation between instance input and output is calculated by performing crosstalk calculation using the net connected to the instance output terminal as an aggressor and the net connected to the instance input terminal as a victim. Then, the instance output signal waveform is calculated in consideration of the mirror effect. Then, the delay time of the cell is calculated from the instance input signal waveform and the instance output signal waveform obtained as described above, and further, the respective signal waveforms are propagated to calculate the wiring delay and other cell delay times. As a result, signal waveform fluctuations and delay time fluctuations due to the mirror effect can be calculated.

また、請求項8記載の発明は、インスタンスの出力波形を求める際においても、入力波形を求めたのと同様に、ミラー効果による変動容量値と入出力端子の波形とから、ミラー効果を考慮したインスタンスの出力波形を求め、更に、インスタンス入力端子に継るネットをアグレッサー、インスタンス出力端子に継るネットをヴィクティムとしてクロストーク計算することで、ミラー効果及びクロストークを考慮したインスタンス出力信号波形の変動を計算する。   In the invention according to claim 8, when the output waveform of the instance is obtained, the mirror effect is taken into consideration from the fluctuation capacitance value due to the mirror effect and the waveform of the input / output terminal similarly to the case of obtaining the input waveform. Obtain the instance output waveform, and further calculate the crosstalk with the net connected to the instance input terminal as the aggressor and the net connected to the instance output terminal as the victim, thereby changing the instance output signal waveform considering the mirror effect and crosstalk Calculate

更に、請求項9記載の発明は、ネット波形をバンプ波形とネット入力スロープ波形とに分離し、前記得られたライブラリを参照して、バンプ波形が重畳したネット出力波形を求め、クロストーク、同時遷移ノイズ、インダクタンスによるオーバーシュートやアンダーシュート等の外部要因によりバンプ波形が発生する場合は更にこれらもネット波形に重畳し、ネット出力波形を求める。   Further, according to the present invention, the net waveform is separated into a bump waveform and a net input slope waveform, a net output waveform on which the bump waveform is superimposed is obtained with reference to the obtained library, and crosstalk and simultaneous When a bump waveform is generated due to an external factor such as transition noise, inductance overshoot or undershoot, these are also superimposed on the net waveform to obtain a net output waveform.

加えて、請求項10記載の発明は、ミラー効果に起因する波形変歪の影響が含まれたセルの実効入力端子容量を計算し、このセルの実効入力端子容量に基づいて、セルに入力される変歪後の入力波形を計算する。   In addition, the invention according to claim 10 calculates the effective input terminal capacity of the cell including the influence of the waveform distortion caused by the mirror effect, and is input to the cell based on the effective input terminal capacity of the cell. Calculate the input waveform after distortion.

また、請求項11記載の発明は、全インスタンスの遅延計算及び配線遅延計算と共に、入力波形と駆動する駆動負荷容量とに基づきミラー効果が発生するインスタンスのリストを作成し、静的タイミングを満たさないパスについて、前記リストのインスタンスの中からミラー効果が発生しているインスタンスを抽出する。ここで、これらの静的タイミングとミラー効果に起因する遅延とを考慮して、タイミングの再判定を行う。   The invention according to claim 11 creates a list of instances in which the mirror effect occurs based on the input waveform and the driving load capacity to be driven, together with the delay calculation and wiring delay calculation of all instances, and does not satisfy the static timing. For the path, an instance having a mirror effect is extracted from the instances in the list. Here, the timing is re-determined in consideration of the static timing and the delay caused by the mirror effect.

更に、請求項12記載の発明は、静的タイミング解析の結果、タイミング仕様を満たしていない場合は回路修正ステップに移行し、タイミング仕様を満たしている場合は、ミラー効果の発生の有無を検証し、ここで、ミラー効果が発生していないときは遅延計算終了とし、また、ミラー効果が発生することによりタイミング仕様を満たさなくなる場合は、回路修正の方法を決定し、回路修正ステップに移行する。回路修正ステップに移行後は、遅延計算を行い、再度静的タイミング解析を行う。   Furthermore, in the invention described in claim 12, if the timing specification is not satisfied as a result of the static timing analysis, the circuit shifts to the circuit correction step. If the timing specification is satisfied, the presence or absence of the mirror effect is verified. Here, when the mirror effect does not occur, the delay calculation ends, and when the mirror specification does not satisfy the timing specification, the circuit correction method is determined and the process proceeds to the circuit correction step. After shifting to the circuit correction step, delay calculation is performed and static timing analysis is performed again.

加えて、請求項13記載の発明は、前記遅延時間計算方法における回路修正方法決定の際に、ミラー効果が発生するインスタンス自体を回路修正するか、又は、ミラー効果が発生に影響を及ぼすインスタンスを回路修正するかの何れかの方法を比較し、面積ダメージが少ない方法を選択する。   In addition, in the invention according to claim 13, when determining the circuit correction method in the delay time calculation method, the instance itself in which the mirror effect occurs is corrected, or the instance in which the mirror effect affects the generation is determined. Either method of circuit correction is compared, and a method with less area damage is selected.

以上説明したように、請求項1記載の発明のセル特性キャラクタライズ方法によれば、ミラー効果によって変動する入力端子容量を考慮した実効的な入力端子容量を、入力トランジション値と駆動する駆動負荷との関数として求めたので、従来の遅延計算方法において、前記関数からセルの実効的な入力端子容量を求めれば、精度を落とすことなく遅延計算を実行することが可能である。   As described above, according to the cell characteristic characterization method of the first aspect of the present invention, the effective input terminal capacity considering the input terminal capacity that varies due to the Miller effect is determined by the input transition value and the driving load for driving. Therefore, if the effective input terminal capacity of the cell is obtained from the function in the conventional delay calculation method, it is possible to execute the delay calculation without reducing accuracy.

また、請求項2及び3記載の発明のセル特性キャラクタライズ方法によれば、セルへの入力波形及び出力波形を、歪を生じていない入力スロープ波形と歪部分とに分離し、歪を生じていない入力スロープ波形を表すパラメータと歪部分の波形を表すパラメータとにより遅延計算対象セルをキャラクタライズするので、セルへの入力波形及び出力波形に歪が発生する場合や歪が発生しない場合を含めて、遅延計算を実行することができる。   In addition, according to the cell characteristic characterization method of the invention described in claims 2 and 3, the input waveform and the output waveform to the cell are separated into the input slope waveform and the distorted portion which are not distorted, and the distortion is generated. Since the delay calculation target cell is characterized by the parameter indicating the non-input slope waveform and the parameter indicating the waveform of the distortion part, including the case where the input waveform and the output waveform to the cell are distorted or no distortion occurs , Delay calculations can be performed.

更に、請求項4記載の発明のセル特性キャラクタライズ方法によれば、セルへの入力波形及び出力波形に歪が発生する場合を、予め、前記セルの入力側に接続されるセルと、入力スロープ波形及び駆動負荷との関係として、ライブラリ登録しておくので、実際のレイアウトパターン又は寄生素子抽出結果における入力スロープ波形及び駆動負荷に基づいて、セルへの入力波形及び出力波形に歪が発生する場合を容易に検出することが可能である。   Further, according to the cell characteristic characterization method of the invention as set forth in claim 4, when a distortion occurs in the input waveform and the output waveform to the cell, the cell connected to the input side of the cell in advance and the input slope Since the library is registered as the relationship between the waveform and the drive load, distortion occurs in the input waveform and output waveform to the cell based on the input slope waveform and drive load in the actual layout pattern or parasitic element extraction result Can be easily detected.

加えて、請求項5及び6記載の発明の半導体集積回路の遅延時間計算方法によれば、入力波形や出力波形の歪を考慮しなくてもよい場合には、処理時間の短いゲートレベル遅延計算方法を行い、歪を考慮した計算を必要とする場合には、必要最小限の箇所に対してのみトランジスタレベル遅延計算を行うので、処理時間が短く且つ精度を落とすことなく遅延計算を実行することが可能である。   In addition, according to the delay time calculation method for a semiconductor integrated circuit according to the fifth and sixth aspects of the invention, when it is not necessary to consider distortion of the input waveform or the output waveform, the gate level delay calculation with a short processing time is possible. When the method is used and the calculation considering the distortion is required, the transistor level delay calculation is performed only for the minimum necessary part, so the delay calculation is performed without reducing the processing time and the accuracy. Is possible.

更に加えて、請求項7及び8記載の発明の半導体集積回路の遅延時間計算方法によれば、インスタンスの入力端子容量の変動量をカップリング容量として表現し、バンプを発生させることにより、ミラー効果に起因する信号波形の変動を表現することができるので、ミラー効果で変動する信号波形及び遅延時間を考慮に入れた遅延計算やタイミング解析を実施することができ、ミラー効果が原因となるタイミングエラーを回避することができる。   In addition, according to the delay time calculation method for a semiconductor integrated circuit according to the seventh and eighth aspects of the present invention, the mirror effect is obtained by expressing the fluctuation amount of the input terminal capacitance of the instance as a coupling capacitance and generating a bump. Signal waveform fluctuations caused by the delay can be expressed, so it is possible to perform delay calculation and timing analysis taking into account the signal waveform and the delay time that fluctuate due to the mirror effect, and timing errors caused by the mirror effect Can be avoided.

また、請求項9記載の発明の半導体集積回路の遅延時間計算方法によれば、波形に歪が発生する場合に、歪波形を入力スロープ波形と歪成分とに分離するので、波形に歪が発生した場合であっても、歪を考慮した波形計算を行うことができ、精度良く遅延計算を行うことが可能である。   According to the semiconductor integrated circuit delay time calculation method of the invention described in claim 9, when distortion occurs in the waveform, the distortion waveform is separated into the input slope waveform and the distortion component. Even in this case, waveform calculation considering distortion can be performed, and delay calculation can be performed with high accuracy.

更に、請求項10記載の発明の半導体集積回路の遅延時間計算方法によれば、セルの実効入力端子容量には、ミラー効果に起因する波形変歪の影響が含まれているので、従来の遅延計算のアルゴリズムを利用しながら、容易に精度を落とす事無く、遅延計算を実行することが可能である。   Furthermore, according to the delay time calculation method for a semiconductor integrated circuit of the invention described in claim 10, since the effective input terminal capacitance of the cell includes the influence of waveform distortion caused by the mirror effect, While using a calculation algorithm, it is possible to easily execute delay calculation without reducing accuracy.

また、請求項11及び12記載の発明の半導体集積回路の遅延時間計算方法によれば、タイミング制約を満たしていないパスであっても、ミラー効果の影響により遅延増加が発生してそのタイミング制約を満たすことができるようなパスについては、回路修正を行わなくても良いと判断できるので、不要な回路修正ステップを回避することができ、工数削減、面積増加を抑制することが可能である。   According to the delay time calculation method for a semiconductor integrated circuit of the invention described in claims 11 and 12, even if the path does not satisfy the timing constraint, an increase in delay occurs due to the effect of the mirror effect, and the timing constraint is reduced. Since it can be determined that it is not necessary to perform circuit correction for a path that can be satisfied, unnecessary circuit correction steps can be avoided, and man-hour reduction and area increase can be suppressed.

更に、請求項13記載の発明の半導体集積回路の遅延時間計算方法によれば、タイミング改善をする際に、ミラー効果の影響で遅延変動するインスタンスがあるか否かを判定した後、ミラー効果を回避するために最も面積ダメージの少ない回路修正方法を提示するので、タイミング制約は仕様を満たしていても、ミラー効果の影響で実際は動作しないという不具合を予め見極めることができるので、初期不良を未然に解決できる。   Furthermore, according to the semiconductor integrated circuit delay time calculation method of the thirteenth aspect of the present invention, when the timing is improved, after determining whether or not there is an instance whose delay varies due to the effect of the mirror effect, the mirror effect is obtained. In order to avoid this, we will present a circuit correction method with the least area damage, so even if the timing constraints meet the specifications, it is possible to identify in advance the malfunction that does not actually work due to the effect of the mirror effect. Solvable.

以下、本発明の実施形態を図面に沿って説明する。   Embodiments of the present invention will be described below with reference to the drawings.

(第1の実施形態)
本発明の第1の実施形態について、図1、図2及び図3を用いて説明する。
(First embodiment)
A first embodiment of the present invention will be described with reference to FIG. 1, FIG. 2, and FIG.

図1は、本発明の第1の実施形態であり、セルの入力端子容量が変動した場合を考慮した変動入力端子容量キャラクタライズ方法のフロー図である。本実施形態は、半導体集積回路が多数の基本論理セルや機能マクロブロック(以下、機能マクロブロックを含めて単に「セル」という)間を配線で接続して構成される場合に、そのような各セルについて波形変歪を考慮した遅延計算を行う実施形態を示す。本第1の実施形態では、前記遅延計算対象回路としてのセルに、ミラー効果に起因する波形変歪が発生し、これにより遅延が生じる場合について、前記セルをキャラクタライズする方法を説明する。尚、本実施形態以降に示すフロー図において、データベースに付された符号は、同時に保存されるデータをも示すものとする。   FIG. 1 is a flow diagram of a method for characterizing a variable input terminal capacity according to the first embodiment of the present invention, taking into account the case where the input terminal capacity of a cell varies. In the present embodiment, when a semiconductor integrated circuit is configured by connecting a large number of basic logic cells and functional macroblocks (hereinafter simply referred to as “cells” including functional macroblocks) with wirings, An embodiment for performing delay calculation in consideration of waveform distortion for a cell will be described. In the first embodiment, a description will be given of a method for characterizing a cell when a waveform distortion caused by a mirror effect occurs in the cell as the delay calculation target circuit and a delay is caused thereby. It should be noted that in the flowcharts shown in the following embodiments, the reference numerals attached to the databases also indicate data stored at the same time.

図2(a)及び(b)は、ミラー効果が起こって波形変歪が発生した場合に、その波形変歪の影響を等価入力端子容量の変動というモデルを用いることによって、遅延計算対象回路であるセルの特性を精度良くキャラクタライズすることを説明するための図である。ここで、同図(a)は変動入力端子容量キャラクタライズ回路であり、波形発生回路1201で発生させた波形を抵抗とコンデンサー(以下、RとCという)とからなる平滑化回路1202を介してキャラクタライズ対象セル(遅延計算対象回路)1204に入力し、負荷部1203を駆動する構成を示す。また、図2(b)は、遅延計算に際して、ミラー効果が発生する場合の図2(a)におけるキャラクタライズ対象セル1204と負荷部1203とを、そのときに生じる遅延と同じ遅延を生じさせる実効入力端子容量1205に置き換えられることを示す。   FIGS. 2A and 2B show a delay calculation target circuit using a model of fluctuation of equivalent input terminal capacitance when the waveform distortion occurs due to the mirror effect. It is a figure for demonstrating characterizing the characteristic of a certain cell accurately. Here, FIG. 9A is a variable input terminal capacitance characterization circuit, and the waveform generated by the waveform generation circuit 1201 is passed through a smoothing circuit 1202 composed of a resistor and a capacitor (hereinafter referred to as R and C). A configuration for inputting to a characterization target cell (delay calculation target circuit) 1204 and driving a load unit 1203 is shown. FIG. 2B shows an effect of causing the characterization target cell 1204 and the load unit 1203 in FIG. 2A when the mirror effect is generated in the delay calculation to cause the same delay as that occurring at that time. It indicates that the input terminal capacitor 1205 is replaced.

ここで、実効入力端子容量1205の算出については、先ず、等価入力端子容量を算出し、その後に、この等価入力端子容量を用いて実効入力端子容量1205を算出する。具体的に説明すると、例えば、図2(a)の負荷部1203がミラー効果を発生させる負荷(第1の駆動負荷)である場合に、図3に示す入力波形1210が入力波形1211に変歪してミラー効果が起こったとすると、この2つの入力波形1210、1211間には、ドライブポイント(駆動電圧レベル)1213において、ある遅延時間が生じている。この生じた遅延時間に着目して、キャラクタライズ対象セル1204の入力端子に付加される等価入力端子容量として、キャラクタライズ対象セル1204への入力波形が、図3に示すように、負荷部1203がミラー効果を発生させない負荷(第2の駆動負荷)である場合の入力波形1212、即ち、ミラー効果が起こった入力波形1211と同様に入力波形1210から前記ドライブポイント1213での遅延時間と同一の遅延時間が生じている入力波形となるように、等価入力端子容量を算出する。その後、この等価入力端子容量とキャラクタライズ対象セル1204の容量とミラー効果を発生させない負荷部1203との三者を総合した実効入力端子容量1205を計算する。   Here, regarding the calculation of the effective input terminal capacitance 1205, the equivalent input terminal capacitance is first calculated, and then the effective input terminal capacitance 1205 is calculated using the equivalent input terminal capacitance. More specifically, for example, when the load unit 1203 in FIG. 2A is a load that generates the mirror effect (first driving load), the input waveform 1210 shown in FIG. Assuming that the mirror effect occurs, a delay time occurs between the two input waveforms 1210 and 1211 at the drive point (drive voltage level) 1213. Paying attention to the generated delay time, the input waveform to the characterization target cell 1204 as an equivalent input terminal capacity added to the input terminal of the characterization target cell 1204 is shown in FIG. The input waveform 1212 in the case of a load that does not generate the mirror effect (second drive load), that is, the same delay as the delay time at the drive point 1213 from the input waveform 1210 in the same manner as the input waveform 1211 in which the mirror effect occurs. The equivalent input terminal capacitance is calculated so that the input waveform has a time. Thereafter, an effective input terminal capacity 1205 is calculated by combining the equivalent input terminal capacity, the capacity of the cell 1204 to be characterized, and the load unit 1203 that does not generate the mirror effect.

ここで、上述の図2及び図3を用いて、図1のフロー図について説明する。   Here, the flowchart of FIG. 1 is demonstrated using FIG.2 and FIG.3 mentioned above.

図1において、シミュレーションスクリプト作成ステップ1101では、図2(a)のキャラクタライズ対象セル1204の寄生素子情報を含む回路接続情報であるセルネットリスト1110、図2(a)に示す変動入力端子容量キャラクタライズ回路の接続情報である測定回路ネットリスト1111、測定対象であるキャラクタライズ対象セルの種類・ピン情報・測定項目などが記載されている回路シミュレーションパターン情報であるセル情報1112、負荷及び入力スルーレートのインデックスである測定条件データ1113、及び回路シミュレーションで用いられるトランジスタモデル情報であるトランジスタモデル1114の情報に基づき、シミュレーションスクリプトを作成する。   1, in a simulation script creation step 1101, a cell net list 1110 which is circuit connection information including parasitic element information of the cell 1204 to be characterized in FIG. 2A, and a variable input terminal capacitance character shown in FIG. Measurement circuit netlist 1111 which is connection information of the rise circuit, cell information 1112 which is circuit simulation pattern information in which the type, pin information, measurement item, etc. of the characterization target cell which is the measurement target are described, load and input slew rate A simulation script is created based on the measurement condition data 1113 that is an index of the transistor model 1 and information on the transistor model 1114 that is transistor model information used in circuit simulation.

回路シミュレーションステップ1102では、作成されたシミュレーションスクリプトに基づいて回路シミュレーションが実行される。尚、ここでの入力波形は図2(a)及び図2(b)に示す波形電圧発生部1201と平滑化回路1202とのパラメータを調整して入力スルーレート値を変動させる。ここでは、平滑化回路1202はRCのT型回路で示したが、Cのみ、Rのみ、又はRCのπ型回路でもよい。また、図2(a)では駆動負荷1203は容量のみが記載されているが、RCのπ型回路でもよい。   In the circuit simulation step 1102, circuit simulation is executed based on the created simulation script. The input waveform here varies the input slew rate value by adjusting the parameters of the waveform voltage generator 1201 and the smoothing circuit 1202 shown in FIGS. 2 (a) and 2 (b). Although the smoothing circuit 1202 is shown as an RC T-type circuit here, it may be a C-only, R-only, or RC π-type circuit. In addition, in FIG. 2A, the drive load 1203 describes only the capacitance, but an RC π-type circuit may be used.

実効入力端子容量算出ステップ1103では、キャラクタライズ対象セル1204の入力波形が、図3に示すように、ミラー効果が発生しない入力波形1210ではなく、ミラー効果が発生した入力波形1211である場合に、この入力波形1211にドライブポイント1213上を含んで重なるミラー効果の発生しない入力波形1212が得られるように、キャラクタライズ対象セル1204の等価入力端子容量を計算し、その後、この等価入力端子容量と、キャラクタライズ対象セル1204と、ミラー効果の発生しない駆動負荷1203とを総合した実効入力端子容量1205を算出する。   In the effective input terminal capacity calculation step 1103, when the input waveform of the characterization target cell 1204 is not the input waveform 1210 in which the mirror effect does not occur but the input waveform 1211 in which the mirror effect occurs, as shown in FIG. The equivalent input terminal capacitance of the cell 1204 to be characterized is calculated so that an input waveform 1212 that includes the drive point 1213 and overlaps the input waveform 1211 and does not generate a mirror effect is obtained. An effective input terminal capacitance 1205 is calculated by combining the cell to be characterized 1204 and the driving load 1203 in which the mirror effect does not occur.

回路シミュレーションステップ1104では、等価入力端子容量を付加したキャラクタライズ対象セル1204が駆動負荷1203と共に前記実効入力端子容量1205に置き換えられた、図2(b)に示す回路図を用いて回路シミュレーションが実行される。   In the circuit simulation step 1104, the circuit simulation is executed using the circuit diagram shown in FIG. 2B in which the characterization target cell 1204 to which the equivalent input terminal capacity is added is replaced with the effective input terminal capacity 1205 together with the driving load 1203. Is done.

ここで、回路シミュレーションステップ1104による回路シミュレーションの結果について、入力波形上のドライブポイントにおける遅延が、ミラー効果が起こった場合の入力波形1211の遅延と比較され、このドライブポイントにおける遅延が一定の閾値以下になるまで、一連のステップ1103〜ステップ1104が繰り返される。   Here, regarding the result of the circuit simulation in the circuit simulation step 1104, the delay at the drive point on the input waveform is compared with the delay of the input waveform 1211 when the mirror effect occurs, and the delay at the drive point is below a certain threshold value. The series of steps 1103 to 1104 is repeated until.

ここで得られた実効入力端子容量1205と前記等価入力端子容量とは、先に得られた回路シミュレーションステップ1102の結果と共に、ミラー効果が起こらない場合の入力波形1210のスルーレートと負荷部1203の関数としてテーブルデータ1115に記録される(保持ステップ)。   The effective input terminal capacitance 1205 and the equivalent input terminal capacitance obtained here are the slew rate of the input waveform 1210 when the mirror effect does not occur and the load portion 1203 of the load simulation result, together with the result of the circuit simulation step 1102 obtained earlier. It is recorded in the table data 1115 as a function (holding step).

この一連のステップ1101〜1104は、測定条件データ1113に記載された全てのパターンをキャラクタライズするまで繰り返される。   This series of steps 1101 to 1104 is repeated until all patterns described in the measurement condition data 1113 are characterized.

尚、ここでは立ち上がりエッジの場合のみを示したが、立下りエッジについても同様のステップにより実効入力端子容量、セル出力スルーレート値及びセル遅延値のテーブルデータを作成する。   Although only the rising edge is shown here, table data of the effective input terminal capacity, the cell output slew rate value, and the cell delay value is created for the falling edge through the same steps.

また、ここで関数は、テーブルデータとしたが、テーブルに限らず、多項式で表してもよい。   Here, the function is table data. However, the function is not limited to a table, and may be represented by a polynomial.

以上のように、本実施形態によれば、ミラー効果により波形変歪が発生している場合でも、その影響を等価入力端子容量の変動というモデルを用いることによって、遅延計算対象回路であるセルの特性を精度良くキャラクタライズすることができる。   As described above, according to the present embodiment, even when waveform distortion occurs due to the mirror effect, the influence of the cell that is the delay calculation target circuit can be determined by using the model of the fluctuation of the equivalent input terminal capacitance. Characteristics can be characterized with high accuracy.

更に、論理合成実行時に本実施形態に示したライブラリを使用し、最悪の遅延値を用いて合成することが可能になる。すなわち、レイアウト後のステップの後戻りを削減することが可能になる。   Furthermore, it is possible to synthesize using the worst delay value by using the library shown in the present embodiment when executing logic synthesis. That is, it is possible to reduce the backtracking of steps after layout.

(第2の実施形態)
次に、本発明の第2の実施形態について、図4、図5及び図6を用いて説明する。
(Second Embodiment)
Next, a second embodiment of the present invention will be described with reference to FIGS.

図4は、本発明の第2の実施形態におけるバンプ重畳波形キャラクタライズ方法のフロー図である。本実施形態では、遅延計算対象回路としてのセルに、ミラー効果等に起因する波形変歪が発生し、これにより遅延が生じる場合について、変歪の波形成分であるバンプ波形の特性に基づいて前記セルをキャラクタライズする方法を説明する。   FIG. 4 is a flowchart of the bump superimposed waveform characterization method in the second embodiment of the present invention. In the present embodiment, in the case where a waveform distortion due to the mirror effect or the like occurs in a cell as a delay calculation target circuit, and a delay occurs due to this, based on the characteristics of the bump waveform that is the waveform component of the distortion. A method for characterizing a cell will be described.

図5は、バンプが重畳された入力波形を生成すると共に、キャラクタライズ対象セル1404のセル遅延及び出力波形を測定するためのバンプ重畳波形キャラクタライズ回路の図である。同図は、波形電圧発生部1402から発生される波形(入力スロープ波形)に、バンプ電圧発生部1401から発生されるバンプ(入力バンプ波形)を重畳させた入力波形を生成し、この生成した波形を負荷1403が接続されたキャラクタライズ対象セル1404に対して入力する構成を示す。   FIG. 5 is a diagram of a bump superimposed waveform characterization circuit that generates an input waveform on which bumps are superimposed and measures the cell delay and output waveform of the characterization target cell 1404. In the figure, an input waveform is generated by superimposing a bump (input bump waveform) generated from the bump voltage generation unit 1401 on a waveform (input slope waveform) generated from the waveform voltage generation unit 1402, and this generated waveform is generated. Is input to the characterization object cell 1404 to which the load 1403 is connected.

また、図6は、波形上にバンプが重畳した波形1405に対して、その波形成分であるスロープ波形1406とバンプ波形1407とを同じ時間軸上に示し、更に、バンプ波形1407を、バンプのピーク値であるバンプ高さ1410、バンプ幅1411及びバンプ面積1414により表し、波形にバンプが重畳するタイミング1412とバンプがピークに達するまでの時間1413とを示している。ここで、スロープ波形1406にバンプが重畳するタイミング1412は、立ち上がりエッジの場合は、スロープ波形1406における上部スルートリップポイント1408及び下部スルートリップポイント1409とを含む直線がグラウンド電位と交差する時点からバンプがピーク値に達するまでの時間であり、立下りエッジの場合は、前記直線が電源電位と交差する時点からバンプがピーク値に達するまでの時間により定義する。また、バンプ波形がピーク値に達するまでの時間1413は、バンプの開始からバンプ波形がピーク値に達するまでの時間である。   FIG. 6 shows a slope waveform 1406 and a bump waveform 1407 that are waveform components of a waveform 1405 in which bumps are superimposed on the waveform on the same time axis. The values are represented by the bump height 1410, the bump width 1411, and the bump area 1414 which are values, and indicate a timing 1412 at which the bump is superimposed on the waveform and a time 1413 until the bump reaches a peak. Here, the timing 1412 at which the bump is superimposed on the slope waveform 1406 is a rising edge. In the case of the rising edge, the bump is detected from the time when the straight line including the upper through-trip point 1408 and the lower through-trip point 1409 in the slope waveform 1406 intersects the ground potential. This is the time to reach the peak value. In the case of a falling edge, the time is defined by the time from when the straight line intersects the power supply potential until the bump reaches the peak value. The time 1413 until the bump waveform reaches the peak value is the time from the start of the bump until the bump waveform reaches the peak value.

ここで、上述の図5及び図6を用いて、図4のフロー図について説明する。   Here, the flowchart of FIG. 4 is demonstrated using FIG.5 and FIG.6 mentioned above.

図4において、シミュレーションスクリプト作成ステップ1301では、図5に示すキャラクタライズ対象セル1404の寄生素子情報を含む回路接続情報であるセルネットリスト1310、図5に示す変動入力端子容量キャラクタライズ回路の接続情報である測定回路ネットリスト1311、測定対象であるセルの種類・ピン情報・測定項目などが記載されている回路シミュレーションパターン情報であるセル情報1312、測定条件データ1313、及び回路シミュレーションで用いられるトランジスタモデル情報であるトランジスタモデル1314に基づき、シミュレーションスクリプトを作成する。ここで、測定条件データ1313には、スロープ波形の負荷及び入力スルーレートのインデックスと、バンプ波形のバンプ高さ1410、バンプ幅1411、波形にバンプが重畳するタイミング1412、バンプがピークに達するまでの時間1413、及びバンプ面積1414のインデックスとが含まれる。   4, in the simulation script creation step 1301, the cell net list 1310 which is circuit connection information including parasitic element information of the characterization target cell 1404 shown in FIG. 5, and connection information of the variable input terminal capacitance characterization circuit shown in FIG. 5. Measurement circuit netlist 1311, cell information 1312 which is circuit simulation pattern information describing the type, pin information, measurement items, etc. of the measurement target cell, measurement condition data 1313, and transistor model used in circuit simulation A simulation script is created based on the transistor model 1314 that is information. Here, in the measurement condition data 1313, the load of the slope waveform and the index of the input slew rate, the bump height 1410 of the bump waveform, the bump width 1411, the timing 1412 at which the bump is superimposed on the waveform, and the bump reach the peak. Time 1413 and an index of bump area 1414 are included.

回路シミュレーションステップ1302では、作成されたシミュレーションスクリプトに基づいて回路シミュレーションが実行される。ここで、図6に示すようなバンプが重畳された波形を入力波形とする場合、回路シミュレーションステップ1302は、図5に示すバンプ電圧発生部1401により入力バンプ波形1407を発生させる入力バンプ波形発生ステップと、波形電圧発生部1402により入力スロープ波形1406を発生させる入力スロープ波形発生ステップとを含み、これら入力バンプ波形1407と入力スロープ波形1406とから生成された、バンプ重畳波形1405が回路シミュレーションに用いられる入力波形となる。このシミュレーションにより、負荷部1403を接続した場合のキャラクタライズ対象セル1404のセル遅延及び出力波形が計算される。出力波形は入力波形と同様に波形上にバンプが重畳した波形として測定する。尚、図5では、駆動負荷は容量のみが記載されているが、RCのπ型回路でもよい。   In the circuit simulation step 1302, circuit simulation is executed based on the created simulation script. Here, when the waveform with bumps superimposed as shown in FIG. 6 is used as the input waveform, the circuit simulation step 1302 is an input bump waveform generation step for generating the input bump waveform 1407 by the bump voltage generator 1401 shown in FIG. And an input slope waveform generation step of generating an input slope waveform 1406 by the waveform voltage generator 1402, and a bump superimposed waveform 1405 generated from the input bump waveform 1407 and the input slope waveform 1406 is used for circuit simulation. It becomes an input waveform. By this simulation, the cell delay and output waveform of the characterization target cell 1404 when the load unit 1403 is connected are calculated. The output waveform is measured as a waveform in which bumps are superimposed on the waveform in the same manner as the input waveform. In FIG. 5, only the capacitance is shown as the driving load, but an RC π-type circuit may be used.

波形及びバンプ分離ステップ1303では、図6に示す波形を出力波形とした場合、バンプが重畳した出力波形1405が、出力スロープ波形1406と出力バンプ波形1407とに分離される。   In the waveform and bump separation step 1303, when the waveform shown in FIG. 6 is used as the output waveform, the output waveform 1405 on which the bump is superimposed is separated into the output slope waveform 1406 and the output bump waveform 1407.

以上のように、キャラクタ対象セル404のセル特性、即ち、図6の入力スロープ波形1406とこれに重畳されるバンプ波形1407とで規定される入力波形1405が入力波形である場合のセル404の出力波形特性は、波形変歪を生じていない出力スロープ波形1406と、この出力スロープ波形に重畳される出力バンプ波形1407と、負荷部1403の駆動負荷との関数としてキャラクタライズされる。出力バンプ波形1407は、波形変歪を生じていない出力スロープ波形1406と、出力バンプ波形1407が重畳された出力波形1405との差分により求められる。前記得られた関数はテーブルデータ1315に記録、保持される(保持ステップ)。   As described above, the cell characteristics of the character target cell 404, that is, the output of the cell 404 when the input waveform 1405 defined by the input slope waveform 1406 of FIG. 6 and the bump waveform 1407 superimposed thereon is an input waveform. The waveform characteristic is characterized as a function of an output slope waveform 1406 that does not cause waveform distortion, an output bump waveform 1407 superimposed on the output slope waveform, and a driving load of the load unit 1403. The output bump waveform 1407 is obtained from the difference between the output slope waveform 1406 that does not cause waveform distortion and the output waveform 1405 on which the output bump waveform 1407 is superimposed. The obtained function is recorded and held in the table data 1315 (holding step).

この一連のキャラクタライズのステップ1301〜1303は、測定条件データ1313に記載された全てのパターンをキャラクタライズするまで繰り返される。   This series of characterization steps 1301 to 1303 is repeated until all patterns described in the measurement condition data 1313 are characterized.

以上のように、本実施形態によれば、単調増加又は単調減少でない波形が変歪している場合においても、そのセル特性をキャラクタライズすることができる。   As described above, according to this embodiment, even when a waveform that is not monotonously increasing or monotonically decreasing is distorted, the cell characteristics can be characterized.

(第3の実施形態)
本発明の第3の実施形態について、図7、図8及び図9を用いて説明する。
(Third embodiment)
A third embodiment of the present invention will be described with reference to FIGS.

図7は、波形変歪を考慮した遅延計算方法において波形変歪を検証するためにキャラクタライズ実行に用いる回路である。同図において、キャラクタライズ対象セル(遅延計算対象回路)C1の入力端子側には、駆動能力の低いセルC2が接続されており、また、出力端子側には、キャラクタライズ対象セルC1が駆動する負荷容量C3が接続されている。駆動能力の低いセルC2の入力波形は入力波形C4、キャラクタライズ対象セルC1の入力波形は入力波形C5、また、キャラクタライズ対象セルC1の出力波形は出力波形C6で示される。この図7に示す回路は、キャラクタライズ対象セルC1の入力側に駆動能力の低いセルC2が接続されたことにより、セルC2に入力された歪のない入力スロープ波形C4が、キャラクタライズ対象セルC1の入力端子において歪を発生し、歪を含んだスロープC5となる回路構成となっていることを示している。   FIG. 7 is a circuit used for characterization execution in order to verify waveform distortion in the delay calculation method considering waveform distortion. In the figure, a cell C2 having a low driving capability is connected to the input terminal side of the characterization target cell (delay calculation target circuit) C1, and the characterization target cell C1 is driven to the output terminal side. A load capacitor C3 is connected. An input waveform of the cell C2 having a low driving capability is indicated by an input waveform C4, an input waveform of the characterization target cell C1 is indicated by an input waveform C5, and an output waveform of the characterization target cell C1 is indicated by an output waveform C6. In the circuit shown in FIG. 7, since the cell C2 having low driving capability is connected to the input side of the characterization target cell C1, an undistorted input slope waveform C4 input to the cell C2 is converted into the characterization target cell C1. It is shown that the circuit configuration is such that distortion is generated at the input terminal and the slope C5 includes the distortion.

図8は、波形に歪が発生する場合の条件を、ライブラリとして作成するフロー図である。   FIG. 8 is a flowchart for creating, as a library, conditions when distortion occurs in the waveform.

同図のステップST201では、キャラクタライズ処理で使用するスクリプト及びキャラクタライズ用の回路接続情報を生成する。   In step ST201 in the figure, a script used in characterization processing and circuit connection information for characterization are generated.

ステップST202では、ステップST201で生成したスクリプト及び回路接続情報を読み込んで、セルキャラクタライズを実行する。ここでキャラクタライズを行うセルは図7のキャラクタライズ対象セルC1である。   In step ST202, the script and circuit connection information generated in step ST201 are read, and cell characterization is executed. The cell to be characterized here is the characterization target cell C1 in FIG.

ステップST202のキャラクタライズ実行結果、図7のキャラクタライズ対象セルC1への入力波形C5に対する出力データとして入力波形D201、キャラクタライズ対象セルC1からの出力波形に対する出力データとして出力波形D202、キャラクタライズ対象セルC1の遅延値D203、及びキャラクタライズ対象セルC1の出力トランジションD204が出力される。   As a result of the characterization in step ST202, the input waveform D201 as output data for the input waveform C5 to the characterization target cell C1 in FIG. 7, the output waveform D202 as output data for the output waveform from the characterization target cell C1, and the characterization target cell The delay value D203 of C1 and the output transition D204 of the characterization object cell C1 are output.

ここで、入力波形D201及び出力波形D202の両波形に基づき、ステップST203の波形歪検出(波形歪観測)ステップにおいて、キャラクタライズ対象セルC1の入出力波形の波形歪を検出する。   Here, based on both the input waveform D201 and the output waveform D202, the waveform distortion of the input / output waveform of the characterization target cell C1 is detected in the waveform distortion detection (waveform distortion observation) step of step ST203.

このステップST202〜ステップST203において得られた前記3つの情報、すなわち、波形歪の有無と、キャラクタライズ対象セルC1の遅延値D203と、キャラクタライズ対象セルC1の出力トランジションD204とが、次のステップST204において処理される。即ち、このステップST3204では、キャラクタライズ対象セルC1の入力スロープ波形C5と駆動負荷C3とをインデックスとして、前記3つの情報(波形歪の有無と、遅延値D203と、出力トランジションD204)を書き込んだテーブルを作成し、これをライブラリ用のテーブルデータL201として格納する。   The three pieces of information obtained in steps ST202 to ST203, that is, the presence / absence of waveform distortion, the delay value D203 of the characterization target cell C1, and the output transition D204 of the characterization target cell C1 are the next step ST204. Is processed. That is, in step ST3204, the table in which the three pieces of information (whether waveform distortion is present, delay value D203, and output transition D204) is written using the input slope waveform C5 and drive load C3 of the characterization target cell C1 as indexes. Is stored as library table data L201.

図9は、このライブラリテーブルとして作成されたテーブルデータL201の例を示す。同図は、キャラクタライズ対象セルC1への入出力波形に共に歪が発生する場合を1、また、歪が発生しない場合を0とし、キャラクタライズ対象セルC1への入力波形のトランジション302と駆動負荷の大きさ301とをインデックスとして生成したテーブルを示している。図9のテーブルの例は、駆動負荷が0.01pfの時は、入力トランジションに関らず全ての波形に歪が発生することを示している。このテーブルを使用することにより、セルがどのような負荷を駆動した場合に、波形に歪が発生するかを判断することが可能になる。   FIG. 9 shows an example of the table data L201 created as this library table. The figure shows 1 when the distortion occurs in the input / output waveform to the characterization target cell C1, and 0 when no distortion occurs, and the transition 302 and the driving load of the input waveform to the characterization target cell C1. A table generated using the size 301 as an index is shown. The example of the table in FIG. 9 shows that when the driving load is 0.01 pf, distortion occurs in all waveforms regardless of the input transition. By using this table, it is possible to determine what kind of load the cell drives when distortion occurs in the waveform.

尚、前記ステップST202で求めた遅延値D203及び出力トランジションD204は、波形の歪を検出しなかった場合に、通常の遅延計算で使用することができる。また、波形の歪を検出した場合であっても、波形の歪みが遅延値や傾きの測定に影響を及ぼさず、精度的に許容される場合であれば、波形歪みが発生したときの遅延値及び傾きとして使用することが可能である。但し、高精度な遅延計算を行う場合は、波形歪みが発生した場合の遅延値D203及び出力トランジションD204を使用すべきでない。   Note that the delay value D203 and the output transition D204 obtained in step ST202 can be used in normal delay calculation when waveform distortion is not detected. In addition, even if waveform distortion is detected, if the waveform distortion does not affect the delay value or slope measurement and is accurately allowed, the delay value when the waveform distortion occurs And can be used as tilt. However, when highly accurate delay calculation is performed, the delay value D203 and the output transition D204 when waveform distortion occurs should not be used.

(第4の実施形態)
本発明の第4の実施形態について、図10を用いて説明する。
(Fourth embodiment)
A fourth embodiment of the present invention will be described with reference to FIG.

図10は、波形変歪を考慮した遅延計算方法における遅延計算処理についてのフロー図を示している。   FIG. 10 shows a flow chart of delay calculation processing in the delay calculation method considering waveform distortion.

同図において、ステップST40は遅延計算対象判別ステップであり、遅延計算の対象となるセルが存在するかどうかを判定する。   In the figure, step ST40 is a delay calculation target determination step, in which it is determined whether there is a cell subject to delay calculation.

ステップST41は駆動負荷及び入力波形抽出ステップであり、ステップST40において遅延計算の対象となるセルが存在する場合には、遅延計算対象セルの入力トランジション値と駆動負荷容量とを算出する。   Step ST41 is a drive load and input waveform extraction step. If there is a cell subject to delay calculation in step ST40, the input transition value and the drive load capacity of the delay calculation target cell are calculated.

ステップST42は歪発生パターン検出ステップであり、ステップST41で算出された入力トランジション値及び駆動負荷の大きさから、波形に歪が発生するパターンであるかどうかを判定する。ここで、この判定に際して、第3の実施形態において得られた波形歪が発生するパターンが登録されているライブラリL201(図10ではライブラリL40)を参照する。   Step ST42 is a distortion generation pattern detection step, and it is determined from the input transition value calculated in step ST41 and the magnitude of the driving load whether or not the pattern has a distortion. Here, when making this determination, the library L201 (the library L40 in FIG. 10) in which the pattern in which the waveform distortion is generated, which is obtained in the third embodiment, is registered is referred to.

歪が発生するパターンである場合には、トランジスタレベル遅延計算ステップST43において、トランジスタレベル遅延計算を行う。また、歪が発生しないパターンである場合には、ゲートレベル遅延計算ステップST45において、ゲートレベル遅延計算を行う。このステップST43のトランジスタレベル遅延計算の結果は遅延情報D40としてデータベースに格納される。   If the pattern is a distortion, transistor level delay calculation is performed in the transistor level delay calculation step ST43. If the pattern does not generate distortion, gate level delay calculation is performed in the gate level delay calculation step ST45. The result of the transistor level delay calculation in step ST43 is stored in the database as delay information D40.

ステップST44は波形歪検出ステップであり、ステップST43のトランジスタレベル遅延計算の結果における出力波形を参照する。尚、この参照する波形は出力波形に限定されず、入力波形であっても良い。そして、出力波形に歪が発生している場合にはステップST43に戻り、再度トランジスタレベル遅延計算を実行する。また、波形に歪が発生していない場合にはステップST40の処理に戻り、別の遅延計算対象のセルに対して遅延計算が行われる。   Step ST44 is a waveform distortion detection step, and the output waveform in the result of the transistor level delay calculation of step ST43 is referred to. The waveform to be referred to is not limited to the output waveform, and may be an input waveform. If the output waveform is distorted, the process returns to step ST43 and the transistor level delay calculation is executed again. If there is no distortion in the waveform, the process returns to step ST40, and delay calculation is performed for another delay calculation target cell.

ステップST45では、セルへの入力トランジション値と駆動負荷との関数として書かれた通常のライブラリL41を用いて、歪が発生しないパターンに対してゲートレベル遅延計算が行われる。その計算結果は、ステップST43のトランジスタレベル遅延計算の結果と同様に、遅延情報D40としてデータベースD40に格納され、ステップST40に戻り、次の遅延計算対象のセルを探す。   In step ST45, gate level delay calculation is performed for a pattern in which distortion does not occur, using a normal library L41 written as a function of the input transition value to the cell and the driving load. The calculation result is stored in the database D40 as delay information D40 in the same manner as the transistor level delay calculation result in step ST43, and the process returns to step ST40 to search for the next delay calculation target cell.

(第5の実施形態)
本発明の第5の実施形態について、図11及び図12を用いて説明する。
(Fifth embodiment)
A fifth embodiment of the present invention will be described with reference to FIGS. 11 and 12.

図11は、ミラー効果による遅延変動を考慮に入れた遅延計算処理フローを示す図である。図12は、同図(a)が、遅延計算処理を行う回路の具体例を示す図であり、インスタンスX200とインスタンスX201とが配線X203により接続され、インスタンスX201とインスタンスX202とが配線X204により接続され、インスタンスX201には、その入出力端子間に、インスタンスX201に対するミラー効果を考慮するためのカップリング容量X205が接続されている。また、図12(b)は、図12(a)の回路図におけるインスタンスX200の出力端子、インスタンスX201の入力端子及び出力端子、並びにインスタンスX202の入力端子の波形を、図11の処理フローにおける各ステップに対して示した図である。   FIG. 11 is a diagram illustrating a delay calculation processing flow that takes into account delay variation due to the mirror effect. FIG. 12A is a diagram illustrating a specific example of a circuit that performs delay calculation processing. The instance X200 and the instance X201 are connected by the wiring X203, and the instance X201 and the instance X202 are connected by the wiring X204. The instance X201 is connected between its input / output terminals with a coupling capacitor X205 for considering the mirror effect on the instance X201. 12B shows the waveforms of the output terminal of the instance X200, the input terminal and output terminal of the instance X201, and the input terminal of the instance X202 in the circuit diagram of FIG. It is the figure shown with respect to the step.

ここで、図12(a)及び(b)を参照して、図11のフロー図を説明する。   Here, the flowchart of FIG. 11 will be described with reference to FIGS.

図11において、ステップSX100は第1の遅延計算ステップであり、基本論理セル毎の遅延特性が記載された遅延ライブラリX104と、全ての配線の抵抗及び容量値が記載されたRC情報X105とを入力として、デザイン中の全インスタンスの遅延時間及び配線遅延時間を計算する。また、これと同時に、各セルの入出力端子における入出力信号波形X101が算出される。尚、本実施形態では、第1の遅延計算ステップSX100において、遅延ライブラリX104とRC情報X105とを読み込むと説明したが、この他にも、デザインのネットリストや、バウンダリの設定や、タイミング制約を読み込むことにより遅延計算を行ってもよい。   In FIG. 11, step SX100 is a first delay calculation step, and inputs a delay library X104 in which delay characteristics for each basic logic cell are described, and RC information X105 in which resistances and capacitance values of all wirings are described. As described above, the delay time and wiring delay time of all instances in the design are calculated. At the same time, the input / output signal waveform X101 at the input / output terminal of each cell is calculated. In this embodiment, the delay library X104 and the RC information X105 are read in the first delay calculation step SX100. However, in addition to this, a design netlist, boundary settings, and timing constraints are set. Delay calculation may be performed by reading.

この計算に際しては、図12(a)に示す回路構成において、ステップSX100ではミラー効果を考慮しないので、カップリング容量X205は除かれ、インスタンスX200の出力端子について出力信号波形X206が、インスタンスX201の入力端子について入力信号波形X207が、インスタンスX201の出力端子について出力信号波形X208が、また、インスタンスX202の入力端子について入力信号波形X209が計算される。この時、配線X203の配線遅延時間は遅延X210、配線X204の配線遅延時間は遅延X212、また、インスタンスX201の遅延時間は遅延X211として示される。   In this calculation, in the circuit configuration shown in FIG. 12A, since the mirror effect is not considered in step SX100, the coupling capacitor X205 is excluded, and the output signal waveform X206 at the output terminal of the instance X200 is the input of the instance X201. The input signal waveform X207 is calculated for the terminal, the output signal waveform X208 is calculated for the output terminal of the instance X201, and the input signal waveform X209 is calculated for the input terminal of the instance X202. At this time, the wiring delay time of the wiring X203 is shown as a delay X210, the wiring delay time of the wiring X204 is shown as a delay X212, and the delay time of the instance X201 is shown as a delay X211.

ステップSX101は、インスタンス入力信号波形計算ステップであり、セル毎のミラー効果によって変動する端子容量変動分が記載された変動容量値X100と入出力信号波形X101とを用いて、ミラー効果により変動するインスタンスの入力信号波形が再計算される。ここで、ミラー効果を考慮した入力信号波形を表すために、図12(a)のインスタンスX201には、入出力間のカップリング容量X205が付加されて再計算される。   Step SX101 is an instance input signal waveform calculation step, and an instance that varies due to the mirror effect by using the variable capacitance value X100 in which the terminal capacitance variation that varies due to the mirror effect for each cell is described and the input / output signal waveform X101. The input signal waveform is recalculated. Here, in order to represent the input signal waveform in consideration of the Miller effect, the coupling capacitance X205 between the input and output is added to the instance X201 in FIG.

ここで更に、インスタンスX201の出力端子での信号変化が配線X204に与える影響を計算すると共に、配線X204をアグレッサー、配線X203をヴィクティムとしてインスタンスX201の入力側配線X203に対する出力側配線X204のクロストーク計算を行い、図12(b)に示すインスタンスX201の入力信号波形X213を求める。   Further, the influence of the signal change at the output terminal of the instance X201 on the wiring X204 is calculated, and the crosstalk calculation of the output-side wiring X204 with respect to the input-side wiring X203 of the instance X201 with the wiring X204 as an aggressor and the wiring X203 as a victim. To obtain the input signal waveform X213 of the instance X201 shown in FIG.

ステップSX102は、インスタンス出力信号波形伝播ステップであり、インスタンスX201に入力される入力信号波形X213と配線X204のRC情報とから、インスタンスX201の出力信号波形X214を計算し、図12(b)に示すミラー効果を考慮した出力信号波形X214として出力する。この出力信号波形X214のデータは図11のミラー効果考慮出力信号波形X103へ格納される。   Step SX102 is an instance output signal waveform propagation step. The output signal waveform X214 of the instance X201 is calculated from the input signal waveform X213 input to the instance X201 and the RC information of the wiring X204, and is shown in FIG. Output as an output signal waveform X214 in consideration of the mirror effect. The data of the output signal waveform X214 is stored in the mirror effect consideration output signal waveform X103 of FIG.

ステップSX103は、第2の遅延計算ステップであり、インスタンス出力信号波形伝播ステップSX102で求めたミラー効果を考慮した出力信号波形データX103を用いて、全インスタンス、配線の再遅延計算を行う。具体的には、ステップSX101で求めたミラー効果考慮入力信号波形X102と、ステップSX102で求めたミラー効果考慮出力信号波形X103とを用いて、それぞれの閾値電圧間の時間を遅延時間とする。配線X203の配線遅延時間X216は、図12(b)に示すように、出力信号波形X206と入力信号波形X213とから、インスタンスX201の遅延時間X217は、入力信号波形X213と出力信号波形X214とから、また、配線遅延時間X218は、出力信号波形X214と入力信号波形X215とから計算される。   Step SX103 is a second delay calculation step, and the re-delay calculation of all instances and wirings is performed using the output signal waveform data X103 considering the mirror effect obtained in the instance output signal waveform propagation step SX102. Specifically, using the mirror effect considering input signal waveform X102 obtained in step SX101 and the mirror effect considering output signal waveform X103 obtained in step SX102, the time between the respective threshold voltages is set as the delay time. As shown in FIG. 12B, the wiring delay time X216 of the wiring X203 is from the output signal waveform X206 and the input signal waveform X213, and the delay time X217 of the instance X201 is from the input signal waveform X213 and the output signal waveform X214. The wiring delay time X218 is calculated from the output signal waveform X214 and the input signal waveform X215.

以上説明したように、インスタンスの入力端子容量の変動量をカップリング容量として表現することによりバンプを発生させ、ミラー効果による信号波形の変動を表現する。   As described above, the fluctuation amount of the input terminal capacitance of the instance is expressed as a coupling capacitance to generate a bump, and the fluctuation of the signal waveform due to the mirror effect is expressed.

本実施形態に示す方法により、ミラー効果が原因で変動する信号波形及び遅延時間を考慮に入れた遅延計算及びタイミング解析を実施できるため、ミラー効果に起因するタイミングエラーを回避することができる。   With the method shown in this embodiment, the delay calculation and timing analysis taking into account the signal waveform and the delay time, which fluctuate due to the mirror effect, can be performed, so that a timing error due to the mirror effect can be avoided.

特に、インバータ、NAND又はNORなどのように、信号の入力から出力までの間で信号がトランジスタのゲートを一段だけ通過する構造を持つゲートでは、ゲートの入力端子における波形の鈍りが出力に伝搬しやすい。そのため、本実施形態に示す方法を用いることにより、精度良く遅延計算を行うことが可能となる。   In particular, in a gate such as an inverter, NAND, or NOR that has a structure in which a signal passes only one stage through the gate of a transistor between the input and output of the signal, the waveform dullness at the input terminal of the gate propagates to the output. Cheap. Therefore, the delay calculation can be performed with high accuracy by using the method shown in the present embodiment.

(第6の実施形態)
本発明の第6の実施形態について、図13及び図14を用いて説明する。
(Sixth embodiment)
A sixth embodiment of the present invention will be described with reference to FIGS.

図13は、ミラー効果による遅延変動を考慮に入れた遅延計算処理フローを示す図である。   FIG. 13 is a diagram showing a delay calculation processing flow that takes into account delay variation due to the mirror effect.

本実施形態では、インスタンス出力信号波形計算ステップSX300において、変動容量値X100を入力としている点において、第5の実施形態のインスタンス出力信号波形伝播ステップSX102と異なる。   This embodiment is different from the instance output signal waveform propagation step SX102 of the fifth embodiment in that the variable capacitance value X100 is input in the instance output signal waveform calculation step SX300.

図14については、同図(a)が、遅延計算処理を行う回路の具体例を示す図であり、第5の実施形態において図12(a)に示した回路図と同じであるため、ここでは説明を省略する。また、図14(b)は、第5の実施形態において図12(b)に示した図と同様であるが、図14(b)のインスタンス出力信号波形伝播ステップSX102を、本実施形態では図13のフロー図に対応させて、インスタンス出力信号波形計算ステップSX300とし、このステップSX300におけるインスタンスX201の出力端子の波形をX400とする。また、第2の遅延計算ステップSX103においては、ステップSX300と同様に、インスタンスX201の出力端子の波形をX400、この波形が配線X204を伝播した、インスタンスX202の入力端子における波形をX401とし、また、インスタンスX201の遅延時間、すなわち、入出力端子における波形X213及びX400間の遅延を遅延時間X402、X204の配線遅延を配線遅延時間X403とする。   FIG. 14A is a diagram showing a specific example of a circuit that performs delay calculation processing, and is the same as the circuit diagram shown in FIG. 12A in the fifth embodiment. Then, explanation is omitted. FIG. 14B is the same as the diagram shown in FIG. 12B in the fifth embodiment, but the instance output signal waveform propagation step SX102 in FIG. Corresponding to the flowchart of FIG. 13, the instance output signal waveform calculation step SX300 is set, and the waveform of the output terminal of the instance X201 in this step SX300 is set to X400. In the second delay calculation step SX103, similarly to step SX300, the waveform of the output terminal of the instance X201 is X400, the waveform of the waveform X propagated through the wiring X204 is X401, and the waveform of the input terminal of the instance X202 is X401. The delay time of the instance X201, that is, the delay between the waveforms X213 and X400 at the input / output terminal is set as the delay time X402, and the wiring delay of X204 is set as the wiring delay time X403.

ここで、図14(a)及び(b)を参照して、図13のフロー図を説明する。   Here, the flowchart of FIG. 13 will be described with reference to FIGS.

図13において、ステップSX100は第1の遅延計算ステップ、ステップSX101はインスタンス入力信号波形計算ステップであり、第5の実施形態において図11に示したフローと同じである。尚、本実施形態でも、第5の実施形態と同様に、第1の遅延計算ステップSX100において、遅延ライブラリX104とRC情報X105とを読み込む以外にも、デザインのネットリストや、バウンダリの設定や、タイミング制約を読み込むことにより遅延計算を行ってもよい。   In FIG. 13, step SX100 is a first delay calculation step, and step SX101 is an instance input signal waveform calculation step, which is the same as the flow shown in FIG. 11 in the fifth embodiment. In the present embodiment, as in the fifth embodiment, in addition to reading the delay library X104 and the RC information X105 in the first delay calculation step SX100, the design netlist, boundary settings, Delay calculation may be performed by reading timing constraints.

この計算に際しては、第5の実施形態と同様であり、図14(a)に示す回路構成において、ステップSX100ではミラー効果を考慮しないので、カップリング容量X205は除かれて各波形及びその波形から遅延時間が計算される。   This calculation is the same as in the fifth embodiment. In the circuit configuration shown in FIG. 14A, the mirror effect is not taken into consideration in step SX100. Therefore, the coupling capacitor X205 is excluded from each waveform and its waveform. Delay time is calculated.

ステップSX101は、インスタンス入力信号波形計算ステップであり、セル毎のミラー効果によって変動する端子容量変動分が記載された変動容量値X100と入出力信号波形X101とを用いて、ミラー効果により変動するインスタンスの入力信号波形を再計算する。ここで、ミラー効果を考慮した入力信号波形を表すために、図14(a)のインスタンスX201には、入出力間のカップリング容量X205が付加されて再計算される。   Step SX101 is an instance input signal waveform calculation step, and an instance that varies due to the mirror effect by using the variable capacitance value X100 in which the terminal capacitance variation that varies due to the mirror effect for each cell is described and the input / output signal waveform X101. Recalculate the input signal waveform. Here, in order to represent the input signal waveform in consideration of the Miller effect, the coupling capacitance X205 between the input and output is added to the instance X201 in FIG.

ここで更に、インスタンスX201の出力端子での信号変化が配線X204に与える影響を計算すると共に、配線X204をアグレッサー、配線X203をヴィクティムとしてクロストーク計算を行い、図14(b)に示すインスタンスX201の入力信号波形X213を求める。   Further, the influence of the signal change at the output terminal of the instance X201 on the wiring X204 is calculated, and the crosstalk calculation is performed using the wiring X204 as an aggressor and the wiring X203 as a victim. The instance X201 shown in FIG. An input signal waveform X213 is obtained.

ステップSX300は、インスタンス出力信号波形計算ステップであり、セル毎のミラー効果によって変動する端子容量変動分が記載された変動容量値X100と、インスタンスX201の入出力信号波形X101(図14(b)の入力信号波形X207と出力信号波形X208)とから、インスタンスX201の出力信号波形X400を計算する。この計算の詳細は、前記ステップSX101でのミラー効果により変動する入力信号波形X213の計算と同様である。   Step SX300 is an instance output signal waveform calculation step. The variable capacitance value X100 describing the terminal capacitance variation that varies due to the mirror effect for each cell, and the input / output signal waveform X101 of the instance X201 (FIG. 14B). From the input signal waveform X207 and the output signal waveform X208), the output signal waveform X400 of the instance X201 is calculated. The details of this calculation are the same as the calculation of the input signal waveform X213 that fluctuates due to the mirror effect in step SX101.

ここで、インスタンスX201の出力信号波形の再計算は、ミラー効果を考慮した出力信号波形を表すために、図14(a)のインスタンスX201の入出力間にカップリング容量X205が付加された回路を用いてインスタンスX201の入力端子での信号変化が配線X203に与える影響を計算し、更に、配線X203をアグレッサー、配線X204をヴィクティムとしてクロストーク計算を行い、図14(b)に示すミラー効果を考慮した出力信号波形X400として出力する。この出力信号波形X400のデータは図13のミラー効果考慮出力信号波形X300へ記憶される。   Here, in the recalculation of the output signal waveform of the instance X201, a circuit in which a coupling capacitor X205 is added between the input and output of the instance X201 in FIG. Is used to calculate the influence of the signal change at the input terminal of the instance X201 on the wiring X203, and further, the crosstalk calculation is performed using the wiring X203 as an aggressor and the wiring X204 as a victim, and the mirror effect shown in FIG. The output signal waveform X400 is output. The data of the output signal waveform X400 is stored in the mirror effect considering output signal waveform X300 of FIG.

ステップSX103は、第2の遅延計算ステップであり、インスタンス出力信号波形計算ステップSX300で求めたミラー効果を考慮した出力信号波形データX300を用いて、全インスタンス及び配線の再遅延計算を行う。この再遅延計算方法は、以上の処理で計算した信号波形をそれぞれの閾値電圧間の時間として計算する。配線X203の配線遅延時間X216は、図14(b)に示すように、出力信号波形X206と入力信号波形X213とから、インスタンスX201の遅延時間X402は、入力信号波形X213と出力信号波形X400とから、また、配線遅延時間X403は、出力信号波形X400と入力信号波形X401とから計算する。   Step SX103 is a second delay calculation step, and re-delay calculation of all instances and wirings is performed using the output signal waveform data X300 in consideration of the mirror effect obtained in the instance output signal waveform calculation step SX300. In this re-delay calculation method, the signal waveform calculated by the above processing is calculated as the time between the respective threshold voltages. As shown in FIG. 14B, the wiring delay time X216 of the wiring X203 is from the output signal waveform X206 and the input signal waveform X213, and the delay time X402 of the instance X201 is from the input signal waveform X213 and the output signal waveform X400. The wiring delay time X403 is calculated from the output signal waveform X400 and the input signal waveform X401.

以上説明したように、インスタンスの入力端子容量の変動量をカップリング容量として表現することによりバンプを発生させ、ミラー効果による信号波形の変動を表現する。   As described above, the fluctuation amount of the input terminal capacitance of the instance is expressed as a coupling capacitance to generate a bump, and the fluctuation of the signal waveform due to the mirror effect is expressed.

本実施形態に示す方法により、ミラー効果が原因で変動する信号波形及び遅延時間を考慮に入れた遅延計算及びタイミング解析を実施できるため、ミラー効果に起因するタイミングエラーを回避することができる。   With the method shown in this embodiment, the delay calculation and timing analysis taking into account the signal waveform and the delay time, which fluctuate due to the mirror effect, can be performed, so that a timing error due to the mirror effect can be avoided.

特に、バッファ、AND、ORなどのように、トランジスタのゲートを複数段通過する構造を持つゲートでは、ゲートの入力端子における波形の鈍りが出力に伝搬しにくい。従って、このような場合には、第5の実施形態に示した方法を用いず、これに代えて、本実施形態に示す方法(即ち、インスタンス出力信号波形を求める場合においても、インスタンス入力信号波形を求める場合と同様に、ミラー効果による変動容量値を用いる方法)を用いても、精度良く遅延計算を行うことが可能である。   In particular, in a gate having a structure in which a plurality of stages pass through the gate of a transistor, such as a buffer, AND, and OR, the waveform dullness at the input terminal of the gate is difficult to propagate to the output. Therefore, in such a case, the method shown in the fifth embodiment is not used. Instead, the method shown in this embodiment (that is, the instance input signal waveform is obtained even when the instance output signal waveform is obtained). Similarly to the case of obtaining the delay time, it is possible to perform the delay calculation with high accuracy by using the method using the variable capacitance value due to the mirror effect.

(第7の実施形態)
本発明の第7の実施形態について、図15及び図16を用いて説明する。
(Seventh embodiment)
A seventh embodiment of the present invention will be described with reference to FIGS. 15 and 16.

図15は、バンプ重畳波形を考慮に入れた遅延計算処理フローを示す図である。図16(a)は、バンプ重畳波形を考慮した遅延計算処理を行う対象のネットワークの具体例を示す図である。ここでは、インスタンス1 2120とインスタンス2 2121とがネット1 2126を介して接続され、インスタンス2 2121とインスタンス3 2122とがネット2 2127を介して接続されている第1のネットワークと、インスタンスA1 2123とインスタンスA2 2124とがネットA1 2128を介して接続されている第2のネットワークとが、それぞれのネットワークのネット2 2127とネットA1 2128とにおいて近接している状態を示している。また、図16(b)は、前記第1のネットワーク上の各点における波形を示したものであり、それぞれの点における入力スロープ波形2131に対して、その各点におけるバンプ波形2132及び外部バンプ(クロストーク)波形2133を示している。   FIG. 15 is a diagram showing a delay calculation processing flow in which the bump superimposed waveform is taken into consideration. FIG. 16A is a diagram illustrating a specific example of a network on which a delay calculation process is performed in consideration of a bump superimposed waveform. Here, the first network in which the instance 1 2120 and the instance 2 2121 are connected via the net 1 2126, the instance 2 2121 and the instance 3 2122 are connected via the net 2 2127, and the instance A1 2123, A state is shown in which the second network to which the instance A2 2124 is connected via the net A1 2128 is close to the net 2 2127 and the net A1 2128 of the respective networks. FIG. 16B shows a waveform at each point on the first network. An input slope waveform 2131 at each point is compared with a bump waveform 2132 and an external bump (at each point). Crosstalk) waveform 2133 is shown.

ここで、図16(a)及び(b)を参照して、図15のフロー図を説明する。   Here, the flowchart of FIG. 15 will be described with reference to FIGS.

図15において、ステップ2101はネットワーク選択ステップであり、デザインの接続情報が記載されたネットリスト2110より遅延計算対象とするネットを選択する。ここでは、先ず、第1のネットワーク上のネット1 2126を選択したとする。   In FIG. 15, step 2101 is a network selection step, in which a net to be subjected to delay calculation is selected from a net list 2110 in which design connection information is described. Here, first, assume that the net 1 2126 on the first network is selected.

ステップ2102はネット波形分離ステップ(入力スロープ波形及びバンプ波形分離ステップ)であり、波形情報2114に記載されたインスタンス1 2120に入力されるバンプが重畳した入力波形より、図16(b)に示す入力スロープ波形2131とバンプ波形2132とに分離する。このステップにより入力スロープ波形のスルーレート値とバンプ波形のバンプ高さ、バンプ幅、波形にバンプが重畳するタイミング、バンプがピークに達するまでの時間、及びバンプ面積が得られる。   Step 2102 is a net waveform separation step (input slope waveform and bump waveform separation step). The input shown in FIG. 16B is input from the input waveform on which the bump input to the instance 1 2120 described in the waveform information 2114 is superimposed. A slope waveform 2131 and a bump waveform 2132 are separated. Through this step, the slew rate value of the input slope waveform, the bump height of the bump waveform, the bump width, the timing at which the bump is superimposed on the waveform, the time until the bump reaches the peak, and the bump area are obtained.

ネットワーク縮退ステップ2103では、寄生素子情報2111に基づいて、ネット1 2126及び次段インスタンスであるインスタンス2 2121の入力端子容量からなる回路が縮退する。このステップによりインスタンス1 2120の駆動負荷が得られる。   In the network degeneration step 2103, based on the parasitic element information 2111, the circuit including the input terminal capacitances of the net 1 2126 and the instance 2 2121 which is the next stage instance is degenerated. By this step, the driving load of the instance 1 2120 is obtained.

ライブラリ参照ステップ2104では、波形上にバンプ波形が重畳した場合に第2の実施形態において示したセル特性キャラクタライズ方法により作成されたライブラリ2112(図4では、テーブルデータ1315)を用いて、セル遅延と、波形上にバンプ波形が重畳した波形で表される出力波形とを求め、セル遅延を遅延情報2115に記録する。   In the library reference step 2104, the cell delay is generated using the library 2112 (table data 1315 in FIG. 4) created by the cell characteristic characterization method shown in the second embodiment when the bump waveform is superimposed on the waveform. And an output waveform represented by a waveform in which a bump waveform is superimposed on the waveform, and cell delay is recorded in the delay information 2115.

ネット波形計算ステップ2105では、ライブラリ参照ステップ2104で求めたインスタンス1 2120の出力波形に基づいて波形解析を行い、ネット1 2126の配線遅延値及びインスタンス2 2121の入力波形を計算し、ネット1 2126の配線遅延値を遅延情報2115に、また、インスタンス2 2121の入力波形を波形情報2114に記録する。   In the net waveform calculation step 2105, waveform analysis is performed based on the output waveform of the instance 1 2120 obtained in the library reference step 2104, the wiring delay value of the net 1 2126 and the input waveform of the instance 2 2121 are calculated, and the net 1 2126 The wiring delay value is recorded in the delay information 2115, and the input waveform of the instance 2 2121 is recorded in the waveform information 2114.

次に、ネットワーク選択ステップ2101で、図16(a)の第2のネットワークが選択されたとする。   Next, it is assumed that the second network in FIG. 16A is selected in the network selection step 2101.

ネット波形分離ステップ2102では、波形情報2114に記載されたインスタンス2 2121の波形上にバンプが重畳した入力波形に基づいて、入力スロープ波形及びバンプ波形を分離する。   In the net waveform separation step 2102, the input slope waveform and the bump waveform are separated based on the input waveform in which the bump is superimposed on the waveform of the instance 2 2121 described in the waveform information 2114.

ネットワーク縮退ステップ2103では、寄生素子情報2111に基づいて、ネット2 2127及び次段のインスタンス3 2122の入力端子容量からなる回路を縮退する。このステップによりインスタンス2 2121の駆動負荷が得られる。   In the network degeneration step 2103, based on the parasitic element information 2111, the circuit composed of the input terminal capacitances of the net 2 2127 and the next stage instance 3 2122 is degenerated. By this step, the driving load of the instance 2 2121 is obtained.

ライブラリ参照ステップ2104は、ライブラリ2112を用いて、セル遅延と、バンプ波形が重畳した波形で表される出力波形とを求め、セル遅延を遅延情報2115に記録する。   The library reference step 2104 uses the library 2112 to obtain a cell delay and an output waveform represented by a waveform in which a bump waveform is superimposed, and records the cell delay in the delay information 2115.

ネット2 2127の場合、近接にカップリング容量を持つネットA1 2128が存在して配線間クロストークが発生し、これに起因する外部バンプ波形2133は、別プロセスにより計算されて外部バンプ波形情報2113に記載されている。そのため、ネット波形計算ステップ2105は、ライブラリ参照ステップ2104で求めたインスタンス2 2121の出力波形に外部バンプ波形2133を重畳させた波形を用いて波形解析を行い、ネット2 2127の配線遅延値及びインスタンス3 2122の入力波形を計算し、ネット2 2127の配線遅延値を遅延情報2115に、また、インスタンス3 2122の入力波形を波形情報2114に記録する。   In the case of the net 2 2127, there is a net A1 2128 having a coupling capacitance in the vicinity, and crosstalk between wirings occurs, and the external bump waveform 2133 resulting from this is calculated by another process and is stored in the external bump waveform information 2113. Has been described. Therefore, the net waveform calculation step 2105 performs waveform analysis using the waveform obtained by superimposing the external bump waveform 2133 on the output waveform of the instance 2 2121 obtained in the library reference step 2104, and the wiring delay value of the net 2 2127 and the instance 3 The input waveform of 2122 is calculated, the wiring delay value of net 2 2127 is recorded in delay information 2115, and the input waveform of instance 3 2122 is recorded in waveform information 2114.

尚、ネットA1 2128の遷移タイミングとネット2 2127の遷移タイミングとを考慮して、同時に遷移しない場合には、外部バンプ波形を重畳させなくてもよい。   In consideration of the transition timing of the net A1 2128 and the transition timing of the net 2 2127, the external bump waveform may not be superimposed if the transition is not performed simultaneously.

また、ここでは外部バンプ波形の要因をクロストークとしたが、同時スイッチングノイズ、インダクタンスによるオーバーシュートやアンダーシュートなどでもよい。   In addition, although the external bump waveform factor is crosstalk here, simultaneous switching noise, inductance overshoot or undershoot may be used.

この遅延計算のための一連のステップは、ネットリスト2110に記載された全てのネットについて遅延計算を行うまで繰り返される。   This series of steps for the delay calculation is repeated until the delay calculation is performed for all the nets described in the net list 2110.

以上のように、本実施形態によれば、クロストーク、同時スイッチング(同時遷移)ノイズ、インダクタンスによるオーバーシュートやアンダーシュート等により波形変歪が発生している場合でも、その影響を考慮して、高精度に遅延計算することができる。   As described above, according to this embodiment, even when waveform distortion occurs due to crosstalk, simultaneous switching (simultaneous transition) noise, overshoot or undershoot due to inductance, and the like, Delay calculation can be performed with high accuracy.

(第8の実施形態)
本発明の第8の実施形態について、図17及び図18を用いて説明する。
(Eighth embodiment)
An eighth embodiment of the present invention will be described with reference to FIGS.

図17は、第8の実施形態における変動入力端子容量を考慮した遅延計算方法のフロー図である。図18(a)は、変動入力端子容量を考慮した遅延計算処理を行う対象のネットワークの具体例を示す図である。ここでは、インスタンス1 2220とインスタンス2 2221とがネット1 2226を介して接続され、インスタンス2 2221とインスタンス3 2222とがネット2 2227を介して接続され、インスタンス2 2221の入力端子に、変動入力端子容量を考慮した場合の等価入力端子容量2230が付加されている状態を示している。また、図18(b)は、同図(a)の回路の各点における信号波形を示し、インスタンス2 2221の入力端子に対応する信号波形として、等価入力端子容量変動前の波形2231と等価入力端子容量変動後の波形2232とが示されている。   FIG. 17 is a flowchart of the delay calculation method considering the variable input terminal capacitance in the eighth embodiment. FIG. 18A is a diagram illustrating a specific example of a network on which a delay calculation process is performed in consideration of a variable input terminal capacity. Here, instance 1 2220 and instance 2 2221 are connected via net 1 2226, instance 2 2221 and instance 3 2222 are connected via net 2 2227, and the input terminal of instance 2 2221 is connected to the variable input terminal. A state in which an equivalent input terminal capacitance 2230 is added in consideration of the capacitance is shown. 18B shows the signal waveform at each point of the circuit of FIG. 18A, and the waveform corresponding to the input terminal of the instance 2 2221 is equivalent to the waveform 2231 before the equivalent input terminal capacitance change. A waveform 2232 after the terminal capacitance fluctuation is shown.

ここで、図18(a)及び(b)を参照して、図17のフロー図を説明する。   Here, the flowchart of FIG. 17 will be described with reference to FIGS.

図17において、ステップ2201は、ネットワーク選択ステップであり、デザインの接続情報が記載されたネットリスト2210より遅延計算対象とするネットと、もう一段後のネットとを選択する。図18(a)では、ネット1 2226及びネット2 2227である。   In FIG. 17, step 2201 is a network selection step, in which a net to be subjected to delay calculation and another next net are selected from a net list 2210 in which design connection information is described. In FIG. 18 (a), they are net 1 2226 and net 2 2227.

ステップ2204は、デフォルト入力端子容量参照ステップであり、遅延計算対象とするネットと、もう一段後のネットに接続されるインスタンスについて、入力端子容量が入力スルーレートと駆動負荷との関数として第1の実施形態において示した方法によりキャラクタライズされたライブラリ2212を参照して、ミラー効果が起こっていないときの入力端子容量を参照する。図18(a)では、インスタンス2 2221とインスタンス3 2222について参照される。   Step 2204 is a default input terminal capacity reference step. For an instance connected to the delay calculation target net and another net after the first stage, the input terminal capacity is a first function as a function of the input slew rate and the driving load. With reference to the library 2212 characterized by the method shown in the embodiment, the input terminal capacitance when the mirror effect does not occur is referred to. In FIG. 18A, reference is made to instance 2 2221 and instance 3 2222.

ステップ2203は、第1のネットワーク縮退ステップであり、遅延計算の対象とするネットと、その1段後ろのネットに関して、寄生素子情報2211の寄生素子を含むネットワーク回路と、その後段のインスタンスの入力端子容量を含む回路とを、各々、実効的に負荷が等しい実効入力端子容量に縮退する。図18(a)では、例えば、ネット1 2226とインスタンス2 2221とからなるネットワーク回路を縮退すると共に、ネット2 2227とインスタンス3 2222からなる回路を縮退する。   Step 2203 is a first network degeneracy step, and a network circuit including a parasitic element of the parasitic element information 2211 with respect to a net to be subjected to delay calculation and a net one stage behind the net, and an input terminal of an instance at the subsequent stage Each circuit including a capacitor is degenerated to an effective input terminal capacitance having an effectively equal load. In FIG. 18A, for example, the network circuit composed of the net 1 2226 and the instance 2 2221 is degenerated and the circuit composed of the net 2 2227 and the instance 3 2222 is degenerated.

また、ステップ2205は、第1のネット波形計算ステップであり、波形情報2214からネット1 2226の前段のネットの出力スルーレート、すなわち、インスタンス1 2220の入力スルーレートと、前記縮退されたネットワーク回路(ネット1 2226とインスタンス2 2221とから成る回路)の変動前入力端子容量(負荷部)とをインデックスとして、ライブラリ2212を参照することにより、インスタンス1 2220のセル出力スルーレートを計算する。更に、波形解析により、ネット1 2226の出力スルーレートである実効入力端子容量変動前の波形2231を計算する。   Step 2205 is a first net waveform calculation step. From the waveform information 2214, the output slew rate of the previous stage of the net 1 2226, that is, the input slew rate of the instance 1 2220 and the degenerated network circuit ( The cell output slew rate of the instance 1 2220 is calculated by referring to the library 2212 using the pre-change input terminal capacitance (load unit) of the circuit of the net 1 2226 and the instance 2 2221 as an index. Further, the waveform 2231 before the fluctuation of the effective input terminal capacitance, which is the output slew rate of the net 1 2226, is calculated by waveform analysis.

ステップ2206は、入力端子容量計算ステップであり、インスタンス2 2221の実効入力端子容量の変動前(すなわち、入力波形に歪が生じていない場合の)入力波形2231のスルーレートと、前記縮退されたネット2 2227の負荷容量とをインデックスとして、ライブラリ2212を参照することにより、インスタンス2 2221の変動後の実効入力端子容量を計算する。   Step 2206 is an input terminal capacitance calculation step. Before the fluctuation of the effective input terminal capacitance of the instance 2 2221 (that is, when the input waveform is not distorted), the slew rate of the input waveform 2231 and the degenerated net The effective input terminal capacity after the fluctuation of the instance 2 2221 is calculated by referring to the library 2212 using the load capacity of the 2 2227 as an index.

ステップ2207は、第2のネットワーク縮退ステップであり、遅延計算対象とするネットと、このネットに接続される次段のインスタンスの変動後の実効入力端子容量とから成る回路を縮退する。ここでは、ネット1 2226と前記で求めたインスタンス2 2221の変動後の実効入力端子容量とからなる回路を縮退する。   Step 2207 is a second network degeneration step, which degenerates a circuit composed of a net to be subjected to delay calculation and an effective input terminal capacity after variation of the next-stage instance connected to the net. Here, the circuit composed of the net 1 2226 and the effective input terminal capacitance after the fluctuation of the instance 2 2221 obtained above is degenerated.

ステップ2208は、第2のネット波形計算ステップであり、波形情報2214からネット1 2226の前段のネットの出力スルーレート(即ち、インスタンス1 2220の入力スルーレート)と、前記縮退した回路(即ち、ネット1 2226とインスタンス2 2221の変動後の実効入力端子容量からなる回路を縮退した回路)である負荷部とをインデックスとして、ライブラリ2212を参照することにより、インスタンス1 2220のセル遅延値とセル出力スルーレートとを計算し、その各々を遅延情報2215と波形情報2214とに記録する。更に、波形解析により、ネット1 2226の出力スルーレートである実効入力端子容量変動後の波形2232と、ネット1 2226の配線遅延時間とを計算し、その各々を波形情報2214と遅延情報2215とに記録する。   Step 2208 is a second net waveform calculation step. From the waveform information 2214, the output slew rate of the net before the net 1 2226 (ie, the input slew rate of the instance 1 2220) and the degenerated circuit (ie, net By referring to the library 2212 using the load part, which is a circuit obtained by degenerating the circuit composed of the effective input terminal capacitance of 1 2226 and the instance 2 2221, as an index, the cell delay value and the cell output through of the instance 1 2220 are referred to. The rate is calculated and recorded in the delay information 2215 and the waveform information 2214, respectively. Further, by waveform analysis, the waveform 2232 after the effective input terminal capacitance fluctuation, which is the output slew rate of the net 1 2226, and the wiring delay time of the net 1 2226 are calculated, and these are converted into the waveform information 2214 and the delay information 2215, respectively. Record.

前記遅延計算のための一連のステップは、ネットリスト2210に記載された全てのネットについて遅延計算を行うまで繰り返される。   The series of steps for the delay calculation is repeated until the delay calculation is performed for all the nets described in the net list 2210.

以上のように、本実施形態によれば、ミラー効果により波形変歪が発生している場合であっても、実効入力端子容量の変動というモデルを用いてその影響を考慮し、高精度に遅延計算する事が出来る。   As described above, according to the present embodiment, even when waveform distortion has occurred due to the mirror effect, the effect is taken into account using a model of effective input terminal capacitance variation, and the delay is accurately performed. Can be calculated.

(第9の実施形態)
本発明の第9の実施形態について、図19及び図20を用いて説明する。
(Ninth embodiment)
A ninth embodiment of the present invention will be described with reference to FIGS.

図19は、タイミング解析において、タイミングを満たさないパスにミラー効果が発生するインスタンスが存在する場合のタイミング再判定方法を表す図である。   FIG. 19 is a diagram illustrating a timing redetermination method when there is an instance in which a mirror effect occurs in a path that does not satisfy timing in timing analysis.

図19において、ステップSX500はデザイン中の全インスタンス、配線の遅延時間を計算し、さらに、ミラー効果が発生するインスタンスを抽出する遅延計算ステップ、ステップSX501は遅延計算ステップSX500で計算された遅延情報を基にタイミング解析を行なう静的タイミング解析ステップ、ステップSX502はタイミングを満たさないパスを構成するインスタンスにミラー効果が発生するかどうかを判定し、発生している場合に、その遅延変動量を計算するミラー効果発生インスタンス抽出ステップ、ステップSX503はミラー効果により発生した遅延変動量とパスのタイミングレポートから、再度タイミング解析を行なうタイミング再判定ステップ、X500はデザイン中の全インスタンス及び配線の遅延時間が記載された遅延情報、X501はミラー効果が発生しているインスタンスを羅列したミラー効果発生インスタンスリスト、X502はタイミング解析の結果、タイミングを満たしていないパスのタイミング情報と構成インスタンスが記載されたパスレポート、X503はタイミング解析の結果、タイミングを満たしていないパスのスラック値が記載されたスラック情報、X504はミラー効果が発生するインスタンスの遅延変動量を記載したパス遅延変動レポート、X505はセル毎にミラー効果が発生する条件が書かれたミラー効果発生条件、X506はネットリストである。   In FIG. 19, step SX500 calculates the delay time of all instances and wirings in the design, and further, a delay calculation step for extracting instances where the mirror effect occurs. Step SX501 indicates the delay information calculated in the delay calculation step SX500. Step SX502, which is a static timing analysis step for performing timing analysis based on the timing analysis, determines whether or not a mirror effect occurs in an instance that constitutes a path that does not satisfy timing, and if so, calculates the amount of delay variation. Mirror effect occurrence instance extraction step, step SX503 is a timing re-determination step in which timing analysis is performed again from the delay variation amount and path timing report generated by the mirror effect, and X500 is a description of delay times of all instances and wirings in the design X501 is a mirror effect occurrence instance list listing instances in which a mirror effect is generated, X502 is a path report in which timing information and configuration instances of paths not satisfying timing are described as a result of timing analysis, X503 is the slack information that describes the slack value of the path that does not satisfy the timing as a result of the timing analysis, X504 is the path delay variation report that describes the delay variation amount of the instance where the mirror effect occurs, and X505 is the mirror effect for each cell. A mirror effect generation condition in which a condition for occurrence of X is written, and X506 is a net list.

図20は図19の処理フローの具体例を示す図である。ここで図20(a)は、フリップフロップX600からフリップフロップX601に繋がるパスを、間にインスタンスX602を介する場合を例として示している。また、図20(b)は、同図(a)のフリップフロップX600及びX601に入力されるクロック信号X603の、同図(b)に示すクロックCLKの立ち上がりに対するホールド時間X604と、このホールド時間X604と比較したフリップフロップ(FF)間パス遅延X605と、ホールド時間X604からFF間パス遅延X605を引いた差分X606、及び、FF間パス遅延X605に対してミラー効果による遅延変動量X607を考慮した場合の時間関係を示した図である。   FIG. 20 is a diagram showing a specific example of the processing flow of FIG. Here, FIG. 20A shows, as an example, a case where a path connecting from the flip-flop X600 to the flip-flop X601 is interposed via the instance X602. 20B shows a hold time X604 with respect to the rising edge of the clock CLK shown in FIG. 5B of the clock signal X603 input to the flip-flops X600 and X601 in FIG. 20A, and the hold time X604. When the delay variation amount X607 due to the mirror effect is considered for the inter-flip-flop (FF) path delay X605, the difference X606 obtained by subtracting the inter-FF path delay X605 from the hold time X604, and the inter-FF path delay X605 It is the figure which showed these time relationships.

ここで、図20(a)及び(b)を参照して、図19のフロー図を説明する。   Here, the flowchart of FIG. 19 will be described with reference to FIGS.

遅延計算ステップSX500では、遅延ライブラリX104とRC情報X105を入力として、デザイン中の全インスタンスの遅延時間及び配線遅延時間が計算される。また、これと同時に、入力されたミラー効果発生条件X505に従い、各インスタンスの入力信号波形傾きと駆動する負荷容量とから、インスタンス別にミラー効果が発生するかどうかを判定し、発生するインスタンスをミラー効果発生インスタンスリストX501として出力する。この遅延計算ステップSX500において入力されるミラー効果発生条件X505には、セル種別に、入力信号波形傾きと容量値が記載されており、各セル毎に、前記入力信号波形傾きより大きい信号波形が入力され、かつ、前記容量値よりも小さい容量を駆動するインスタンスがあった場合に、ミラー効果が発生すると判定される。   In the delay calculation step SX500, the delay library X104 and the RC information X105 are input, and the delay time and wiring delay time of all instances in the design are calculated. At the same time, according to the input mirror effect generation condition X505, it is determined whether the mirror effect is generated for each instance from the input signal waveform inclination of each instance and the driving load capacity. The generated instance list X501 is output. In the Miller effect generation condition X505 input in the delay calculation step SX500, the input signal waveform inclination and the capacitance value are described for each cell type, and a signal waveform larger than the input signal waveform inclination is input for each cell. If there is an instance that drives a capacitance smaller than the capacitance value, it is determined that the mirror effect occurs.

ここで、遅延計算ステップSX500では、遅延ライブラリX104とRC情報X105を読み込むと説明したが、その他にも、デザインのネットリストや、バウンダリの設定や、タイミング制約を読み込んで遅延計算してもよい。また、セルとは、バッファーやインバーターのような論理レベルでの種別を指し、インスタンスとは同一セルが複数使われた場合に、それぞれを違うものと認識するための唯一の名前である。   Here, in the delay calculation step SX500, it has been described that the delay library X104 and the RC information X105 are read. However, the delay calculation may be performed by reading a design netlist, boundary settings, and timing constraints. A cell is a type at a logical level such as a buffer or an inverter, and an instance is a unique name for recognizing that each cell is different when a plurality of the same cells are used.

次に、静的タイミング解析ステップSX501では、ネットリストX506に対し遅延情報X500の遅延値を割り当て、タイミング解析を行なう。   Next, in a static timing analysis step SX501, a delay value of the delay information X500 is assigned to the netlist X506 to perform timing analysis.

タイミング解析において、タイミングを満たさないパスがある場合、そのパスを構成するインスタンスのリストが記載されたパスレポートX502とそのパスの守るべきタイミングに対し、満たしていない時間が記載されたスラック情報X503が出力する。   In the timing analysis, when there is a path that does not satisfy the timing, a path report X502 that describes a list of instances that constitute the path and slack information X503 that describes a time that does not satisfy the timing to be protected by the path are displayed. Output.

図20(a)記載のフリップフロップX600からインスタンスX602を通過してフリップフロップX601に至るようなパスの場合、前記パスレポートX502には、フリップフロップX600、フリップフロップX601、インスタンスX602とその他構成インスタンスがリストアップされる。   In the case of a path from the flip-flop X600 illustrated in FIG. 20A through the instance X602 to the flip-flop X601, the path report X502 includes the flip-flop X600, the flip-flop X601, the instance X602, and other configuration instances. Listed.

さらに、このパスの遅延が満たすべき仕様が例えば図20(b)に示すように、ホールド時間X604である場合に、前記パスのフリップフロップ(FF)間パス遅延X605との大小を比較し、前記仕様を満たしていない場合、それぞれの差分X607(= FF間パス遅延X605 - ホールド時間X604)が、スラック情報X503に出力される。   Further, when the specification to be satisfied by the delay of this path is, for example, as shown in FIG. 20B, the magnitude is compared with the path delay X605 between the flip-flops (FF) of the path, as shown in FIG. When the specification is not satisfied, each difference X607 (= FF path delay X605-hold time X604) is output to the slack information X503.

次に、ミラー効果発生インスタンス抽出ステップSX502では、ミラー効果発生インスタンスリストX501に記載されたインスタンスが、パスレポートX502中に含まれているかどうかを判定し、もし、含まれていた場合には、前記インスタンスのミラー効果による遅延変動量をパス遅延変動レポートX504として出力する。   Next, in the mirror effect occurrence instance extraction step SX502, it is determined whether or not the instances described in the mirror effect occurrence instance list X501 are included in the path report X502. The delay variation due to the mirror effect of the instance is output as a path delay variation report X504.

例えば、インスタンスX602がミラー効果発生インスタンスリストX501に含まれていた場合、フリップフロップX600からX601のパスで、ミラー効果が発生すると判定され、インスタンスX602のミラー効果による遅延変動量X606を計算する。   For example, if the instance X602 is included in the mirror effect occurrence instance list X501, it is determined that the mirror effect occurs in the path from the flip-flops X600 to X601, and the delay variation amount X606 due to the mirror effect of the instance X602 is calculated.

ここでのミラー効果による遅延変動量の計算方法は、実施形態3、4に記載されている方法でも良いし、回路シミュレータを用いた計算でも良い。   The calculation method of the delay variation amount due to the mirror effect here may be the method described in the third and fourth embodiments, or may be calculation using a circuit simulator.

最後に、タイミング再判定ステップSX503において、スラック情報X503とパス遅延変動レポートX504とを照らし合わせて、スラック情報X503に記載されている値よりも、パス遅延変動レポートX504に記載されている値の方が大きいパスは、タイミングを満たしていると判定する。   Finally, in the timing re-determination step SX503, the slack information X503 and the path delay variation report X504 are compared, and the value described in the path delay variation report X504 is greater than the value described in the slack information X503. It is determined that a path having a large value satisfies the timing.

また、差分X607と遅延変動量X606を比較し、遅延変動量X606の方が大きければ、タイミングは満たしていないが、ミラー効果による遅延増加を考慮するとタイミングを満たしているので、問題なしとして、タイミングを満たしていると判定する。   Further, the difference X607 is compared with the delay variation amount X606, and if the delay variation amount X606 is larger, the timing is not satisfied, but the timing is satisfied considering the increase in delay due to the mirror effect. Is determined to be satisfied.

以上説明したように、本実施形態によれば、タイミングを満たしていないパスであっても、ミラー効果の影響により遅延増加が発生し、タイミングを満たすことができるパスについては、回路修正を行う必要はないと判断できるので、無駄な回路修正を行なう必要なく、工数削減、面積増加抑制ができる。   As described above, according to the present embodiment, even if the path does not satisfy the timing, the delay increases due to the effect of the mirror effect, and it is necessary to perform circuit correction for the path that can satisfy the timing. Therefore, it is possible to reduce man-hours and suppress an increase in area without needless circuit correction.

(第10の実施形態)
本発明の第10の実施形態について、図21、図22及び図23を用いて説明する。
(Tenth embodiment)
A tenth embodiment of the present invention will be described with reference to FIG. 21, FIG. 22, and FIG.

図21はミラー効果を考慮したタイミング改善フローを示す図、図22は最適な回路修正方法を選択する手順を示す図、図23は最適な回路修正方法の具体例を示す図である。   FIG. 21 is a diagram showing a timing improvement flow in consideration of the mirror effect, FIG. 22 is a diagram showing a procedure for selecting an optimum circuit correction method, and FIG. 23 is a diagram showing a specific example of the optimum circuit correction method.

図21において、SX700は静的なタイミング解析を行なう静的タイミング解析ステップ、SX701はタイミング解析の結果、タイミングが仕様を満たしているかどうかを判定するタイミングMET判定ステップ、SX702はタイミングがそのタイミング設計仕様を満たすように改善を行なう回路修正ステップ、SX703はデザイン中の全インスタンス、配線の遅延時間を計算する遅延計算ステップ、SX704はミラー効果が起こっているインスタンスを抽出するミラー効果発生インスタンス抽出ステップ、SX705はミラー効果が起こっているかどうかを判定するミラー効果発生判定ステップ、SX706は回路修正を行う時に、最も面積ダメージの少なくなる方法を選定する回路修正方法決定ステップ、X700はレイアウトである。   In FIG. 21, SX700 is a static timing analysis step for performing static timing analysis, SX701 is a timing MET determination step for determining whether the timing meets the specification as a result of the timing analysis, and SX702 is a timing design specification for the timing. SX 703 is a delay calculation step for calculating all the instances in the design and wiring delay time, SX 704 is a mirror effect occurrence instance extracting step for extracting an instance in which the mirror effect occurs, SX 705 Is a mirror effect occurrence determination step for determining whether the mirror effect is occurring, SX706 is a circuit correction method determination step for selecting a method that minimizes area damage when performing circuit correction, and X700 is a layout. It is.

図22において、SX800は、ミラー効果が発生しているインスタンスの入力信号波形と負荷容量とに基づいて、そのミラー効果が発生しないように、そのミラー効果が発生しているインスタンス自体のセルサイズを変更するような回路修正方法を提示するミラー効果発生インスタンス修正方法提示ステップである。また、SX801は、ミラー効果が発生しているインスタンスの入力端子又は出力端子に繋がるインスタンス(即ち、ミラー効果の発生要因となっているインスタンス)のセルサイズを変更し、これにより、ミラー効果が発生しないように、そのミラー効果が発生しているインスタンスの入力信号波形あるいは負荷容量を変更するような回路修正方法を提示するミラー効果発生要因インスタンス修正方法提示ステップである。更に、SX802はミラー効果発生インスタンス修正方法提示ステップSX800とミラー効果要因インスタンス修正方法提示ステップSX801のいずれの方法が面積ダメージが少ないかを比較し、最適な回路修正方法を選択する最適修正方法選定ステップである。   In FIG. 22, the SX 800 sets the cell size of the instance itself in which the mirror effect is generated based on the input signal waveform and the load capacity of the instance in which the mirror effect is generated so that the mirror effect does not occur. This is a mirror effect occurrence instance correction method presentation step for presenting a circuit correction method to be changed. In addition, the SX 801 changes the cell size of the instance connected to the input terminal or output terminal of the instance in which the mirror effect is generated (that is, the instance causing the mirror effect), thereby generating the mirror effect. This is a mirror effect generation factor instance correction method presentation step for presenting a circuit correction method for changing the input signal waveform or the load capacity of the instance in which the mirror effect is generated. Further, the SX 802 compares the mirror effect generation instance correction method presentation step SX800 and the mirror effect factor instance correction method presentation step SX801 with less area damage, and selects the optimum circuit correction method. It is.

図23(a)において、X900はミラー効果が発生するインスタンス、X901はインスタンスX900の入力端子に繋がるインスタンス、X902はインスタンスX900の出力端子に繋がるインスタンス、同図(b)において、X903はインスタンスX900をミラー効果が発生しないようにセルサイズ変更を行ったインスタンス、また、同図(c)において、X904はインスタンスX900でミラー効果が発生しないようにセルサイズ変更を行ったインスタンスである。   23A, X900 is an instance where the mirror effect occurs, X901 is an instance connected to the input terminal of the instance X900, X902 is an instance connected to the output terminal of the instance X900, and in FIG. 23B, X903 is the instance X900. An instance in which the cell size is changed so that the mirror effect does not occur, and in FIG. 9C, X904 is an instance in which the cell size is changed so that the mirror effect does not occur in the instance X900.

ここで、図22及び図23を用いて、図21のフローを説明する。   Here, the flow of FIG. 21 will be described with reference to FIGS. 22 and 23.

先ず、静的タイミング解析ステップSX700では、ネットリストX506に対し遅延情報X500を割当て、静的なタイミング解析を行う。   First, in a static timing analysis step SX700, delay information X500 is assigned to the netlist X506, and static timing analysis is performed.

次に、タイミングMET判定ステップSX701では、静的タイミング解析の結果、タイミングが仕様を満たしているかどうかを判定する。この判定において、タイミングMET判定ステップSX701で、タイミングを満たしていない場合には、回路修正ステップSX702に進む。   Next, in a timing MET determination step SX701, it is determined whether the timing satisfies the specification as a result of the static timing analysis. In this determination, if the timing is not satisfied in the timing MET determination step SX701, the process proceeds to the circuit correction step SX702.

回路修正ステップSX702では、レイアウトX700を読み込んで、セルのサイズ変更や、再配線を行い、タイミング改善を行う。   In the circuit correction step SX702, the layout X700 is read, the cell size is changed, the rewiring is performed, and the timing is improved.

次に、遅延計算ステップSX703では、タイミング改善されたデザイン中の全インスタンス及び配線の遅延時間を計算し、再度、静的タイミング解析ステップSX700に戻る。タイミングが仕様を満たすまで、以上のステップが繰り返し実行される。   Next, in a delay calculation step SX703, the delay times of all instances and wirings in the design with improved timing are calculated, and the process returns to the static timing analysis step SX700 again. The above steps are repeated until the timing meets the specifications.

また、前記とは異なり、タイミングMET判定ステップSX701で、タイミングが仕様を満たした場合には、ミラー効果発生インスタンス抽出ステップSX704に進む。   Unlike the above, if the timing satisfies the specification in the timing MET determination step SX701, the process proceeds to the mirror effect occurrence instance extraction step SX704.

ミラー効果発生インスタンス抽出ステップSX704では、ミラー効果発生条件X505を参照しながら、タイミングエラーとなっているパスを構成するインスタンスが、この条件に合致している場合、そのインスタンスを抽出する。   In the mirror effect occurrence instance extraction step SX704, referring to the mirror effect occurrence condition X505, if an instance constituting a path having a timing error matches this condition, the instance is extracted.

次に、ミラー効果発生判定ステップSX705において、ミラー効果発生インスタンス抽出ステップSX704で抽出された中にミラー効果が起きるインスタンスがある場合には、回路修正が必要であると判定し、回路修正方法決定ステップSX706で回路修正方法を決定した後、回路修正ステップSX702に進み、また、ミラー効果を起こすインスタンスがない場合には、タイミング改善完了として処理を完了する。   Next, in the mirror effect occurrence determination step SX705, if there is an instance in which the mirror effect occurs in the mirror effect occurrence instance extraction step SX704, it is determined that circuit correction is necessary, and the circuit correction method determination step After determining the circuit correction method in SX706, the process proceeds to circuit correction step SX702, and if there is no instance that causes the mirror effect, the process is completed as the completion of timing improvement.

ここで、前記処理における回路修正方法決定ステップSX706について、図22のフロー図と図23の回路図とを用いて詳細に説明する。   Here, the circuit correction method determination step SX706 in the process will be described in detail with reference to the flowchart of FIG. 22 and the circuit diagram of FIG.

回路修正方法決定ステップSX706は、図22に示すミラー効果発生インスタンス修正方法提示ステップSX800と、ミラー効果要因インスタンス修正方法提示ステップSX801と最適修正方法選定ステップSX802とから構成されている。   The circuit correction method determination step SX706 includes a mirror effect occurrence instance correction method presentation step SX800, a mirror effect factor instance correction method presentation step SX801, and an optimum correction method selection step SX802 shown in FIG.

図23(a)の回路において、インスタンスX900でミラー効果が発生していた場合、ミラー効果発生インスタンス修正方法提示ステップSX800では、インスタンスX900の駆動能力を変更(セルサイズ変更)し、ミラー効果が発生しないサイズに変更する。この変更により、インスタンスX900は図23(b)のインスタンスX903になったとする。   In the circuit of FIG. 23A, when the mirror effect has occurred in the instance X900, in the mirror effect occurrence instance correction method presentation step SX800, the drive capability of the instance X900 is changed (cell size change), and the mirror effect is generated. Change the size to not. As a result of this change, it is assumed that the instance X900 becomes the instance X903 in FIG.

次に、ミラー効果は、ミラー効果が発生するインスタンスに入力される信号波形と負荷容量により発生するので、ミラー効果が発生するインスタンスX900に入力される前記信号波形、又は負荷容量を変更する修正ができないかを判定する。   Next, since the mirror effect is generated by the signal waveform and the load capacity input to the instance where the mirror effect occurs, the signal waveform input to the instance X900 where the mirror effect occurs or the modification for changing the load capacity is changed. Determine if you can't.

ミラー効果要因インスタンス修正方法提示ステップSX801では、ミラー効果が発生するインスタンスX900の入力信号波形を変更するために、インスタンスX901のセルサイズを変更する方法を提示する。この方法を用いたセルサイズ変更では、通常は、図23(c)に示すように、信号波形が急峻になるようにインスタンスX901をインスタンスX904のようにセルサイズを大きく(駆動能力を強く)する。また、負荷容量に着目した場合は、インスタンスX902のサイズを変更する方法でもよい。   In the mirror effect factor instance correction method presentation step SX801, a method of changing the cell size of the instance X901 is presented in order to change the input signal waveform of the instance X900 in which the mirror effect occurs. In the cell size change using this method, normally, as shown in FIG. 23C, the cell size is increased (increases driving capability) from instance X901 to instance X904 so that the signal waveform becomes steep. . Further, when focusing on the load capacity, a method of changing the size of the instance X902 may be used.

次に、最適修正方法選定ステップSX802では、セルサイズ変更後のインスタンスX903とインスタンスX904のサイズ変更に伴う面積増加量を比較し、ステップSX800、SX801において提示された2つの方法のうち面積ダメージの少ないほうの方法を選定する。   Next, in the optimum correction method selection step SX802, the amount of area increase associated with the size change of the instance X903 and the instance X904 after the cell size change is compared, and the area damage of the two methods presented in steps SX800 and SX801 is small. Select the method.

この選定された方法に従い、回路修正ステップSX702ではレイアウトを修正する。   In accordance with the selected method, the circuit correction step SX702 corrects the layout.

以上のように、タイミング改善をする際に、ミラー効果の影響で遅延変動するインスタンスがあるかどうかを判定し、最も面積ダメージが少ない回路方法を提示することにより、最も少ないダメージで、ミラー効果を回避でき、市場での初期不良を未然に解決できる。   As described above, when improving timing, it is determined whether there is an instance that delays due to the effect of the mirror effect, and by presenting a circuit method with the least area damage, the mirror effect can be reduced with the least damage. It can be avoided and initial defects in the market can be solved.

以上説明したように、本発明では、セルが如何なる大きさの容量を駆動していても、そのセルへの入出力波形に歪が発生する場合の遅延計算を精度良く実行することが可能であると共に、従来のクロストーク解析技術をそのまま応用しながら、高精度に遅延計算を行うことが可能にあり、更には、レイアウト後の回路修正を必要最小限の回路修正で行うことができるので、セル特性キャラクタライズ方法及び半導体集積回路の遅延時間計算方法として有用である。   As described above, according to the present invention, it is possible to accurately execute the delay calculation when distortion occurs in the input / output waveform to the cell, regardless of the capacity of the cell driving the cell. In addition, it is possible to perform delay calculation with high accuracy while applying the conventional crosstalk analysis technology as it is, and furthermore, circuit correction after layout can be performed with the minimum necessary circuit correction. This is useful as a characteristic characterization method and a delay time calculation method for semiconductor integrated circuits.

本発明の第1の実施形態における変動入力端子容量キャラクタライズ方法のフロー図である。It is a flowchart of the fluctuation | variation input terminal capacity | capacitance characterization method in the 1st Embodiment of this invention. 本発明の第1の実施形態において、(a)は変動入力端子容量キャラクタライズ対象回路の図、(b)はセル及び駆動負荷を実効入力端子容量に置き換えた回路図である。In the first embodiment of the present invention, (a) is a diagram of a variable input terminal capacitance characterization target circuit, and (b) is a circuit diagram in which cells and driving loads are replaced with effective input terminal capacitances. 本発明の第1の実施形態における変動入力端子容量キャラクタライズ方法実施例のセル入力電圧波形の図である。It is a figure of the cell input voltage waveform of the fluctuation | variation input terminal capacity | capacitance characterization method Example in the 1st Embodiment of this invention. 本発明の第2の実施形態におけるバンプ重畳波形キャラクタライズ方法のフロー図である。It is a flowchart of the bump superimposition waveform characterization method in the 2nd Embodiment of this invention. 本発明の第2の実施形態におけるバンプ重畳波形キャラクタライズ対象回路の図である。It is a figure of the bump superimposition waveform characterization object circuit in the 2nd Embodiment of this invention. 本発明の第2の実施形態におけるバンプ重畳波形キャラクタライズ実施例の図である。It is a figure of the bump superimposition waveform characterization example in the 2nd Embodiment of this invention. 本発明の第3の実施形態におけるバンプ波形が発生する条件をキャラクタライズするときの回路図である。It is a circuit diagram when characterizing the conditions which a bump waveform generate | occur | produces in the 3rd Embodiment of this invention. 本発明の第3の実施形態におけるバンプが発生する条件をキャラクタライズ処理フロー図である。It is a characterization process flow figure about the conditions which a bump generate | occur | produces in the 3rd Embodiment of this invention. 本発明の第3の実施形態におけるバンプ波形の発生の有無を記載したライブラリの例を示す図である。It is a figure which shows the example of the library which described the presence or absence of generation | occurrence | production of the bump waveform in the 3rd Embodiment of this invention. 本発明の第4の実施形態における波形にバンプが発生する場合の遅延計算処理フローを示す図である。It is a figure which shows the delay calculation processing flow in case the bump generate | occur | produces in the waveform in the 4th Embodiment of this invention. 本発明の第5の実施形態におけるミラー効果による遅延変動を考慮に入れた遅延計算処理フローを表す図である。It is a figure showing the delay calculation processing flow which took into consideration the delay variation by the mirror effect in the 5th Embodiment of this invention. 本発明の第5の実施形態において、(a)は遅延計算処理を行う回路の具体例を示す図、(b)は、(a)の回路図における各点の波形を図11の処理フローにおける各ステップに対して示した図であるIn the fifth embodiment of the present invention, (a) is a diagram showing a specific example of a circuit that performs delay calculation processing, (b) is a waveform of each point in the circuit diagram of (a) in the processing flow of FIG. It is the figure shown for each step 本発明の第6の実施形態におけるミラー効果による遅延変動を考慮に入れた遅延計算処理フローを表す図である。It is a figure showing the delay calculation processing flow which considered the delay variation by the mirror effect in the 6th Embodiment of this invention. 本発明の第6の実施形態における図13の処理フローを具体例を用いて説明する図である。It is a figure explaining the processing flow of FIG. 13 in the 6th Embodiment of this invention using a specific example. 本発明の第7の実施形態におけるバンプ重畳波形を考慮した遅延計算方法のフロー図である。It is a flowchart of the delay calculation method in consideration of the bump superposition waveform in the 7th embodiment of the present invention. 本発明の第7の実施形態において、(a)はバンプ重畳波形を考慮した遅延計算処理を行う対象のネットワークの具体例を示す図、(b)は(a)のネットの各点における波形を示した図である。In the seventh embodiment of the present invention, (a) is a diagram showing a specific example of a network to be subjected to delay calculation processing considering a bump superimposed waveform, and (b) is a waveform at each point of the net of (a). FIG. 本発明の第8の実施形態における変動入力端子容量を考慮した遅延計算方法のフロー図である。It is a flowchart of the delay calculation method in consideration of the fluctuation input terminal capacity in the 8th embodiment of the present invention. 本発明の第8の実施形態において、(a)は変動入力端子容量を考慮した遅延計算処理を行う対象のネットワークの具体例を示す図、(b)は(a)の回路の各点における信号波形を示す図である。In the 8th Embodiment of this invention, (a) is a figure which shows the specific example of the network of the object which performs the delay calculation process in consideration of the fluctuation input terminal capacity, (b) is the signal in each point of the circuit of (a) It is a figure which shows a waveform. 本発明の第9の実施形態のタイミング解析において、タイミングを満たさないパスにミラー効果が発生するインスタンスが存在する場合のタイミング再判定方法を示す図である。In the timing analysis of the ninth embodiment of the present invention, it is a diagram showing a timing redetermination method when there is an instance where the mirror effect occurs in a path that does not satisfy the timing. 本発明の第9の実施形態における図19の処理フロー図の具体例を示し、(a)は図19の処理フロー図の回路による具体例を示す図、(b)は(a)の回路における遅延時間とホールド時間との関係を示す図である。FIG. 19 shows a specific example of the processing flow diagram of FIG. 19 in the ninth embodiment of the present invention, (a) shows a specific example of the processing flow diagram of FIG. 19, and (b) shows the circuit of FIG. It is a figure which shows the relationship between delay time and hold time. 本発明の第10の実施形態におけるミラー効果を考慮したタイミング改善フローを表す図である。It is a figure showing the timing improvement flow which considered the mirror effect in the 10th Embodiment of this invention. 本発明の第10の実施形態における最適な回路修正方法を選択する手順を表す図である。It is a figure showing the procedure which selects the optimal circuit correction method in the 10th Embodiment of this invention. 本発明の第10の実施形態における最適な回路修正方法の具体例を表す図である。It is a figure showing the specific example of the optimal circuit correction method in the 10th Embodiment of this invention. 従来の遅延計算で使用するライブラリの例を示す図である。It is a figure which shows the example of the library used by the conventional delay calculation.

符号の説明Explanation of symbols

1103 実効入力端子容量算出ステップ
1115 テーブルデータ
1201 波形電圧発生部
1202 平滑化回路
1203 負荷部(駆動負荷)
1204 キャラクタライズ対象セル
1205 実効入力端子容量
1210 ミラー効果が起こらない場合の入力波形
1211 ミラー効果が起こった場合の入力波形
1212 等価入力端子容量を付加した場合の入力波形
1301 シミュレーションスクリプト作成ステップ
1303 スロープ波形及びバンプ波形分離ステップ
1401 バンプ電圧発生部
1402 波形電圧発生部
1403 負荷部
1404 キャラクタライズ対象セル
1405 波形上にバンプが重畳した波形
1407 バンプ波形
1408 上部スルートリップポイント
1409 下部スルートリップポイント
1410 バンプ高さ
1411 バンプ幅
1412 波形にバンプが重畳するタイミング
1413 バンプがピークにまで達する時間
1414 バンプ面積
2102 ネット波形分離ステップ
2104 ライブラリ参照ステップ
2105 ネット波形計算ステップ
2203 ネットワーク縮退ステップ
2205 ネット波形計算ステップ
2207 ネットワーク縮退ステップ
2208 ネット波形計算ステップ
D201 キャラクタライズ対象セルの入力波形
D202 キャラクタライズ対象セルの出力波形
L201 ライブラリ
ST41 駆動負荷及び入力波形抽出ステップ
ST42 歪発生パターン検出ステップ
ST43 トランジスタ遅延計算ステップ
ST44 波形歪検出ステップ
ST45 ゲートレベル遅延計算実行ステップ
ST203 波形歪検出ステップ(波形歪観測ステップ)
ST204 ライブラリ用テーブルデータ出力ステップ
SX100 第1の遅延計算ステップ
SX101 インスタンス入力信号波形計算ステップ
SX102、SX300 インスタンス出力信号波形計算ステップ
SX103 第2の遅延計算ステップ
SX500 遅延計算ステップ
SX501 静的タイミング解析ステップ
SX502 ミラー効果発生インスタンス抽出ステップ
SX503 タイミング再判定ステップ
SX700 静的タイミング解析ステップ
SX701 タイミングMET判定ステップ
SX702 回路修正ステップ
SX703 遅延計算ステップ
SX704 ミラー効果発生インスタンス抽出ステップ
SX705 ミラー効果発生判定ステップ
SX706 回路修正方法決定ステップ
SX800 ミラー効果発生インスタンス修正方法提示ステップ
SX801 ミラー効果要因インスタンス修正方法提示ステップ
SX802 最適修正方法選定ステップ
X100 動容量値
X101 入出力信号波形
X102 ミラー効果入り入力信号波形
X103 ミラー効果入り出力信号波形
X104 ライブラリ
X105 RC情報
X300 ミラー効果考慮出力信号波形
X500 遅延情報
X501 ミラー効果発生インスタンスリスト
X502 パスレポート
X503 スラック情報
X504 パス遅延変動レポート
X505 ミラー効果発生条件
X506 ネットリスト
1103 Effective input terminal capacitance calculation step 1115 Table data 1201 Waveform voltage generation unit 1202 Smoothing circuit 1203 Load unit (drive load)
1204 Characteristic target cell 1205 Effective input terminal capacitance 1210 Input waveform when mirror effect does not occur 1211 Input waveform when mirror effect occurs 1212 Input waveform when equivalent input terminal capacitance is added 1301 Simulation script creation step 1303 Slope waveform And bump waveform separation step 1401 Bump voltage generation unit 1402 Waveform voltage generation unit 1403 Load unit 1404 Characterization target cell 1405 Waveform with bump superimposed on waveform 1407 Bump waveform 1408 Upper through trip point 1409 Lower through trip point 1410 Bump height 1411 Bump width 1412 Bump overlap timing 1413 Bump reaches peak 1414 Bump area 2102 Net waveform separation step 2104 Library reference step 2105 Net waveform calculation step 2203 Network degeneration step 2205 Net waveform calculation step 2207 Network degeneration step 2208 Net waveform calculation step D201 Characterized cell input waveform D202 Characterized cell output waveform L201 Library ST41 Driving load and Input waveform extraction step ST42 Distortion generation pattern detection step ST43 Transistor delay calculation step ST44 Waveform distortion detection step ST45 Gate level delay calculation execution step ST203 Waveform distortion detection step (waveform distortion observation step)
ST204 Library table data output step SX100 First delay calculation step SX101 Instance input signal waveform calculation step SX102, SX300 Instance output signal waveform calculation step SX103 Second delay calculation step SX500 Delay calculation step SX501 Static timing analysis step SX502 Mirror effect Generation instance extraction step SX503 Timing redetermination step SX700 Static timing analysis step SX701 Timing MET determination step SX702 Circuit correction step SX703 Delay calculation step SX704 Mirror effect generation instance extraction step SX705 Mirror effect generation determination step SX706 Circuit correction method determination step SX800 Mirror effect Occurrence instance correction method presentation SX801 Mirror effect factor instance correction method presentation step SX802 Optimal correction method selection step X100 Dynamic capacitance value X101 Input / output signal waveform X102 Mirror effect input signal waveform X103 Mirror effect output signal waveform X104 Library X105 RC information X300 Mirror effect consideration output Signal waveform X500 Delay information X501 Mirror effect occurrence instance list X502 Path report X503 Slack information X504 Path delay variation report X505 Mirror effect occurrence condition X506 Net list

Claims (13)

所定の駆動負荷が接続されたセルの入力波形がミラー効果に起因して変歪する場合の前記セルの特性をキャラクタライズするセル特性キャラクタライズ方法であって、
前記入力波形が前記駆動負荷を持つキャラクタライズ対象セルに入力される際に、前記入力波形が前記ミラー効果に起因して所定の遅延時間だけ前記入力波形よりも遅れた変歪波形となる場合の前記セルの実効入力端子容量を算出する実効入力端子容量算出ステップと、
前記実効入力端子容量算出ステップで算出した実効入力端子容量を前記入力波形と前記駆動負荷の値との関数として保持する保持ステップとを有する
ことを特徴とするセル特性キャラクタライズ方法。
A cell characteristic characterization method for characterizing the characteristics of a cell when an input waveform of a cell connected to a predetermined drive load is distorted due to a mirror effect,
When the input waveform is input to a characterization target cell having the driving load, the input waveform becomes a distorted waveform delayed from the input waveform by a predetermined delay time due to the mirror effect. An effective input terminal capacity calculating step for calculating an effective input terminal capacity of the cell;
A cell characteristic characterization method comprising: a holding step of holding the effective input terminal capacitance calculated in the effective input terminal capacitance calculation step as a function of the input waveform and the value of the driving load.
入力スロープ波形を発生させる入力スロープ波形発生ステップと、
入力バンプ波形を発生させる入力バンプ波形発生ステップと、
前記入力スロープ波形に前記入力バンプ波形が重畳した入力波形をキャラクタライズ対象セルに入力し、この入力した際の前記キャラクタライズ対象セルの出力波形を測定する回路シミュレーションステップと、
前記測定されたキャラクタライズ対象セルの出力波形を、出力スロープ波形と、出力バンプ波形とに分離するスロープ波形及びバンプ波形分離ステップと、
前記出力スロープ波形及び出力バンプ波形を前記入力スロープ波形及び入力バンプ波形の関数として保持する保持ステップとを有する
ことを特徴とするセル特性キャラクタライズ方法。
An input slope waveform generation step for generating an input slope waveform;
An input bump waveform generation step for generating an input bump waveform;
A circuit simulation step of inputting an input waveform in which the input bump waveform is superimposed on the input slope waveform to a characterization target cell, and measuring an output waveform of the characterization target cell at the time of input;
A slope waveform and bump waveform separating step for separating the measured output waveform of the cell to be characterized into an output slope waveform and an output bump waveform;
A cell characteristic characterization method comprising: a holding step for holding the output slope waveform and the output bump waveform as a function of the input slope waveform and the input bump waveform.
前記請求項2に記載のセル特性キャラクタライズ方法において、
前記入力バンプ波形及び出力バンプ波形は、各々、
スロープ波形の波形遷移時間、バンプ波形のバンプ波形高さ、バンプ波形幅、バンプ面積、バンプ波形がピークに達するまでの時間、及びバンプ波形がスロープ波形に重畳するタイミングにより定義される
ことを特徴とするセル特性キャラクタライズ方法。
In the cell characteristic characterization method according to claim 2,
The input bump waveform and the output bump waveform are respectively
It is defined by the waveform transition time of the slope waveform, the bump waveform height of the bump waveform, the bump waveform width, the bump area, the time until the bump waveform reaches its peak, and the timing at which the bump waveform is superimposed on the slope waveform. Cell characteristic characterization method to perform.
所定の駆動負荷が接続されたキャラクタライズ対象セルの入力側に駆動能力の低いセルを接続し、この駆動能力の低いセルに入力波形を入力して、この入力された際の前記キャラクタライズ対象セルの入力波形及び出力波形に波形歪みが発生したか否かを検出する波形歪検出ステップと、
前記キャラクタライズ対象セルの入力波形及び出力波形についての波形歪の有無を、前記キャラクタライズ対象セルの入力波形と前記駆動負荷の値との関数又はテーブルとして保持する保持ステップとを有する
ことを特徴とするセル特性キャラクタライズ方法。
A cell having a low driving capability is connected to the input side of the characterization target cell to which a predetermined driving load is connected, an input waveform is input to the cell having a low driving capability, and the characterization target cell at the time of this input A waveform distortion detection step for detecting whether or not waveform distortion has occurred in the input waveform and output waveform of
A holding step of holding the presence or absence of waveform distortion of the input waveform and output waveform of the characterization target cell as a function or table of the input waveform of the characterization target cell and the value of the driving load. Cell characteristic characterization method to perform.
前記請求項2のセル特性キャラクタライズ方法を使用して、複数のセルが複数の配線で接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、
前記複数のセルのうち1つを遅延時間計算対象セルとして、この対象セルについて、入力波形と駆動負荷の値とを抽出する駆動負荷及び入力波形抽出ステップと、
前記請求項2のセル特性キャラクタライズ方法での関数を参照して、前記抽出された入力波形及び駆動負荷の値では入力波形又は出力波形に歪が発生するパターンか否かを検出する歪発生パターン検出ステップと、
前記歪発生パターン検出ステップで歪が発生するパターンであることが検出されないときには、前記遅延時間計算対象セルについてゲートレベルの遅延計算処理を実行するゲートレベル遅延計算ステップと、
前記歪発生パターン検出ステップで歪が発生するパターンであることが検出されたときには、前記遅延時間計算対象セルについてトランジスタレベルの遅延計算処理を実行するトランジスタレベル遅延計算ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
A delay time of a semiconductor integrated circuit that calculates a delay time of a semiconductor integrated circuit configured by using the cell characteristic characterization method of claim 2 in consideration of waveform distortion. A calculation method,
A drive load and input waveform extraction step for extracting one of the plurality of cells as a delay time calculation target cell and extracting the input waveform and the value of the drive load for the target cell;
A distortion generation pattern for detecting whether or not the extracted input waveform and the drive load value are distortion patterns in the input waveform or output waveform with reference to the function in the cell characteristic characterization method according to claim 2 A detection step;
When it is not detected that the distortion occurs in the distortion occurrence pattern detection step, a gate level delay calculation step of executing a gate level delay calculation process for the delay time calculation target cell;
And a transistor level delay calculation step for executing a transistor level delay calculation process for the delay time calculation target cell when it is detected in the distortion generation pattern detection step that a distortion is generated. Method for calculating delay time of semiconductor integrated circuit.
前記請求項5の半導体集積回路の遅延時間計算方法において、
前記トランジスタレベル遅延計算ステップでの遅延計算の終了後に、前記遅延時間計算対象セルの入力波形又は出力波形に波形歪が発生しているか否かを検出し、波形歪が発生しているときには、その波形歪の発生がなくなるまで、前記トランジスタレベル遅延計算ステップでのトランジスタレベルの遅延計算を繰り返させる波形歪検出ステップを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
In the semiconductor integrated circuit delay time calculation method according to claim 5,
After completion of the delay calculation in the transistor level delay calculation step, it is detected whether or not waveform distortion has occurred in the input waveform or output waveform of the delay time calculation target cell. A method for calculating a delay time of a semiconductor integrated circuit, comprising: a waveform distortion detection step of repeating the transistor level delay calculation in the transistor level delay calculation step until the occurrence of waveform distortion is eliminated.
複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、
前記全てのインスタンスの遅延時間及び前記全てのネットの配線遅延時間、並びに前記全てのインスタンスの入力端子及び出力端子における信号波形を計算する第1の遅延計算ステップと、
前記複数のインスタンスの1つである遅延時間計算対象インスタンスについて、ミラー効果に起因する入力波形の変歪の有無に応じた遅延時間計算対象インスタンスの変動入力端子容量値が入力され、前記変動入力端子容量値を前記遅延時間計算対象インスタンスの入出力間のカップリング容量として表し、前記遅延時間計算対象インスタンスの出力端子につながるネットをアグレッサーとし且つ前記遅延時間計算対象セルの入力端子につながるネットをヴィクティムとして、クロストーク計算し、これにより、前記遅延時間計算対象インスタンスのミラー効果に起因して変歪した変歪入力信号波形を得るインスタンス入力信号波形計算ステップと、
前記インスタンス入力信号波形計算ステップにおいて計算された前記変歪入力信号波形が入力され、前記遅延時間計算対象インスタンスの入出力端子間の信号波形伝播を計算して、前記遅延時間計算対象インスタンスの変歪出力信号波形を得るインスタンス出力信号波形伝播ステップと、
前記得られた遅延時間計算対象インスタンスの変歪入力信号波形及び変歪出力信号波形に基づいて、前記遅延時間計算対象インスタンスの遅延時間を計算し、更に、前記変歪出力信号波形を伝播させて、後段のインスタンスの遅延時間及び後段のネットの配線遅延時間を計算する第2の遅延計算ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
A method of calculating a delay time of a semiconductor integrated circuit that calculates a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion,
A first delay calculating step of calculating delay times of all the instances and wiring delay times of all the nets, and signal waveforms at input terminals and output terminals of all the instances;
For the delay time calculation target instance that is one of the plurality of instances, a variable input terminal capacitance value of the delay time calculation target instance according to the presence or absence of distortion of the input waveform due to the mirror effect is input, and the variable input terminal The capacitance value is expressed as a coupling capacity between the input and output of the delay time calculation target instance, and a net connected to the output terminal of the delay time calculation target instance is an aggressor and a net connected to the input terminal of the delay time calculation target cell is a victim. As an instance input signal waveform calculation step of calculating a crosstalk, thereby obtaining a deformed input signal waveform that is distorted due to the mirror effect of the delay time calculation target instance,
The distortion input signal waveform calculated in the instance input signal waveform calculation step is input, the signal waveform propagation between the input and output terminals of the delay time calculation target instance is calculated, and the distortion of the delay time calculation target instance is calculated. An instance output signal waveform propagation step to obtain an output signal waveform;
Based on the obtained distortion input signal waveform and distortion output signal waveform of the delay time calculation target instance, the delay time of the delay time calculation target instance is calculated, and further, the distortion output signal waveform is propagated. And a second delay calculation step of calculating the delay time of the latter instance and the wiring delay time of the latter net. A delay time calculation method for a semiconductor integrated circuit, comprising:
複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、
前記全てのインスタンスの遅延時間及び前記全てのネットの配線遅延時間、並びに前記全てのインスタンスの入力端子及び出力端子における信号波形を計算する第1の遅延計算ステップと、
前記複数のインスタンスの1つである遅延時間計算対象インスタンスについて、ミラー効果に起因する入力波形の変歪の有無に応じた遅延時間計算対象インスタンスの変動入力端子容量値が入力され、前記変動入力端子容量値を前記遅延時間計算対象インスタンスの入出力間のカップリング容量として表し、前記遅延時間計算対象インスタンスの出力端子につながるネットをアグレッサーとし且つ前記遅延時間計算対象セルの入力端子につながるネットをヴィクティムとして、クロストーク計算し、これにより、前記遅延時間計算対象インスタンスのミラー効果に起因して変歪した変歪入力信号波形を得るインスタンス入力信号波形計算ステップと、
前記遅延時間計算対象インスタンスについて、前記変動入力端子容量値が入力され、前記変動入力端子容量値を前記遅延時間計算対象インスタンスの入出力間のカップリング容量として表し、前記遅延時間計算対象インスタンスの入力端子につながるネットをアグレッサーとし且つ前記遅延時間計算対象セルの出力端子につながるネットをヴィクティムとして、クロストーク計算し、これにより、前記遅延時間計算対象インスタンスのミラー効果に起因して変歪した変歪出力信号波形を得るインスタンス出力信号波形計算ステップと、
前記得られた遅延時間計算対象インスタンスの変歪入力信号波形及び変歪出力信号波形に基づいて、前記遅延時間計算対象インスタンスの遅延時間を計算し、更に、前記変歪出力信号波形を伝播させて、後段のインスタンスの遅延時間及び後段のネットの配線遅延時間を計算する第2の遅延計算ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
A method of calculating a delay time of a semiconductor integrated circuit that calculates a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion,
A first delay calculating step of calculating delay times of all the instances and wiring delay times of all the nets, and signal waveforms at input terminals and output terminals of all the instances;
For the delay time calculation target instance that is one of the plurality of instances, a variable input terminal capacitance value of the delay time calculation target instance according to the presence or absence of distortion of the input waveform due to the mirror effect is input, and the variable input terminal The capacitance value is expressed as a coupling capacity between the input and output of the delay time calculation target instance, and a net connected to the output terminal of the delay time calculation target instance is an aggressor and a net connected to the input terminal of the delay time calculation target cell is a victim. As an instance input signal waveform calculation step of calculating a crosstalk, thereby obtaining a deformed input signal waveform that is distorted due to the mirror effect of the delay time calculation target instance,
The variable input terminal capacitance value is input for the delay time calculation target instance, and the variable input terminal capacitance value is expressed as a coupling capacitance between input and output of the delay time calculation target instance, and the input of the delay time calculation target instance A crosstalk calculation is performed by using a net connected to a terminal as an aggressor and a net connected to an output terminal of the delay time calculation target cell as a victim, thereby causing distortion due to the mirror effect of the delay time calculation target instance. An instance output signal waveform calculating step for obtaining an output signal waveform;
Based on the obtained distortion input signal waveform and distortion output signal waveform of the delay time calculation target instance, the delay time of the delay time calculation target instance is calculated, and further, the distortion output signal waveform is propagated. And a second delay calculation step of calculating the delay time of the latter instance and the wiring delay time of the latter net. A delay time calculation method for a semiconductor integrated circuit, comprising:
前記請求項2のセル特性キャラクタライズ方法を使用して、複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、
前記複数のインスタンスのうち1つの遅延時間計算対象インスタンスへの入力波形が、入力バンプ波形の重畳した波形であるとき、この入力波形を、前記入力バンプ波形が重畳していない入力スロープ波形と、前記入力バンプ波形とに分離するスロープ波形及びバンプ波形分離ステップと、
前記請求項2のセル特性キャラクタライズ方法で保持された関数を参照して、前記入力スロープ波形及び前記入力バンプ波形に対応する前記遅延時間計算対象インスタンスの出力スロープ波形及び出力バンプ波形を求めて、前記遅延時間計算対象インスタンスの出力波形として前記出力スロープ波形にバンプ波形が重畳した出力波形を求めるライブラリ参照ステップと、
前記遅延時間計算対象インスタンスの出力側に接続される後段ネットにおいて外部要因でバンプ波形が発生するとき、このバンプ波形の情報が入力され、このバンプ波形を前記遅延時間計算対象インスタンスの出力波形に重畳して、前記後段ネットの出力波形を計算するネット波形計算ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
A delay time of a semiconductor integrated circuit that calculates a delay time of a semiconductor integrated circuit constituted by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion using the cell characteristic characterization method of claim 2. A calculation method,
When an input waveform to one delay time calculation target instance among the plurality of instances is a waveform in which an input bump waveform is superimposed, the input waveform is an input slope waveform in which the input bump waveform is not superimposed; Slope waveform and bump waveform separation step to separate into input bump waveform,
With reference to the function held by the cell characteristic characterization method of claim 2, the output slope waveform and the output bump waveform of the delay time calculation target instance corresponding to the input slope waveform and the input bump waveform are obtained, A library reference step for obtaining an output waveform in which a bump waveform is superimposed on the output slope waveform as an output waveform of the delay time calculation target instance;
When a bump waveform occurs due to an external factor in a subsequent net connected to the output side of the delay time calculation target instance, information on the bump waveform is input, and this bump waveform is superimposed on the output waveform of the delay time calculation target instance. And a net waveform calculation step of calculating an output waveform of the latter-stage net. A delay time calculation method for a semiconductor integrated circuit, comprising:
入力側に配線が接続されると共に出力側に駆動負荷が接続されるセルの入力信号波形がミラー効果に起因して変歪する場合に、前記請求項1のセル特性キャラクタライズ方法を使用して、前記変歪した入力信号波形を計算するセルの入力波形計算方法であって、
前記波形計算対象セルの前記変歪前の入力波形と前記駆動負荷の値とが入力され、前記請求項1のセル特性キャラクタライズ方法で得られた関数を参照して、前記波形計算対象セルの前記変歪前の入力波形と前記駆動負荷の値とに対応する実効入力端子容量を計算する入力端子容量計算ステップと、
前記波形計算対象セルの入力側に接続された配線の出力信号波形が入力され、前記入力された配線の出力信号波形と、前記計算された実効入力端子容量に前記波形計算対象セルの入力側に接続された配線の容量を加えた負荷容量とに基づいて、前記波形計算対象セルの前記変歪後の入力波形を計算する波形計算ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
The cell characteristic characterization method according to claim 1, wherein the input signal waveform of a cell having a wiring connected to the input side and a drive load connected to the output side is distorted due to a mirror effect. A cell input waveform calculation method for calculating the distorted input signal waveform,
The input waveform before distortion and the value of the driving load of the waveform calculation target cell are input, and the function obtained by the cell characteristic characterization method of the claim 1 is referred to, and the waveform calculation target cell An input terminal capacitance calculating step for calculating an effective input terminal capacitance corresponding to the input waveform before distortion and the value of the drive load;
The output signal waveform of the wiring connected to the input side of the waveform calculation target cell is input, and the output signal waveform of the input wiring and the calculated effective input terminal capacitance are input to the input side of the waveform calculation target cell. A delay time calculation for a semiconductor integrated circuit, comprising: a waveform calculation step for calculating an input waveform after the distortion of the waveform calculation target cell based on a load capacity obtained by adding a capacity of a connected wiring; Method.
複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、
前記全てのインスタンスの遅延時間及び前記全てのネットの配線遅延時間、前記全てのインスタンスの入力端子及び出力端子における信号波形、並びに前記全てのインスタンスの実効入力端子容量を計算すると共に、入力信号波形及び実効入力端子容量を含むミラー効果発生条件が入力され、前記計算された各インスタンス別の入力信号波形及び前記実効入力端子容量を前記ミラー効果発生条件と照合して、入力信号にミラー効果が発生するインスタンスをリストアップし、ミラー効果発生インスタンスリストとして出力する遅延計算ステップと、
前記遅延計算ステップで計算された遅延時間をネットリストに割り当てて、静的なタイミング解析を行い、各パスのタイミングがタイミング設計仕様を満たすか否かを判定し、前記タイミング設計仕様を満たしていないときには、その満たしていないパスのタイミングと前記タイミング設計仕様との差分をスラック情報として記憶する静的タイミング解析ステップと、
前記静的タイミング解析ステップでタイミング設計仕様を満たさないと判定されたパスに含まれるインスタンスを前記ミラー効果発生インスタンスリストと照合し、前記パスに含まれるインスタンスが前記ミラー効果発生インスタンスリスト中に含まれるとき、このインスタンスのミラー効果に起因する遅延変動量を計算し、この遅延変動量をパス遅延変動レポートとして出力するミラー効果発生インスタンス抽出ステップと、
前記タイミング設計仕様を満たさないと判定されたパスの前記スラック情報を前記パス遅延変動レポートとを照合し、ミラー効果による遅延変動量では前記タイミング設計仕様を満たすときには、前記パスはタイミング設計仕様を満たしていると再判定するタイミング再判定ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
A method of calculating a delay time of a semiconductor integrated circuit that calculates a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion,
Calculating the delay times of all the instances and the wiring delay times of all the nets, the signal waveforms at the input terminals and the output terminals of all the instances, and the effective input terminal capacitances of all the instances; A mirror effect generation condition including an effective input terminal capacitance is input, and the calculated input signal waveform for each instance and the effective input terminal capacitance are collated with the mirror effect generation condition to generate a mirror effect in the input signal. A delay calculation step for listing instances and outputting them as a mirror effect occurrence instance list;
The delay time calculated in the delay calculation step is assigned to a netlist, static timing analysis is performed, it is determined whether the timing of each path satisfies the timing design specification, and the timing design specification is not satisfied Sometimes, a static timing analysis step for storing the difference between the timing of the unsatisfied path and the timing design specification as slack information,
Instances included in the path determined not to satisfy the timing design specification in the static timing analysis step are collated with the mirror effect occurrence instance list, and the instances included in the path are included in the mirror effect occurrence instance list. When the mirror effect occurrence instance extraction step of calculating the delay fluctuation amount due to the mirror effect of this instance and outputting the delay fluctuation amount as a path delay fluctuation report,
The slack information of a path determined not to satisfy the timing design specification is collated with the path delay variation report, and when the delay variation amount due to the mirror effect satisfies the timing design specification, the path satisfies the timing design specification. And a timing re-determination step for re-determining that the semiconductor integrated circuit has been determined.
複数のインスタンスが複数のネットで接続されて構成される半導体集積回路の遅延時間を波形歪を考慮して計算する半導体集積回路の遅延時間計算方法であって、
ネットリスト、及び前記複数のインスタンスの遅延時間及び前記複数のネットの配線遅延時間が入力され、前記遅延時間及び配線遅延時間を前記ネットリストに割り当てて、静的なタイミング解析を行う静的タイミング解析ステップと、
前記静的タイミング解析ステップでのタイミング解析の結果がタイミング設計仕様を満たしているか否かを判定するタイミングMET判定ステップと、
前記タイミングMET判定ステップでタイミング設計仕様を満たしていないと判定されたとき、レイアウト情報が入力されて、このレイアウト情報に基いて、タイミング改善のためにインスタンスのサイズの変更又は再配線を含む回路修正を行う回路修正ステップと、
前記回路修正ステップで回路修正が行われた後の全インスタンスの遅延時間及び全ネットの配線遅延時間を計算し、その計算後に前記静的タイミング解析ステップに戻る遅延計算ステップと、
前記タイミングMET判定ステップでタイミング設計仕様を満たしていると判断されたとき、ミラー効果発生条件が入力され、このミラー効果発生条件に基づいて、ミラー効果が発生するインスタンスであって且つこのミラー効果の発生に起因してタイミングが前記タイミング設計仕様を満たさなくなるパスに含まれるインスタンスを抽出するミラー効果発生インスタンス抽出ステップと、
前記ミラー効果発生インスタンス抽出ステップで抽出されたミラー効果が発生インスタンスを修正するか、又は前記ミラー効果を起こさせる要因となっているインスタンスを修正するかの回路修正方法を決定し、前記回路修正ステップに戻る回路修正方法決定ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
A method of calculating a delay time of a semiconductor integrated circuit that calculates a delay time of a semiconductor integrated circuit configured by connecting a plurality of instances by a plurality of nets in consideration of waveform distortion,
Static timing analysis in which a net list, a delay time of the plurality of instances, and a wiring delay time of the plurality of nets are input, and the delay time and the wiring delay time are assigned to the net list to perform a static timing analysis Steps,
A timing MET determination step for determining whether or not a result of the timing analysis in the static timing analysis step satisfies a timing design specification;
When it is determined that the timing design specification is not satisfied in the timing MET determination step, layout information is input, and based on this layout information, circuit modification including instance size change or rewiring for timing improvement A circuit correction step for performing
A delay calculation step of calculating delay times of all instances and wiring delay times of all nets after the circuit correction is performed in the circuit correction step, and returning to the static timing analysis step after the calculation;
When it is determined in the timing MET determination step that the timing design specification is satisfied, a mirror effect generation condition is input, and based on the mirror effect generation condition, an instance in which the mirror effect is generated and the mirror effect A mirror effect occurrence instance extraction step for extracting an instance included in a path whose timing does not satisfy the timing design specification due to occurrence; and
Determining a circuit correction method of whether the mirror effect extracted in the mirror effect occurrence instance extraction step corrects the generation instance or the instance causing the mirror effect, and the circuit correction step And a circuit correction method determining step to return to the step of calculating the delay time of the semiconductor integrated circuit.
前記請求項12に記載の半導体集積回路の遅延時間計算方法において、
前記回路修正方法決定ステップは、
ミラー効果が発生しているインスタンス自体のセルサイズをミラー効果が発生しないセルサイズに変更するような回路修正方法を提示するミラー効果発生インスタンス修正方法提示ステップと、
前記ミラー効果を引き起こす要因となっている信号波形を生成するインスタンスのセルサイズを、前記ミラー効果を引き起こさない信号波形となるセルサイズに変更するような回路修正方法を提示するミラー効果要因インスタンス修正方法提示ステップと、
前記2つの修正方法提示ステップで提示された2つの回路修正方法同士を比較し、面積ダメージが少ない回路方法を選択する最適修正方法選定ステップとを有する
ことを特徴とする半導体集積回路の遅延時間計算方法。
In the semiconductor integrated circuit delay time calculation method according to claim 12,
The circuit correction method determination step includes:
A mirror effect generation instance correction method presentation step for presenting a circuit correction method for changing the cell size of the instance itself in which the mirror effect is generated to a cell size in which the mirror effect does not occur;
Mirror effect factor instance correcting method presenting a circuit correcting method for changing a cell size of an instance that generates a signal waveform that causes the mirror effect to a cell size that provides a signal waveform that does not cause the mirror effect A presentation step;
A delay time calculation for a semiconductor integrated circuit comprising: an optimum correction method selection step for comparing the two circuit correction methods presented in the two correction method presentation steps and selecting a circuit method with less area damage Method.
JP2005121220A 2004-04-19 2005-04-19 Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method Pending JP2005332378A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005121220A JP2005332378A (en) 2004-04-19 2005-04-19 Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004123450 2004-04-19
JP2005121220A JP2005332378A (en) 2004-04-19 2005-04-19 Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method

Publications (1)

Publication Number Publication Date
JP2005332378A true JP2005332378A (en) 2005-12-02

Family

ID=35486967

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005121220A Pending JP2005332378A (en) 2004-04-19 2005-04-19 Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method

Country Status (1)

Country Link
JP (1) JP2005332378A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150083014A (en) * 2014-01-08 2015-07-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Characterizing cell using input waveform generation considering different circuit topologies
CN115688652A (en) * 2022-10-31 2023-02-03 正心元科技(杭州)有限公司 Time sequence optimization method and device based on output conversion constraint and computer equipment

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150083014A (en) * 2014-01-08 2015-07-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Characterizing cell using input waveform generation considering different circuit topologies
KR101690378B1 (en) * 2014-01-08 2016-12-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Characterizing cell using input waveform generation considering different circuit topologies
US9563734B2 (en) 2014-01-08 2017-02-07 Taiwan Semiconductor Manufacturing Company Ltd. Characterizing cell using input waveform generation considering different circuit topologies
TWI575394B (en) * 2014-01-08 2017-03-21 台灣積體電路製造股份有限公司 Characterizing cell using input waveform geneartion considering different circuit topoloiges
CN115688652A (en) * 2022-10-31 2023-02-03 正心元科技(杭州)有限公司 Time sequence optimization method and device based on output conversion constraint and computer equipment
CN115688652B (en) * 2022-10-31 2023-06-09 正心元科技(杭州)有限公司 Time sequence optimization method and device based on output conversion constraint and computer equipment

Similar Documents

Publication Publication Date Title
US6971076B2 (en) Method for estimating peak crosstalk noise based on separate crosstalk model
US7308660B2 (en) Calculation system of fault coverage and calculation method of the same
JP4124974B2 (en) Electromagnetic interference analysis method, electromagnetic interference analysis apparatus, and semiconductor device manufacturing method using the same
KR100517537B1 (en) Design method of semiconductor integrated circuit
US20060242612A1 (en) A crosstalk checking method using paralled line length extraction
WO2010004668A1 (en) Delay library, delay library creation method, and delay calculation method
US20050232066A1 (en) Method for characterizing cells with consideration for bumped waveform and delay time calculation method for semiconductor integrated circuits using the same
JP4540540B2 (en) Delay calculator
JP2005004268A (en) Method for analyzing operation of semiconductor integrated circuit device, analyzing device used for same, and optimized designing method using the same
US20080216035A1 (en) Method and computer program for configuring an integrated circuit design for static timing analysis
WO2001082145A1 (en) Crosstalk analysis method, method for designing/manufacturing electronic circuit device by using the same, and recorded medium of electronic circuit library therefor
US7272807B2 (en) Determining equivalent waveforms for distorted waveforms
JP2010039817A (en) Method of generating reliability verification library, and program thereof
US20110295536A1 (en) Clock jitter analyzing method and apparatus
US6442741B1 (en) Method of automatically generating schematic and waveform diagrams for analysis of timing margins and signal skews of relevant logic cells using input signal predictors and transition times
US7747972B2 (en) Methods and apparatuses for timing analysis of electronic circuits
JP2005332378A (en) Cell property characterizing method in consideration of waveform distortion and method for calculating delay time of semiconductor integrated circuit using the method
US7945882B2 (en) Asynchronous circuit logical verification method, logical verification apparatus, and computer readable storage medium
JP4539376B2 (en) Transmission signal waveform analysis method and program
JP2008083815A (en) Semiconductor design support device
US20140282333A1 (en) Design support apparatus and design support method
JP2008287666A (en) Circuit operation verification device, method for manufacturing semiconductor integrated circuit, circuit operation verification method, control program and readable storage medium
CN113536726A (en) Vector generation for maximum instantaneous peak power
JP2009276822A (en) Semiconductor device design support device and semiconductor device design support method
JP4921751B2 (en) Fault detection simulation system, fault detection simulation method and program