JP2005217023A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2005217023A
JP2005217023A JP2004019868A JP2004019868A JP2005217023A JP 2005217023 A JP2005217023 A JP 2005217023A JP 2004019868 A JP2004019868 A JP 2004019868A JP 2004019868 A JP2004019868 A JP 2004019868A JP 2005217023 A JP2005217023 A JP 2005217023A
Authority
JP
Japan
Prior art keywords
resist
layer
opening
semiconductor device
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004019868A
Other languages
Japanese (ja)
Inventor
Yoichi Shichijo
洋一 七條
Naoki Fukunaga
直樹 福永
Hironori Nakamura
弘規 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2004019868A priority Critical patent/JP2005217023A/en
Publication of JP2005217023A publication Critical patent/JP2005217023A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide the manufacturing method of a semiconductor device, with which a contact hole in a desired taper shape or metal wiring can precisely and inexpensively be formed. <P>SOLUTION: In the manufacturing method of the semiconductor device, first and second resist layers 3 and 4 different in exposure sensitivity are applied onto an SiO<SB>2</SB>film 2 a base layer to be worked, and one exposure/development processing in a resist pattern forming process is performed. Thus, a level difference slope in openings 3a-1 and 4a-1 of first and second resist patterns 3a and 4a is reduced. Consequently, a steep level difference change can be reduced in contact holes 2a in various sizes, which are superior in size controllability and reproducibility of the respective resist patterns 3a and 4a. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

この発明は、半導体装置の製造方法に関し、特に、一例として光ピックアップ用デバイス、光通信デバイス等の高速素子に使用される微細なコンタクト孔およびメタル配線を有する半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device having fine contact holes and metal wirings used for high-speed elements such as an optical pickup device and an optical communication device.

従来から、半導体装置の製造においてコンタクト孔およびメタル配線を形成するために、フォトリソグラフィ技術とエッチング技術が用いられている。以下に、コンタクト孔を形成する一般的な方法と、メタル配線を形成する一般的な方法を順に説明する。   Conventionally, a photolithography technique and an etching technique are used to form contact holes and metal wirings in the manufacture of semiconductor devices. Hereinafter, a general method for forming a contact hole and a general method for forming a metal wiring will be described in order.

まず、図7(A)〜図7(F)を参照して、コンタクト孔の形成方法(第1従来例)を説明する。図7(A)に示すように、半導体基板101上に層間絶縁膜102を形成し、次に層間絶縁膜102上にレジスト膜103を形成する。   First, a method for forming a contact hole (first conventional example) will be described with reference to FIGS. As shown in FIG. 7A, an interlayer insulating film 102 is formed over the semiconductor substrate 101, and then a resist film 103 is formed over the interlayer insulating film 102.

次に、図7(B)に示すように、マスクパターン104を通してレジスト膜103を露光することによって、マスクパターン104による所定のパターンをレジスト膜103上に転写し、その後、図7(C)に示すように、レジスト膜103を現像してマスクパターン104に対応するレジストパターン103aを形成する。   Next, as shown in FIG. 7B, by exposing the resist film 103 through the mask pattern 104, a predetermined pattern based on the mask pattern 104 is transferred onto the resist film 103, and thereafter, as shown in FIG. As shown, the resist film 103 is developed to form a resist pattern 103 a corresponding to the mask pattern 104.

こうして得られたレジストパターン103aをマスクとして、図7(D)に示すように、層間絶縁膜102をドライエッチングし、その後、図7(E)に示すように、不要となったレジストパターン103aを除去することで、コンタクト孔102aを形成することができる。   Using the resist pattern 103a thus obtained as a mask, the interlayer insulating film 102 is dry-etched as shown in FIG. 7D, and then the resist pattern 103a that is no longer needed is formed as shown in FIG. 7E. By removing, the contact hole 102a can be formed.

この後、図7(F)に示すように、メタル配線材料105を成膜してコンタクト孔102aを経由して半導体基板101の下部導電層とコンタクトを取ることができる。   Thereafter, as shown in FIG. 7F, a metal wiring material 105 can be formed and contacted with the lower conductive layer of the semiconductor substrate 101 through the contact hole 102a.

次に、図8(A)〜図8(F)を参照して、メタル配線の形成方法(第2従来例)を説明する。   Next, a metal wiring forming method (second conventional example) will be described with reference to FIGS. 8 (A) to 8 (F).

図8(A)に示すように、半導体基板に形成した層間絶縁膜106上にメタル配線膜107を形成し、次にメタル配線膜107上にレジスト膜108を形成する。   As shown in FIG. 8A, a metal wiring film 107 is formed on the interlayer insulating film 106 formed on the semiconductor substrate, and then a resist film 108 is formed on the metal wiring film 107.

次に、図8(B)に示すように、マスクパターン109を通してレジスト膜108を露光することによって所定のパターンをレジスト膜108上に転写し、その後、図8(C)に示すように、レジスト膜108を現像してマスクパターン109に対応するレジストパターン108aを形成する。こうして得られたレジストパターン108aをマスクとして、図8(D)に示すように、メタル配線膜107をドライエッチングし、図8(E)に示すように、その後不要となったレジストパターン108aを除去することでメタル配線107aを形成することができる。   Next, as shown in FIG. 8B, the resist film 108 is exposed through a mask pattern 109 to transfer a predetermined pattern onto the resist film 108. Thereafter, as shown in FIG. The film 108 is developed to form a resist pattern 108 a corresponding to the mask pattern 109. Using the resist pattern 108a thus obtained as a mask, the metal wiring film 107 is dry-etched as shown in FIG. 8D, and the unnecessary resist pattern 108a is then removed as shown in FIG. 8E. As a result, the metal wiring 107a can be formed.

この後、さらに上層にメタル配線を形成する場合には、図8(F)に示すように、まず、層間絶縁膜110を形成し、その後にメタル配線111を形成することにより、多層配線を形成することができる。   Thereafter, in the case of forming a metal wiring in a further upper layer, as shown in FIG. 8F, first, an interlayer insulating film 110 is formed, and then a metal wiring 111 is formed, thereby forming a multilayer wiring. can do.

上述のような一般的な方法で形成された図7(F)に示すコンタクト孔102aおよび図8(F)に示すメタル配線107aの断面形状は矩形状をしている。   The contact hole 102a shown in FIG. 7 (F) and the metal wiring 107a shown in FIG. 8 (F) formed by the general method as described above are rectangular.

図7(F)に示すように、コンタクト孔102aの断面形状が矩形状の場合には、コンタクト孔102aの側壁部及び底部におけるメタル配線材料の被覆性が低下し、結果として導通不良、マイグレーション耐性の低下といった不具合が懸念される。   As shown in FIG. 7F, when the cross-sectional shape of the contact hole 102a is rectangular, the coverage of the metal wiring material on the side wall and bottom of the contact hole 102a is lowered, resulting in poor conduction and migration resistance. There is a concern about problems such as lowering.

また、図8(F)に示すように、メタル配線107aの断面形状が矩形状の場合には、後工程で上記メタル配線107a上に形成される層間絶縁膜110が急峻な段差を有するメタル配線107a上に形成されることになる。このため、上記層間絶縁膜110に発生するストレスが大きくなり、層間絶縁膜110にクラック等の発生が懸念される。また、上記層間絶縁膜110も急峻な段差を有するので、さらに、上層に形成されたメタル配線111の被覆性が低下し、メタル配線11の断線といった不具合も懸念される。   As shown in FIG. 8F, when the cross-sectional shape of the metal wiring 107a is rectangular, the interlayer insulating film 110 formed on the metal wiring 107a in a later step has a steep step. It will be formed on 107a. For this reason, the stress generated in the interlayer insulating film 110 is increased, and there is a concern that the interlayer insulating film 110 may be cracked. In addition, since the interlayer insulating film 110 also has a steep step, the coverage of the metal wiring 111 formed in the upper layer is further lowered, and there is a concern that the metal wiring 11 is disconnected.

上述の理由により、デバイスへの応用上、テーパ角のついたコンタクト孔やメタル配線を得ることが望ましい場合があり、コンタクト孔やメタル配線をテーパ角のついた断面形状とすることにより上記問題を回避することができる。   For the above reasons, there are cases where it is desirable to obtain a contact hole or metal wiring with a taper angle for application to a device. By making the contact hole or metal wiring into a cross-sectional shape with a taper angle, the above-mentioned problem is obtained. It can be avoided.

このようなテーパ形状を有するコンタクト孔およびメタル配線を得る方法として、従来からウエットエッチングとドライエッチングとを組み合わせた方法、重合物を側壁に堆積させながらドライエッチングする方法、高温ベークを施すことでレジストパターンにテーパ角をつけておく方法がある。   As a method for obtaining such a contact hole and metal wiring having a tapered shape, a conventional method combining wet etching and dry etching, a method of dry etching while depositing a polymer on the side wall, and a resist by applying high temperature baking. There is a method of adding a taper angle to the pattern.

しかし、上記いずれの方法でも再現性および制御性が悪いという問題点がある。   However, any of the above methods has a problem that reproducibility and controllability are poor.

そこで、上記問題点に鑑みて、特許文献1(特開平8−17797号公報)に記載の製造方法が提案された。この製造方法(第3従来例)を、図9(A)〜図9(G)を参照して、説明する。   In view of the above problems, a manufacturing method described in Patent Document 1 (Japanese Patent Laid-Open No. 8-17797) has been proposed. This manufacturing method (third conventional example) will be described with reference to FIGS. 9 (A) to 9 (G).

まず、図9(A)に示すように、半導体基板132上にSiO膜133を形成し、このSiO膜133上にレジストを回転塗布し第1のレジスト層134を形成する。次に、図9(B)に示すように、マスクパターン135を通して第1のレジスト層134を露光することによって所定のパターンを第1のレジスト層134上に転写する。その後、図9(C)に示すように、レジスト134を現像してマスクパターン135に対応するパターンの第1のレジストパターン134aを形成する。 First, as shown in FIG. 9A, an SiO 2 film 133 is formed on a semiconductor substrate 132, and a resist is spin-coated on the SiO 2 film 133 to form a first resist layer 134. Next, as shown in FIG. 9B, a predetermined pattern is transferred onto the first resist layer 134 by exposing the first resist layer 134 through a mask pattern 135. Thereafter, as shown in FIG. 9C, the resist 134 is developed to form a first resist pattern 134 a having a pattern corresponding to the mask pattern 135.

次に、図9(D)に示すように、第1のレジストパターン134a上に新たにレジストを回転塗布して第2のレジスト層136を形成する。このとき、第1のレジストパターン134a上の平坦部136aおよび凹部136bの中心付近に比べて、上記凹部136bにおける段差部内側部136b-1の上下方向の厚さが厚く形成されるようにする。   Next, as shown in FIG. 9D, a second resist layer 136 is formed by spin-coating a new resist on the first resist pattern 134a. At this time, compared to the vicinity of the center of the flat portion 136a and the concave portion 136b on the first resist pattern 134a, the thickness in the vertical direction of the step portion inner portion 136b-1 in the concave portion 136b is formed thicker.

次に、図9(E)に示すように、第2のレジスト層136の薄膜部分のみが感光するような露光条件で全面露光し、その後に現像すると、図9(F)に示すように、第1のレジストパターン134a上に形成された第2のレジスト層136のうちの平坦部136aおよび凹部136bの中心付近の部分は除去されるが、上記凹部136bの上下方向に厚く塗布された段差部内側部136b-1の部分136cは除去されずに残る。これにより、上記コンタクト孔形成用の第1のレジストパターン134aの凹部134bの段差部内側に、テーパ状の部分136cが形成される。   Next, as shown in FIG. 9 (E), when the entire surface is exposed under exposure conditions such that only the thin film portion of the second resist layer 136 is exposed and then developed, as shown in FIG. 9 (F), Of the second resist layer 136 formed on the first resist pattern 134a, a portion near the center of the flat portion 136a and the concave portion 136b is removed, but a step portion thickly applied in the vertical direction of the concave portion 136b. The portion 136c of the inner portion 136b-1 remains without being removed. As a result, a tapered portion 136c is formed inside the step portion of the concave portion 134b of the first resist pattern 134a for forming the contact hole.

その後、第1のレジストパターン134aおよび第2のレジストパターンであるテーパ状の部分136cをマスクとしてエッチングを行なうことにより、図9(G)に示すように、テーパ角を有するコンタクト孔133aをSiO膜133に形成することができる。 Then, by etching the first resist pattern 134a and the second resist pattern and is tapered portions 136c as a mask, as shown in FIG. 9 (G), SiO 2 contact holes 133a having a taper angle A film 133 can be formed.

ところで、上記特許文献1に示す製造方法の場合でも、第1のレジストパターン134aの凹部134bにおける第2のレジスト層136の塗布膜厚は凹部134bのサイズに大きく依存する。このため、様々なサイズのコンタクト孔133aに対して均一なテーパ角を有するコンタクト孔133aを得ることが困難である。   By the way, even in the case of the manufacturing method shown in Patent Document 1, the coating thickness of the second resist layer 136 in the concave portion 134b of the first resist pattern 134a largely depends on the size of the concave portion 134b. For this reason, it is difficult to obtain contact holes 133a having uniform taper angles with respect to contact holes 133a of various sizes.

すなわち、実際のデバイスにおいては、様々なサイズのコンタクト孔が存在するので、全てのサイズのコンタクト孔にてメタル配線材料のステップカバレッジの良好なコンタクト孔を得ることができないという問題が残る。   That is, in an actual device, since contact holes of various sizes exist, there remains a problem that contact holes with good step coverage of the metal wiring material cannot be obtained with all size contact holes.

また、レジストは回転塗布にて形成するので、コンタクト孔のウエハ内周側壁部と外周側壁部に形成される第2のレジスト層136の塗布膜厚も異なる。このため、コンタクト孔133aがウエハの内周側壁部分と外周側壁部分とで、テーパ角が異なるという問題も招いてしまう。   Further, since the resist is formed by spin coating, the coating thickness of the second resist layer 136 formed on the wafer inner peripheral wall portion and the outer peripheral side wall portion of the contact hole is also different. For this reason, the problem that the contact hole 133a has a different taper angle between the inner peripheral side wall portion and the outer peripheral side wall portion of the wafer is also caused.

また、図9に示す製造方法では、レジスト塗布、露光、現像といった一連のフォトリソグラフィ工程を2度行なう必要があり、工程数の増加、スループットの低下といった製造原価の上昇、ひいてはチップコストの上昇という問題も招いてしまう。
特開平8−17797号公報 特開平9−129604号公報 特開平10−149969号公報
Further, in the manufacturing method shown in FIG. 9, a series of photolithography processes such as resist coating, exposure, and development need to be performed twice, which means an increase in manufacturing cost such as an increase in the number of processes and a decrease in throughput, and an increase in chip cost. Problems will also be invited.
JP-A-8-17797 JP-A-9-129604 JP-A-10-149969

そこで、この発明の課題は、所望のテーパ形状のコンタクト孔またはメタル配線を精度良く簡単,安価に形成できる半導体装置の製造方法を提供することにある。   SUMMARY OF THE INVENTION An object of the present invention is to provide a method for manufacturing a semiconductor device capable of forming a desired tapered contact hole or metal wiring accurately and easily at low cost.

上記課題を解決するため、この発明の半導体装置の製造方法は、露光感度が異なる複数のレジスト層を下地被加工層上に積層塗布するレジスト塗布工程と、
上記複数のレジスト層に対して露光と現像を行なうことによって、少なくとも、第1の開口部または第1の残存部を含む第1のレジストパターンと、上記第1の開口部の開口幅よりも広い開口幅を有する第2の開口部または上記第1の残存部の残存幅よりも狭い残存幅を有する第2の残存部を含む第2のレジストパターンとを形成するレジストパターン形成工程と、
少なくとも上記第1、第2のレジストパターンをマスクとして、上記第1、第2のレジストパターンの形状を反映するように、上記下地被加工層をエッチングすることによって、上記下地被加工層に、狭口部と上記狭口部に連なり上記狭口部の口幅よりも口幅が広い広口部とを含む開口部、または、狭残部と上記狭残部に連なり上記狭残部の残存幅よりも残存幅が広い広残部とを含む残存部を形成するエッチング工程とを備えることを特徴としている。
In order to solve the above problems, a method of manufacturing a semiconductor device according to the present invention includes a resist coating step of laminating and coating a plurality of resist layers having different exposure sensitivities on a base work layer;
By performing exposure and development on the plurality of resist layers, at least the first resist pattern including the first opening or the first remaining portion and the opening width of the first opening are wider. A resist pattern forming step of forming a second resist pattern including a second opening having an opening width or a second remaining portion having a remaining width narrower than a remaining width of the first remaining portion;
Using the first and second resist patterns as a mask, the base processed layer is etched so as to reflect the shapes of the first and second resist patterns, thereby narrowing the base processed layer. An opening including a wide opening having a width wider than the opening of the narrow opening and the opening extending from the narrow opening to the narrow opening, or a remaining width larger than the remaining width of the narrow remaining opening extending from the narrow remaining portion to the narrow remaining portion. And an etching process for forming a remaining portion including a wide wide remaining portion.

この発明の半導体装置の製造方法では、レジスト塗布工程で、露光波長に対する感度が異なる複数のレジスト層を下地被加工層上に積層塗布し、レジストパターン形成工程で、上記複数のレジスト層に対して露光と現像を行うことにより、第1の開口部(または第1の残存部)を含む第1のレジストパターンと第2の開口部(または第2の残存部)を含む第2のレジストパターンを形成する。   In the method for manufacturing a semiconductor device according to the present invention, a plurality of resist layers having different sensitivities to the exposure wavelength are stacked and applied on the underlying processed layer in the resist coating step, and the resist pattern forming step is performed on the plurality of resist layers. By performing exposure and development, the first resist pattern including the first opening (or the first remaining portion) and the second resist pattern including the second opening (or the second remaining portion) are formed. Form.

ここで、上記第1の開口部の開口幅は第2の開口部の開口幅よりも狭く、第1の残存部の残存幅は第2の残存部の残存幅よりも広い。   Here, the opening width of the first opening is narrower than the opening width of the second opening, and the remaining width of the first remaining portion is wider than the remaining width of the second remaining portion.

そして、エッチング工程で、少なくとも上記第1,第2のレジストパターンをマスクとして、上記第1,第2のレジストパターンの形状を反映するように、上記下地被加工層をエッチング(例えばドライエッチング)する。これにより、下地被加工層に、狭口部とこの狭口部に連なる広口部(または狭残部とこの狭残部に連なる広残部)を形成する。   Then, in the etching process, the underlying processed layer is etched (for example, dry etching) so as to reflect the shapes of the first and second resist patterns using at least the first and second resist patterns as a mask. . As a result, a narrow mouth portion and a wide mouth portion connected to the narrow mouth portion (or a narrow residual portion and a wide remainder portion connected to the narrow residual portion) are formed in the base processed layer.

したがって、この発明によれば、露光波長に対する感度が異なる複数のレジスト層を下地被加工層上に塗布し、その後のレジストパターン形成工程で、1度の露光、現像処理を行うだけで、第1,第2のレジストパターンによる狭い第1の開口部と広い第2の開口部(もしくは広い第1の残存部と狭い第2の残存部)を形成する。したがって、この第1,第2の開口部(もしくは第1,第2の残存部)によって、第1,第2のレジストパターンの開口部(もしくは残存部)における段差勾配を減少できる。   Therefore, according to the present invention, a plurality of resist layers having different sensitivities to the exposure wavelength are applied on the underlying processed layer, and the first resist and the development process are performed only once in the resist pattern forming process. , A narrow first opening and a wide second opening (or a wide first remaining portion and a narrow second remaining portion) are formed by the second resist pattern. Therefore, the first and second openings (or first and second remaining portions) can reduce the step gradient in the openings (or remaining portions) of the first and second resist patterns.

したがって、上記第1、第2のレジストパターンの断面形状を反映するように、上記下地被加工層をエッチング(例えばドライエッチング)することで、下地被加工層に狭口部と広口部がなす開口部(または狭残部と広残部がなす残存部)を形成して、上記開口部または残存部における段差勾配を低減できる。   Therefore, by etching (for example, dry etching) the underlying processed layer so as to reflect the cross-sectional shapes of the first and second resist patterns, an opening formed between the narrow-mouthed portion and the wide-opened portion in the underlying processed layer. By forming a portion (or a remaining portion formed by the narrow remaining portion and the wide remaining portion), the step gradient in the opening or remaining portion can be reduced.

すなわち、下地被加工層の狭,広口部がなす開口部(一例としてコンタクト孔)や下地被加工層の狭,広残部がなす残存部(一例としてメタル配線)の段差勾配を低減でき、急峻な段差変化を低減できる。   In other words, it is possible to reduce the step gradient of the opening (as an example, a contact hole) formed by the narrow and wide opening portion of the underlying processed layer and the remaining portion (as an example, metal wiring) formed by the narrow and wide remaining portion of the underlying processed layer. Step change can be reduced.

このように、この発明によれば、露光波長に対する感度が異なる複数のレジスト層を下地被加工層上に塗布し、レジストパターン形成工程における1度の露光、現像処理を行うだけで、第1,第2のレジストパターンの開口部(もしくは残存部)における段差勾配を減少できる。したがって、この発明によれば、各レジストパターンの寸法制御性や再現性に優れ、様々なサイズのコンタクト孔やメタル配線において急峻な段差変化を低減する効果を等しく得ることができる。また、段差部分にレジストを回転塗布する従来例と異なり、ウエハ内周側とウエハ外周側とでコンタクト孔やメタル配線の形状が異なる現象も無くすることができ、すべてのコンタクト孔やメタル配線において急峻な段差変化を低減する効果を等しく得ることができる。   As described above, according to the present invention, a plurality of resist layers having different sensitivities with respect to the exposure wavelength are applied on the underlying processed layer, and only the first exposure and development processing in the resist pattern forming process is performed. The step gradient in the opening (or remaining portion) of the second resist pattern can be reduced. Therefore, according to the present invention, the dimensional controllability and reproducibility of each resist pattern are excellent, and the effect of reducing a steep step change in contact holes and metal wirings of various sizes can be obtained equally. Also, unlike the conventional example in which a resist is spin-coated on the stepped portion, it is possible to eliminate the phenomenon that the shape of the contact hole and metal wiring is different between the wafer inner peripheral side and the wafer outer peripheral side. The effect of reducing the steep step change can be obtained equally.

よって、この発明の製造方法によれば、工程数の増加、スループットの低下といった不具合を招くことなく、ステップカバレッジ(段差被覆性)が良好なコンタクト孔やメタル配線を形成できるから、製造原価の上昇を招かず、安価であり、かつ高い信頼性の半導体装置を製造できる。   Therefore, according to the manufacturing method of the present invention, it is possible to form contact holes and metal wiring with good step coverage (step coverage) without incurring problems such as an increase in the number of processes and a decrease in throughput. Therefore, it is possible to manufacture an inexpensive and highly reliable semiconductor device.

また、一実施形態の半導体装置の製造方法では、上記エッチング工程における上記エッチングによる複数の上記レジストパターンのエッチング速度と上記下地被加工層のエッチング速度との比率と、上記下地被加工層に形成すべき断面形状とに基づいて、上記レジスト塗布工程において、上記下地被加工層上に塗布する複数のレジスト層の層厚を決定する。   In one embodiment of the method for manufacturing a semiconductor device, the ratio of the etching rate of the plurality of resist patterns by the etching in the etching step and the etching rate of the base processed layer is formed on the base processed layer. Based on the cross-sectional shape to be determined, in the resist coating step, the layer thicknesses of the plurality of resist layers to be coated on the base work layer are determined.

この実施形態では、上記複数のレジストパターンのエッチング速度と上記下地被加工層のエッチング速度との比率と、上記下地被加工層に形成すべき断面形状とに応じて、上記下地被加工層上に塗布する複数のレジスト層の層厚を決定する。これにより、上記複数のレジストパターンをマスクとする上記エッチング(例えばドライエッチング)によって上記下地被加工層を所望の断面形状にすることができる。   In this embodiment, depending on the ratio between the etching rate of the plurality of resist patterns and the etching rate of the underlying processed layer, and the cross-sectional shape to be formed on the underlying processed layer, on the underlying processed layer The thickness of a plurality of resist layers to be applied is determined. Thus, the underlying processed layer can be formed into a desired cross-sectional shape by the etching (for example, dry etching) using the plurality of resist patterns as a mask.

また、一実施形態は、上記レジスト塗布工程では、下層のレジスト層から上層のレジスト層に向かって露光感度が高くなるように、上記複数のレジスト層を塗布する。   In one embodiment, in the resist application step, the plurality of resist layers are applied so that the exposure sensitivity increases from the lower resist layer toward the upper resist layer.

この実施形態では、第1のレジストパターンが下層となり第2のレジストパターンが上層となるから、第1,第2のレジストパターンによって、下層から上層に向かって開口幅が広くなる開口部(または残存幅が狭くなる残存部)が形成される。したがって、下層の第1のレジストパターンの開口幅(残存幅)が上層の第2のレジストパターンの開口幅(残存幅)よりも狭く(広く)なるから、下層の第1のレジストパターンの開口幅(または残存幅)および上層の第2のレジストパターンの開口幅(または残存幅)を、エッチング(一例としてドライエッチング)の前に測定することが可能になる。この下層の第1のレジストパターンの開口幅(または残存幅)が下地被加工層の開口部のうちの下部の開口幅(または残存部のうちの下部の残存幅)を決定する。また、上層の第2のレジストパターンの開口幅(または残存幅)が下地被加工層の開口部のうちの上部の開口幅(または残存部のうちの上部の残存幅)を決定する。したがって、上記エッチングによって下地被加工層の開口部(残存部)を制御性良く形成できる。   In this embodiment, since the first resist pattern is the lower layer and the second resist pattern is the upper layer, the first and second resist patterns have openings (or remaining portions) whose opening width increases from the lower layer to the upper layer. A remaining portion whose width becomes narrower is formed. Accordingly, the opening width (residual width) of the first resist pattern in the lower layer is narrower (wider) than the opening width (residual width) of the second resist pattern in the upper layer. (Or remaining width) and the opening width (or remaining width) of the second resist pattern in the upper layer can be measured before etching (dry etching as an example). The opening width (or remaining width) of the first resist pattern in the lower layer determines the lower opening width (or the remaining remaining width of the remaining portions) of the openings in the underlying processed layer. Further, the opening width (or remaining width) of the second resist pattern in the upper layer determines the upper opening width (or the remaining remaining width of the remaining portion) of the openings in the underlying processed layer. Therefore, the opening (remaining part) of the underlying work layer can be formed with good controllability by the etching.

また、一実施形態は、上記レジスト塗布工程では、露光感度が異なる2層または3層のレジスト層を下地被加工層上に塗布する。   In one embodiment, in the resist coating step, two or three resist layers having different exposure sensitivities are coated on the underlying work layer.

この実施形態では、露光波長に対する感度が異なる2層または3層のレジスト層を下地被加工層上に塗布するから、各レジスト層の塗布膜厚の制御性が良く、生産性が良くなる。   In this embodiment, two or three resist layers having different sensitivities to the exposure wavelength are applied on the underlying processed layer, so that the control of the coating film thickness of each resist layer is good and the productivity is improved.

すなわち、塗布するレジスト層の層数を4層以上とすると、各レジスト層の厚さがより薄くなり、塗布膜厚の制御性が低下する、段差被覆性が低下するといった不具合が発生する。また、レジスト層の層数を4層以上とすると、感度の異なるレジスト層を多数用意する必要が生じ、生産性の面からも好ましくない。また、レジスト層の層数を4層以上とした場合でも、ステップカバレッジの改善効果は、3層積層塗布の場合に比べて大差がなかった。   That is, if the number of resist layers to be applied is four or more, the thickness of each resist layer becomes thinner, resulting in a problem that the controllability of the coating film thickness is lowered and the step coverage is lowered. If the number of resist layers is four or more, it is necessary to prepare a large number of resist layers having different sensitivities, which is not preferable from the viewpoint of productivity. Even when the number of resist layers was four or more, the step coverage improvement effect was not significantly different from that in the case of three-layer lamination coating.

また、一実施形態は、上記エッチング工程によって上記下地被加工層に形成する上記狭口部と広口部を含む各口部の厚さ、または、上記エッチング工程によって上記下地被加工層に形成する上記狭残部と広残部とを含む各残部の厚さを等しくする。   Further, in one embodiment, the thickness of each mouth portion including the narrow mouth portion and the wide mouth portion formed in the base processed layer by the etching step, or the above-described base layer to be formed by the etching step. The thickness of each remaining portion including the narrow remaining portion and the wide remaining portion is made equal.

この実施形態では、上記下地被加工層に形成する上記各口部または上記各残部の厚さを等しくするから、ステップカバレッジ(段差被覆性)を最も良好にできる。   In this embodiment, since the thickness of each mouth portion or each remaining portion formed in the underlying work layer is made equal, the step coverage (step coverage) can be most improved.

また、一実施形態は、上記レジスト塗布工程で上記下地被加工層上に塗布する複数のレジスト層の層厚の合計値を、上記エッチング工程で上記エッチングによって各レジストパターンの厚さが減少する値の合計値よりも大きくする。   In one embodiment, the total thickness of a plurality of resist layers applied on the underlying work layer in the resist coating step is a value by which the thickness of each resist pattern is reduced by the etching in the etching step. Larger than the total value of.

この実施形態では、上記エッチング工程のエッチング完了時に、下地被加工層上にレジストパターンが残存しているので、上記エッチング(一例としてドライエッチング)により、上記レジストパターンの形状を反映した所望の断面形状を下地被加工層に形成できる。   In this embodiment, since the resist pattern remains on the underlying work layer when the etching of the etching step is completed, a desired cross-sectional shape reflecting the shape of the resist pattern is obtained by the etching (dry etching as an example). Can be formed on the underlying work layer.

また、一実施形態は、上記エッチング工程における上記エッチングでは、各レジストパターンのエッチング速度が、上記下地被加工層のエッチング速度に対して、2分の1乃至2分の3である。   In one embodiment, in the etching in the etching step, the etching rate of each resist pattern is 1/2 to 3/2 of the etching rate of the underlying work layer.

この実施形態では、レジスト層の塗布膜厚の制御性が良く、段差被覆性が良くなる。すなわち、各レジストパターンのエッチング速度が、下地被加工層のエッチング速度に対して、2分の1未満では、下地被加工層上に塗布するレジスト層の厚さが薄くなり、塗布膜厚の制御性が悪くなり、段差被覆性の悪化を招く。一方、各レジストパターンのエッチング速度が、下地被加工層のエッチング速度に対して、2分の3を超えると、下地被加工層のエッチング速度が相対的に遅くなり、エッチング時間の増大やレジスト層の厚さの増加によるフォトリソグラフィの解像度の低下といった不具合を招く。   In this embodiment, the controllability of the coating thickness of the resist layer is good, and the step coverage is good. That is, when the etching rate of each resist pattern is less than half of the etching rate of the underlying processed layer, the thickness of the resist layer applied on the underlying processed layer is reduced, and the coating film thickness is controlled. Worsens the step coverage. On the other hand, if the etching rate of each resist pattern exceeds 3/2 of the etching rate of the underlying processed layer, the etching rate of the underlying processed layer becomes relatively slow, increasing the etching time and increasing the resist layer This causes a problem such as a decrease in resolution of photolithography due to an increase in the thickness of the film.

また、一実施形態は、上記下地被加工層は絶縁膜であり、上記エッチング工程における上記エッチングによって、上記下地被加工層に上記開口部からなるコンタクト孔を形成する。   In one embodiment, the underlying processed layer is an insulating film, and the contact hole including the opening is formed in the underlying processed layer by the etching in the etching step.

この実施形態では、絶縁膜である下地被加工層に、ステップカバレッジ(段差被覆性)の良いコンタクト孔を形成できる。   In this embodiment, a contact hole with good step coverage (step coverage) can be formed in the underlying processed layer that is an insulating film.

また、一実施形態は、上記下地被加工層はメタル配線材料からなり、上記エッチング工程における上記エッチングによって、上記下地被加工層を上記残存部からなるメタル配線に加工する。   In one embodiment, the underlying processed layer is made of a metal wiring material, and the underlying processed layer is processed into a metal wiring including the remaining portion by the etching in the etching step.

この実施形態では、メタル配線材料からなる下地被加工層を、ステップカバレッジ(段差被覆性)の良いメタル配線に加工できる。   In this embodiment, an underlying work layer made of a metal wiring material can be processed into metal wiring with good step coverage (step coverage).

この発明の半導体装置の製造方法によれば、露光感度が異なる複数のレジスト層を下地被加工層上に塗布し、レジストパターン形成工程における1度の露光、現像処理を行うだけで、第1,第2のレジストパターンの開口部(もしくは残存部)における段差勾配を減少できる。したがって、この発明によれば、各レジストパターンの寸法制御性や再現性に優れ、様々なサイズのコンタクト孔やメタル配線において急峻な段差変化を低減する効果を等しく得ることができる。また、段差部分にレジストを回転塗布する従来例と異なり、ウエハ内周側とウエハ外周側とでコンタクト孔やメタル配線の形状が異なる現象も無くすることができ、すべてのコンタクト孔やメタル配線において急峻な段差変化を低減する効果を等しく得ることができる。   According to the method for manufacturing a semiconductor device of the present invention, a plurality of resist layers having different exposure sensitivities are applied on the underlying work layer, and only one exposure and development process in the resist pattern forming process is performed. The step gradient in the opening (or remaining portion) of the second resist pattern can be reduced. Therefore, according to the present invention, the dimensional controllability and reproducibility of each resist pattern are excellent, and the effect of reducing a steep step change in contact holes and metal wirings of various sizes can be obtained equally. Also, unlike the conventional example in which a resist is spin-coated on the stepped portion, it is possible to eliminate the phenomenon that the shape of the contact hole and metal wiring is different between the wafer inner peripheral side and the wafer outer peripheral side. The effect of reducing the steep step change can be obtained equally.

したがって、この発明によれば、工程数の増加、スループットの低下といった不具合が発生することなく、ステップカバレッジ(段差被覆性)が良好なコンタクト孔やメタル配線を形成できるから、製造原価の上昇を招かず、安価であり、かつ高い信頼性の半導体装置を製造できる。   Therefore, according to the present invention, contact holes and metal wiring with good step coverage (step coverage) can be formed without causing problems such as an increase in the number of processes and a decrease in throughput, leading to an increase in manufacturing cost. However, an inexpensive and highly reliable semiconductor device can be manufactured.

以下、この発明を図示の実施の形態により詳細に説明する。   Hereinafter, the present invention will be described in detail with reference to the illustrated embodiments.

(第1の実施の形態)
この発明の半導体装置の製造方法の第1実施形態を、図1(A)〜図1(G)を順に参照しながら説明する。
(First embodiment)
A semiconductor device manufacturing method according to a first embodiment of the present invention will be described with reference to FIGS. 1A to 1G in order.

まず、図1(A)に示すように、P型の半導体基板1上に、層間絶縁膜として厚さ1000nmのSiO膜2を形成する。このSiO膜2が下地被加工層である。 First, as shown in FIG. 1A, a 1000 nm thick SiO 2 film 2 is formed as an interlayer insulating film on a P-type semiconductor substrate 1. This SiO 2 film 2 is a base processed layer.

次に、レジスト塗布工程で、露光波長に対する感度が異なる第1,第2のレジストを用い、まず初めに、露光波長に対して露光感度の低い第1のレジストを上記SiO膜2上に回転塗布して、厚さ500nmの第1のレジスト層3を形成する。続いて、上記第1のレジストよりも露光感度が高い第2のレジストを上記第1のレジスト層3上に回転塗布し、厚さ700nmの第2のレジスト層4を第1のレジスト層3上に形成する。この後、90℃で60秒間プリベークを行い、第1のレジスト層3と第2のレジスト層4中に含まれる有機溶剤を除去する。 Next, in the resist coating process, the first and second resists having different sensitivities to the exposure wavelength are used. First, the first resist having a low exposure sensitivity with respect to the exposure wavelength is rotated on the SiO 2 film 2. Application is performed to form a first resist layer 3 having a thickness of 500 nm. Subsequently, a second resist having higher exposure sensitivity than the first resist is spin-coated on the first resist layer 3, and a second resist layer 4 having a thickness of 700 nm is applied on the first resist layer 3. To form. Thereafter, pre-baking is performed at 90 ° C. for 60 seconds, and the organic solvent contained in the first resist layer 3 and the second resist layer 4 is removed.

ここで、図5に、上記第1のレジスト層3をなす第1のレジストの露光特性を特性K2で示し、第2のレジスト層4をなす第2のレジストの露光特性を特性K1で示す。この露光特性は、露光時間の単位(m秒)で表した露光量とレジスト開口幅(nm)との関係を示す特性である。この特性K1,K2から分かるように、第1のレジストの露光感度よりも第2のレジストの露光感度の方が高い。   Here, in FIG. 5, the exposure characteristic of the first resist forming the first resist layer 3 is indicated by a characteristic K2, and the exposure characteristic of the second resist forming the second resist layer 4 is indicated by a characteristic K1. This exposure characteristic is a characteristic showing the relationship between the exposure amount expressed in units of exposure time (msec) and the resist opening width (nm). As can be seen from these characteristics K1 and K2, the exposure sensitivity of the second resist is higher than the exposure sensitivity of the first resist.

次に、レジストパターン形成工程で、図1(B)に示すように、第1,第2のレジスト層3,4を露光量600m秒の露光条件で露光して、マスク5のマスクパターン5aを第1,第2のレジスト層3,4に転写し、続いて、第1,第2のレジスト層3,4の現像処理を行なう。これにより、図1(C)に示すように、下層と上層とで開口幅の異なる第1,第2のレジストパターン3a,4aを形成する。具体的には、下層の第1のレジストパターン3aの第1の開口部3a-1の開口幅は800nmであり、上層の第2のレジストパターン4aの第2の開口部4a-1の開口幅は1000nmとなっている。   Next, in the resist pattern forming step, as shown in FIG. 1B, the first and second resist layers 3 and 4 are exposed under an exposure condition of an exposure amount of 600 milliseconds, and the mask pattern 5a of the mask 5 is formed. Next, the first and second resist layers 3 and 4 are transferred, and then the first and second resist layers 3 and 4 are developed. As a result, as shown in FIG. 1C, first and second resist patterns 3a and 4a having different opening widths are formed between the lower layer and the upper layer. Specifically, the opening width of the first opening 3a-1 of the lower first resist pattern 3a is 800 nm, and the opening width of the second opening 4a-1 of the upper second resist pattern 4a. Is 1000 nm.

次のエッチング工程では、上記レジストパターン形成工程で得られた開口幅の異なる第1,第2のレジストパターン3a,4aをエッチングマスクとして、下地被加工層である上記SiO膜2のドライエッチングを行なう。このドライエッチングにおいて、レジストパターン3a,4aのエッチング速度と下地被加工層であるSiO膜2のエッチング速度の比率、つまり、(レジストパターン3a,4aのエッチング速度)÷(下地被加工層(SiO膜2)のエッチング速度)を選択比と称する。 In the next etching step, the first and second resist patterns 3a and 4a having different opening widths obtained in the resist pattern forming step are used as etching masks to perform dry etching of the SiO 2 film 2 that is the underlying processed layer. Do. In this dry etching, the ratio between the etching rate of the resist patterns 3a and 4a and the etching rate of the SiO 2 film 2 as the underlying processed layer, that is, (etching rate of the resist patterns 3a and 4a) ÷ (underly processed layer (SiO 2 The etching rate of the two films 2) is called the selectivity.

この第1の実施形態では、選択比1のドライエッチング条件にて上記SiO膜2のエッチングを行なった。選択比を1と設定しているため、図1(D)に示すように、上記SiO膜2を500nmだけエッチングした時点で、各レジストパターン3a,4aも500nmだけエッチングされた状態となる。つまり、図1(D)に示すように、上記SiO膜2に、口幅が800nmで深さが500nmの凹部2a-0が形成され、第2のレジストパターン4aは、厚さが700nmから200nmに減少する。また、第1のレジストパターン3aは、厚さは500nmのままで、開口幅が800nmの開口部3a-1が開口幅が1000nmの開口部3a-2となり、開口部3a-2の開口幅が開口部4a-1の開口幅と等しくなる。 In the first embodiment, the SiO 2 film 2 is etched under dry etching conditions with a selectivity of 1. Since the selection ratio is set to 1, as shown in FIG. 1D, when the SiO 2 film 2 is etched by 500 nm, the resist patterns 3a and 4a are also etched by 500 nm. That is, as shown in FIG. 1D, a recess 2a-0 having a mouth width of 800 nm and a depth of 500 nm is formed in the SiO 2 film 2, and the second resist pattern 4a has a thickness of 700 nm. Decrease to 200 nm. Further, in the first resist pattern 3a, the opening 3a-1 having an opening width of 800 nm becomes the opening 3a-2 having an opening width of 1000 nm, and the opening width of the opening 3a-2 is set to 500 nm. It becomes equal to the opening width of the opening 4a-1.

したがって、その後のエッチングでは、第2開口部4a-1を有する第2のレジストパターン4aと第2開口部4a-1と同じ開口幅の第1開口部3a-2を有する第1のレジストパターン3aをマスクとして、凹部2a-0を有するSiO膜2がエッチングされる。すなわち、図1(E)に示すように、実質的に、第2のレジストパターン4aをエッチングマスクとして、SiO膜2のエッチングが進行する。 Therefore, in the subsequent etching, the second resist pattern 4a having the second opening 4a-1 and the first resist pattern 3a having the first opening 3a-2 having the same opening width as the second opening 4a-1. Is used as a mask to etch the SiO 2 film 2 having the recesses 2a-0. That is, as shown in FIG. 1E, the etching of the SiO 2 film 2 proceeds substantially using the second resist pattern 4a as an etching mask.

このように、上記エッチングの進行にしたがって、初めに、第1レジストパターン3aの第1開口部3a-1の断面形状をSiO膜2に転写して、図1(D)に示すように、SiO膜2に凹部2a-0が形成され、次に、第2レジストパターン4aをマスクとして、SiO膜2がさらにエッチングされる。これによって、図1(E)に示すように、SiO膜2に、下層の狭口部2a-2とこの狭口部2a-2よりも口幅が広い上層の広口部2a-1が形成される。これにより、上記ドライエッチングが完了する。 Thus, as the etching proceeds, first, the cross-sectional shape of the first opening 3a-1 of the first resist pattern 3a is transferred to the SiO 2 film 2, and as shown in FIG. A recess 2a-0 is formed in the SiO 2 film 2, and then the SiO 2 film 2 is further etched using the second resist pattern 4a as a mask. As a result, as shown in FIG. 1E, the lower narrow-mouthed portion 2a-2 and the upper wide-mouthed portion 2a-1 having a wider width than the narrow-mouthed portion 2a-2 are formed in the SiO 2 film 2. Is done. Thereby, the dry etching is completed.

ここで、上記狭口部2a-2は口幅が800nmで深さが500nmであり、広口部2a-1は口幅が1000nmで深さが500nmである。また、広口部2a-1の中心軸J1と狭口部2a-2の中心軸J1とは略一致している。   Here, the narrow mouth portion 2a-2 has a mouth width of 800 nm and a depth of 500 nm, and the wide mouth portion 2a-1 has a mouth width of 1000 nm and a depth of 500 nm. Further, the central axis J1 of the wide opening 2a-1 and the central axis J1 of the narrow opening 2a-2 substantially coincide with each other.

そして、SiO膜2上に残った第1レジストパターン3aを除去して、図1(F)に示すように、第1,第2のレジストパターン3a,4aの第1,第2の開口部3a-1,4a-1の開口形状を反映した広口部2a-1と狭口部2a-2をSiO膜2に形成できる。すなわち、図1(F)に示すように、SiO膜2に開口下部の幅よりも開口上部の幅が広いコンタクト孔2aを形成できる。 Then, the first resist pattern 3a remaining on the SiO 2 film 2 is removed, and the first and second openings of the first and second resist patterns 3a and 4a are removed as shown in FIG. The wide mouth portion 2a-1 and the narrow mouth portion 2a-2 reflecting the opening shapes of 3a-1 and 4a-1 can be formed in the SiO 2 film 2. That is, as shown in FIG. 1 (F), a contact hole 2a having an opening width wider than the opening width can be formed in the SiO 2 film 2.

この後、図1(G)に示すように、メタル配線材料からなる厚さ600nmのメタル配線6を成膜して、半導体基板1に含まれる下部導電層(図示せず)とコンタクトを取る。ここで、コンタクト孔2aは、開口下部である狭口部2a-2よりも開口上部である広口部2a-1の口幅が広くなっているので、メタル配線6によるステップカバレッジ(段差被覆性)が良好なコンタクト孔2aとなる。   Thereafter, as shown in FIG. 1G, a metal wiring 6 having a thickness of 600 nm made of a metal wiring material is formed, and contact is made with a lower conductive layer (not shown) included in the semiconductor substrate 1. Here, in the contact hole 2a, the wide opening 2a-1 that is the upper part of the opening is wider than the narrow opening 2a-2 that is the lower part of the opening. Therefore, the step coverage (step coverage) by the metal wiring 6 is increased. Is a good contact hole 2a.

上述した如く、この第1実施形態の製造方法によれば、露光波長に対する感度が異なる第1,第2のレジスト層3,4を下地被加工層であるSiO膜2上に塗布し、レジストパターン形成工程における1度の露光、現像処理を行うだけで、第1,第2のレジストパターン3a,4aの開口部3a-1,4a-1における段差勾配を減少できる。したがって、この第1実施形態によれば、各レジストパターン3a,4aの寸法制御性や再現性に優れ、様々なサイズのコンタクト孔2aにおいて急峻な段差変化を低減する効果を等しく得ることができる。また、段差部分にレジストを塗布する従来例と異なり、ウエハ内周側とウエハ外周側とでコンタクト孔の形状が異なる現象も無くすることができ、すべてのコンタクト孔において急峻な段差変化を低減する効果を等しく得ることができる。 As described above, according to the manufacturing method of the first embodiment, the first and second resist layers 3 and 4 having different sensitivities with respect to the exposure wavelength are applied on the SiO 2 film 2 which is the underlying processed layer, and the resist The step gradient in the openings 3a-1 and 4a-1 of the first and second resist patterns 3a and 4a can be reduced by performing only one exposure and development process in the pattern forming process. Therefore, according to the first embodiment, the resist patterns 3a and 4a are excellent in dimensional controllability and reproducibility, and the effect of reducing the steep step change in the contact holes 2a of various sizes can be obtained equally. In addition, unlike the conventional example in which a resist is applied to the stepped portion, it is possible to eliminate the phenomenon that the shape of the contact hole differs between the inner peripheral side of the wafer and the outer peripheral side of the wafer, thereby reducing a steep step change in all the contact holes. The effect can be obtained equally.

よって、この第1実施形態の製造方法によれば、工程数の増加、スループットの低下といった不具合が発生することなく、ステップカバレッジ(段差被覆性)が良好なコンタクト孔やメタル配線を形成できるから、製造原価の上昇を招かず、安価であり、かつ高い信頼性の半導体装置を製造できる。   Therefore, according to the manufacturing method of the first embodiment, it is possible to form contact holes and metal wirings with good step coverage (step coverage) without causing problems such as an increase in the number of processes and a decrease in throughput. It is possible to manufacture an inexpensive and highly reliable semiconductor device without causing an increase in manufacturing cost.

また、この実施形態において、第1のレジスト層3の層厚と第2のレジスト層4の層厚は、上記選択比と上記コンタクト孔2aに所望する断面形状に応じて最適化することが望ましい。このレジスト層厚の最適化方法の一例として、図2に示すようなコンタクト孔2aの所望の断面形状を得るためには、第1のレジスト層3の層厚を、(選択比)×(コンタクト孔2aの狭口部2a-2の深さd1)に設定すればよい。具体的には、選択比が0.5で、コンタクト孔2aの狭口部2a-2の深さd1が600nmの場合には、第1のレジスト層3の層厚を300nmに設定すればよい。また、選択比が1.0で、コンタクト孔2aの狭口部2a-2の深さd1が600nmの場合には、第1のレジスト層3の層厚を600nmに設定すればよい。また、選択比が1.5で、コンタクト孔2aの狭開口部2a-2の深さd1が600nmの場合には、第1のレジスト層3の層厚を900nmに設定すればよい。この方法で決定したレジスト層厚以外を採用すると、コンタクト孔2aに所望する断面形状が得られなくなってしまう。   In this embodiment, the layer thickness of the first resist layer 3 and the layer thickness of the second resist layer 4 are preferably optimized according to the selectivity and the cross-sectional shape desired for the contact hole 2a. . As an example of the method for optimizing the resist layer thickness, in order to obtain a desired cross-sectional shape of the contact hole 2a as shown in FIG. 2, the layer thickness of the first resist layer 3 is set to (selection ratio) × (contact What is necessary is just to set to the depth d1) of the narrow opening part 2a-2 of the hole 2a. Specifically, when the selection ratio is 0.5 and the depth d1 of the narrow portion 2a-2 of the contact hole 2a is 600 nm, the layer thickness of the first resist layer 3 may be set to 300 nm. . Further, when the selection ratio is 1.0 and the depth d1 of the narrow opening 2a-2 of the contact hole 2a is 600 nm, the thickness of the first resist layer 3 may be set to 600 nm. When the selection ratio is 1.5 and the depth d1 of the narrow opening 2a-2 of the contact hole 2a is 600 nm, the layer thickness of the first resist layer 3 may be set to 900 nm. If a thickness other than the resist layer thickness determined by this method is employed, a desired cross-sectional shape cannot be obtained in the contact hole 2a.

また、レジスト塗布工程では、下層のレジスト層3から上層のレジスト層4に向かって露光波長に対する感度が高く(早く)なるように、レジスト層3とレジスト層4を塗布することが望ましい。なお、上記実施形態とは逆に、レジスト層4の上にレジスト層3を塗布した場合、下層から上層に向かって露光波長に対する感度が低く(遅く)なる。この場合、図3に示すように、得られるレジストパターン4aと3aによる断面形状は、上記実施形態とは逆に、下層の開口部4a-1が広く、上層の開口部3a-1が狭い開口パターンとなる。   In the resist coating step, it is desirable to apply the resist layer 3 and the resist layer 4 so that sensitivity to the exposure wavelength increases from the lower resist layer 3 to the upper resist layer 4. Contrary to the above-described embodiment, when the resist layer 3 is applied on the resist layer 4, the sensitivity to the exposure wavelength is lowered (slow) from the lower layer to the upper layer. In this case, as shown in FIG. 3, the cross-sectional shape of the obtained resist patterns 4a and 3a has an opening in the lower layer opening 4a-1 and a narrow opening in the upper layer, contrary to the above embodiment. It becomes a pattern.

この図3に示すレジストパターンでも、このレジストパターンをマスクとしてSiO膜2のドライエッチングを行なうことで、開口上部の幅が開口下部の幅よりも広くて、メタル配線のステップカバレッジ(段差被覆性)が良好なコンタクト孔2aを形成することができる。ただし、この場合、コンタクト孔2aの開口上部の寸法を決定する第1のレジスト層4aの開口部4a-1の開口幅d2の測定をドライエッチングの前に行なうことができない。したがって、この場合、上記第1実施形態に比べて、コンタクト孔の寸法の制御性が低下する。 Also in the resist pattern shown in FIG. 3, by performing dry etching of the SiO 2 film 2 using this resist pattern as a mask, the width of the upper part of the opening is wider than the width of the lower part of the opening, and the step coverage of the metal wiring (step coverage) ) Can be formed. However, in this case, the measurement of the opening width d2 of the opening 4a-1 of the first resist layer 4a that determines the dimension of the upper opening of the contact hole 2a cannot be performed before dry etching. Therefore, in this case, controllability of the size of the contact hole is reduced as compared with the first embodiment.

また、レジスト塗布工程において、積層塗布するレジスト層の層数は、2〜3層であることが望ましい。塗布するレジスト層の1層だけでは開口上部の幅が広がったコンタクト孔を形成できないことは言うまでもない。   In the resist coating process, it is desirable that the number of resist layers to be laminated is 2 to 3 layers. Needless to say, it is impossible to form a contact hole in which the width of the upper part of the opening is widened with only one resist layer to be applied.

一方、レジスト塗布工程において、積層塗布するレジスト層の層数をより多くすれば、理論上は、よりステップカバレッジ(段差被覆性)が良好なコンタクト孔を形成できる。しかし、実際には、レジスト塗布工程において、4層以上のレジスト層を積層塗布した場合でも、3層のレジスト層を積層塗布した場合とステップカバレッジの改善効果は大差がなかった。しかも、4層以上積層塗布する場合、各レジスト層の層厚が非常に薄くなり、塗布膜厚の制御性が低下し、かえって段差被覆性が低下するといった不具合が発生する。また、4層以上のレジスト層を積層塗布する場合、露光波長に対する感度の違うレジスト材料を多数用意する必要があるから、生産性の面からも好ましくない。   On the other hand, in the resist coating process, if the number of resist layers to be laminated is increased, a contact hole with a better step coverage (step coverage) can be formed theoretically. However, actually, even when four or more resist layers are laminated and applied in the resist coating process, the effect of improving the step coverage is not much different from that when three resist layers are laminated and applied. In addition, when four or more layers are applied in a laminated manner, the thickness of each resist layer becomes very thin, the controllability of the coating film thickness is lowered, and the problem is that the step coverage is reduced. Further, when four or more resist layers are laminated and applied, it is necessary to prepare many resist materials having different sensitivities to the exposure wavelength, which is not preferable from the viewpoint of productivity.

また、上記第1実施形態の如く、コンタクト孔2aに形成する断面形状としては、口幅の異なる各部分(つまり狭口部2a-2と広口部2a-1)におけるSiO膜(層間絶縁膜)2の厚さが等しいことが望ましい。つまり、上記各部分の膜厚が異なる場合には、膜厚が厚い部分におけるメタル配線材料のステップカバレッジ(段差被覆性)が、各部分の膜厚が等しい場合の段差被覆性と比較して低下する。 Further, as in the first embodiment, the cross-sectional shape formed in the contact hole 2a is the SiO 2 film (interlayer insulating film) in each portion having different mouth widths (that is, the narrow mouth portion 2a-2 and the wide mouth portion 2a-1). ) It is desirable that the thicknesses of 2 are equal. In other words, when the thickness of each part is different, the step coverage (step coverage) of the metal wiring material in the thick part is lower than the step coverage when the thickness of each part is equal. To do.

また、上記レジスト塗布工程において、積層塗布した複数のレジスト層のトータル膜厚はドライエッチング完了時に十分な膜厚が残存していることが要求される。つまり、ドライエッチングが完了する前に、レジスト(第1のレジストパターン3a)が消失してしまうと、図1(E)に示すSiO膜2の最上層2bがエッチングされてしまうから、コンタクト孔2aの所望の断面形状を得ることができなくなる。 Further, in the resist coating process, it is required that the total film thickness of the plurality of resist layers that are laminated and coated remain sufficient when dry etching is completed. That is, if the resist (first resist pattern 3a) disappears before the dry etching is completed, the uppermost layer 2b of the SiO 2 film 2 shown in FIG. The desired cross-sectional shape 2a cannot be obtained.

また、上記ドライエッチングにおける上記選択比は、0.5〜1.5までの範囲で選択することが望ましい。すなわち、上記選択比が0.5未満では、第1のレジスト層の層厚が非常に薄くなり、塗布膜厚の制御性が低下する、段差被覆性が低下するといった不具合が発生する。一方、上記選択比が1.5を超えると、下地被加工層であるSiO膜2のエッチング速度が低下することによるエッチング時間の増大やレジスト層の厚さが増加することによるフォトリソグラフィの解像度の低下といった不具合を招く。したがって、上記選択比は、上述した0.5〜1.5の範囲で選択することが望ましい。 The selection ratio in the dry etching is preferably selected in the range of 0.5 to 1.5. That is, when the selection ratio is less than 0.5, the thickness of the first resist layer becomes very thin, resulting in a problem that the controllability of the coating film thickness is lowered and the step coverage is lowered. On the other hand, when the selection ratio exceeds 1.5, the resolution of photolithography due to an increase in etching time due to a decrease in the etching rate of the SiO 2 film 2 which is the underlying work layer and an increase in the thickness of the resist layer This causes problems such as lowering. Therefore, it is desirable to select the selection ratio in the range of 0.5 to 1.5 described above.

(第2の実施の形態)
次に、図4(A)〜図4(G)を順に参照して、この発明の半導体装置の製造方法の第2実施形態を説明する。
(Second embodiment)
Next, referring to FIG. 4A to FIG. 4G in order, a second embodiment of the semiconductor device manufacturing method of the present invention will be described.

前述の第1実施形態では層間絶縁膜であるSiO膜2を下地被加工層とし、このSiO膜2上に感度が異なるレジスト層3,4を積層塗布したが、この第2実施形態では、層間絶縁膜11上に、メタル配線材料からなる厚さ600nmのAlSi層12を下地被加工層として形成し、この下地被加工層としてのAlSi層12上にレジスト塗布を行なう。この点が、この第2の実施形態が、前述の第1実施形態と異なる点である。 In the first embodiment described above, the SiO 2 film 2 which is an interlayer insulating film is used as a base processed layer, and resist layers 3 and 4 having different sensitivities are laminated and applied on the SiO 2 film 2. In this second embodiment, On the interlayer insulating film 11, an AlSi layer 12 made of a metal wiring material and having a thickness of 600 nm is formed as a base processed layer, and a resist is applied on the AlSi layer 12 as the base processed layer. This is the difference between the second embodiment and the first embodiment described above.

この第2実施形態では、まず、図4(A)に示すように、半導体基板(図示せず)上に形成した層間絶縁膜11上に、メタル配線材料からなる厚さ600nmのAlSi層12を形成する。このAlSi層12が下地被加工層である。   In the second embodiment, first, as shown in FIG. 4A, an AlSi layer 12 made of a metal wiring material and having a thickness of 600 nm is formed on an interlayer insulating film 11 formed on a semiconductor substrate (not shown). Form. This AlSi layer 12 is a base work layer.

次に、レジスト塗布工程で、露光波長に対する感度が異なる第1,第2のレジストを用い、まず初めに、露光波長に対して感度の低い第1のレジストをAlSi層12上に回転塗布し、厚さ450nmの第1のレジスト層13を下地被加工層としてのAlSi層12上に形成する。   Next, in the resist coating process, first and second resists having different sensitivities to the exposure wavelength are used. First, a first resist having low sensitivity to the exposure wavelength is spin-coated on the AlSi layer 12, A first resist layer 13 having a thickness of 450 nm is formed on the AlSi layer 12 as a base processed layer.

続いて、上記第1のレジスト層13上に上記第1のレジストよりも露光感度が高い第2のレジストを回転塗布し、第1のレジスト層13上に厚さ700nmの第2のレジスト層14を形成する。この後、90℃で60秒間プリベークを行い、第1のレジスト層13と第2のレジスト層14中に含まれる有機溶剤を除去する。   Subsequently, a second resist having higher exposure sensitivity than that of the first resist is spin-coated on the first resist layer 13, and the second resist layer 14 having a thickness of 700 nm is formed on the first resist layer 13. Form. Thereafter, prebaking is performed at 90 ° C. for 60 seconds, and the organic solvent contained in the first resist layer 13 and the second resist layer 14 is removed.

ここで、図6に、上記第1のレジスト層13をなす第1のレジストの露光特性を特性L1で示し、第2のレジスト層14をなす第2のレジストの露光特性を特性L2で示す。この露光特性は、露光時間の単位(m秒)で表した露光量とレジスト残り幅(nm)との関係を示す特性である。この特性L1,L2から分かるように、第1のレジストの露光感度よりも第2のレジストの露光感度の方が高い。   Here, in FIG. 6, the exposure characteristic of the first resist forming the first resist layer 13 is indicated by a characteristic L1, and the exposure characteristic of the second resist forming the second resist layer 14 is indicated by a characteristic L2. This exposure characteristic is a characteristic showing the relationship between the exposure amount expressed in units of exposure time (msec) and the remaining resist width (nm). As can be seen from the characteristics L1 and L2, the exposure sensitivity of the second resist is higher than the exposure sensitivity of the first resist.

次に、レジストパターン形成工程で、図4(B)に示すように、第1,第2のレジスト層13,14を、露光量400m秒の露光条件で露光して、マスク15のマスクパターンを第1,第2のレジスト層13,14に転写し、続いて、現像処理を行なう。これにより、図4(C)に示すように、下層と上層とでレジスト残存幅の異なる第1,第2のレジストパターン13a,14aを形成する。具体的には、下層の第1レジストパターン13aの残存幅は1000nmであり、上層の第2レジストパターン14aの残存幅は800nmとなっている。   Next, in the resist pattern forming step, as shown in FIG. 4B, the first and second resist layers 13 and 14 are exposed under an exposure condition of an exposure amount of 400 msec to form a mask pattern of the mask 15. Transfer to the first and second resist layers 13, 14 is followed by development processing. Thus, as shown in FIG. 4C, first and second resist patterns 13a and 14a having different resist residual widths are formed between the lower layer and the upper layer. Specifically, the remaining width of the lower first resist pattern 13a is 1000 nm, and the remaining width of the upper second resist pattern 14a is 800 nm.

次のエッチング工程では、上記レジストパターン形成工程で得られた残存幅の異なる第1,第2のレジストパターン13a,14aをエッチングマスクとして、下地被加工層である上記AlSi層12のドライエッチングを行なう。このドライエッチングにおいて、選択比が1.5のドライエッチング条件にて上記AlSi層12をエッチングした。この第2実施形態では、上記選択比を1.5と設定したので、図4(D)に示すように、上記AlSi層12を300nmだけエッチングした時点で、各レジストパターン13a,14aは450nmだけエッチングされて、第1の残存部13a-1,第2の残存部14a-1になる。つまり、図4(D)に示すように、上記AlSi層12に、残存幅が1000nmで厚さが300nmの凸部12fが形成され、第2のレジストパターン14aは、厚さが700nmから250nmに減少して、第2の残存部14a-1となる。また、第1のレジストパターン13aは、厚さが450nmのままで、残存幅が1000nmから800nmに減少して、第1の残存部13a-1となる。したがって、第1の残存部13a-1の残存幅と第2の残存部14a-1の残存幅とは等しい。   In the next etching step, dry etching is performed on the AlSi layer 12 which is the underlying processed layer using the first and second resist patterns 13a and 14a having different remaining widths obtained in the resist pattern forming step as etching masks. . In this dry etching, the AlSi layer 12 was etched under dry etching conditions with a selectivity of 1.5. In the second embodiment, since the selectivity is set to 1.5, as shown in FIG. 4D, when the AlSi layer 12 is etched by 300 nm, each resist pattern 13a, 14a is only 450 nm. The first remaining portion 13a-1 and the second remaining portion 14a-1 are formed by etching. That is, as shown in FIG. 4D, the AlSi layer 12 is provided with a protrusion 12f having a remaining width of 1000 nm and a thickness of 300 nm, and the second resist pattern 14a has a thickness of 700 nm to 250 nm. Decrease to become the second remaining portion 14a-1. Further, the first resist pattern 13a remains at a thickness of 450 nm, and the remaining width decreases from 1000 nm to 800 nm to become the first remaining portion 13a-1. Therefore, the remaining width of the first remaining portion 13a-1 is equal to the remaining width of the second remaining portion 14a-1.

したがって、その後のエッチングでは、第1,第2の残存部13a-1,14a-1をマスクとして、凸部12fを有するAlSi層12がエッチングされる。すなわち、図4(E)に示すように、実質的に、第2のレジストパターン14aをエッチングマスクとして、AlSi層12のエッチングが進行する。   Therefore, in the subsequent etching, the AlSi layer 12 having the convex portion 12f is etched using the first and second remaining portions 13a-1 and 14a-1 as a mask. That is, as shown in FIG. 4E, the etching of the AlSi layer 12 proceeds substantially using the second resist pattern 14a as an etching mask.

このように、上記エッチングの進行にしたがって、初めに、第1のレジストパターン13aの断面形状をAlSi層12に転写して、図4(D)に示すように、AlSi層12に凸部12fが形成され、次に、第1,第2の残存部13a-1,14a-1をマスクとして、AlSi層12がさらにエッチングされる。これにより、図1(E)に示すように、AlSi層12に、下層の広残部12a-1とこの広残部12a-1よりも残存幅が狭い狭残部12a-2が形成される。これにより、上記ドライエッチングが完了する。   As described above, as the etching proceeds, first, the cross-sectional shape of the first resist pattern 13a is transferred to the AlSi layer 12, and as shown in FIG. Then, the AlSi layer 12 is further etched using the first and second remaining portions 13a-1 and 14a-1 as a mask. As a result, as shown in FIG. 1 (E), the lower remaining portion 12 a-1 and the narrow remaining portion 12 a-2 having a smaller remaining width than the wide remaining portion 12 a-1 are formed in the AlSi layer 12. Thereby, the dry etching is completed.

ここで、上記広残部12a-1は、残存幅が1000nmで厚さが300nmであり、狭残部12a-2は、残存幅が800nmで厚さが300nmである。また、広残部12a-1の中心軸J2と狭残部12a-2の中心軸J2とは略一致している。   Here, the wide remaining portion 12a-1 has a remaining width of 1000 nm and a thickness of 300 nm, and the narrow remaining portion 12a-2 has a remaining width of 800 nm and a thickness of 300 nm. Further, the central axis J2 of the wide remaining portion 12a-1 and the central axis J2 of the narrow remaining portion 12a-2 substantially coincide with each other.

そして、AlSi層12の狭残部12a-2上に残った第1の残存部13a-1を除去して、図4(F)に示すように、第1,第2のレジストパターン13a,14aによる第1,第2の残存部13a-1,14a-1の残存形状を反映した広残部12a-1と狭残部12a-2とからなる残存部としてメタル配線12aを形成できる。つまり、図4(F)に示すように、下部の配線幅よりも上部の配線幅が狭いメタル配線12aを形成できる。   Then, the first remaining portion 13a-1 remaining on the narrow remaining portion 12a-2 of the AlSi layer 12 is removed, and as shown in FIG. 4F, the first and second resist patterns 13a and 14a are used. The metal wiring 12a can be formed as a remaining portion composed of the wide remaining portion 12a-1 and the narrow remaining portion 12a-2 reflecting the remaining shapes of the first and second remaining portions 13a-1, 14a-1. That is, as shown in FIG. 4F, a metal wiring 12a having an upper wiring width narrower than a lower wiring width can be formed.

この後、図4(G)に示すように、メタル配線12a上に層間絶縁膜16を形成する。ここで、このメタル配線12aは、下から上に向かって配線幅が狭くなっているから、層間絶縁膜16の段差被覆性を良好にできるメタル配線12aとなる。つまり、メタル配線12aによれば、下から上に向かってストレート形状であるメタル配線に比べて、層間絶縁膜16に発生するストレスを緩和できる。   Thereafter, as shown in FIG. 4G, an interlayer insulating film 16 is formed on the metal wiring 12a. Here, since the wiring width of the metal wiring 12a becomes narrower from the bottom to the top, the metal wiring 12a becomes a metal wiring 12a that can improve the step coverage of the interlayer insulating film 16. That is, according to the metal wiring 12a, stress generated in the interlayer insulating film 16 can be reduced as compared with the metal wiring having a straight shape from the bottom to the top.

また、図4(G)に示すように、層間絶縁膜16の急峻な段差変化が緩和されることで、さらに上層として形成されるメタル配線17の断線不良を防止することができる。   Further, as shown in FIG. 4G, the steep step change in the interlayer insulating film 16 is alleviated, so that disconnection failure of the metal wiring 17 formed as an upper layer can be prevented.

なお、上記第1、第2の実施形態では、第1,第2の2つのレジストパターン3a,4a、13a,14aを形成したが、露光感度が異なる3つ以上のレジスト層による3つ以上のレジストパターンを形成してもよい。もっとも、レジスト層の層数が3層を上回ると、第1実施形態において述べたように、層厚制御性の低下や工程の複雑化を招くので、レジスト層の層数は3層以下が好ましい。   In the first and second embodiments, the first and second resist patterns 3a, 4a, 13a, and 14a are formed. However, three or more resist layers having different exposure sensitivities are used. A resist pattern may be formed. However, when the number of resist layers exceeds three, as described in the first embodiment, the layer thickness controllability is reduced and the process becomes complicated. Therefore, the number of resist layers is preferably three or less. .

図1(A)〜図1(G)は、この発明の半導体装置の製造方法の第1実施形態の工程を順に示す断面図である。1A to 1G are cross-sectional views sequentially showing steps of the first embodiment of the method for manufacturing a semiconductor device of the present invention. 上記第1実施形態におけるコンタクト孔2aの断面形状の制御を説明する断面図である。It is sectional drawing explaining control of the cross-sectional shape of the contact hole 2a in the said 1st Embodiment. 上記第1実施形態の比較例を示す断面図である。It is sectional drawing which shows the comparative example of the said 1st Embodiment. 図4(A)〜図4(G)は、この発明の半導体装置の製造方法の第2実施形態の工程を順に示す断面図である。4 (A) to 4 (G) are cross-sectional views sequentially showing the steps of the second embodiment of the method for manufacturing a semiconductor device of the present invention. 上記第1実施形態における第1のレジストの露光特性K2と第2のレジストの露光特性K1を示す特性図である。It is a characteristic view which shows the exposure characteristic K2 of the 1st resist and the exposure characteristic K1 of the 2nd resist in the said 1st Embodiment. 上記第2実施形態における第1のレジストの露光特性L1と第2のレジストの露光特性L2を示す特性図である。It is a characteristic view which shows the exposure characteristic L1 of the 1st resist in the said 2nd Embodiment, and the exposure characteristic L2 of the 2nd resist. 図7(A)〜図7(F)は第1の従来例の半導体装置の製造方法を順に説明する断面図である。7A to 7F are cross-sectional views for sequentially explaining a method for manufacturing a semiconductor device of the first conventional example. 図8(A)〜図8(F)は第2の従来例の半導体装置の製造方法を順に説明する断面図である。FIG. 8A to FIG. 8F are cross-sectional views for sequentially explaining a second conventional semiconductor device manufacturing method. 図9(A)〜図9(G)は第3の従来例の半導体装置の製造方法を順に説明する断面図である。9 (A) to 9 (G) are cross-sectional views for sequentially explaining a method of manufacturing a semiconductor device of a third conventional example.

符号の説明Explanation of symbols

1 半導体基板
2 SiO
2a コンタクト孔
2a-0 凹部
2a-1 広口部
2a-2 狭口部
2b 最上層
3 第1のレジスト層
3a 第1のレジストパターン
3a-1 第1の開口部
3a-2 開口部
4 第2のレジスト層
4a 第2のレジストパターン
4a-1 第2の開口部
5 マスク
5a マスクパターン
6 メタル配線
11 層間絶縁膜
12 AlSi膜
12a メタル配線
12-1 広残部
12-2 狭残部
13 第1のレジスト層
13a 第1のレジストパターン
13a-1 第1の残存部
14 第2のレジスト層
14a 第2のレジストパターン
14a-1 第2の残存部
15 マスク
16 層間絶縁膜
17 メタル配線
1 semiconductor substrate 2 SiO 2 film 2a contact hole 2a-0 recess 2a-1 wide opening 2a-2 narrow-mouthed portions 2b top layer 3 first resist layer 3a first resist pattern 3a-1 first opening 3a- 2 opening 4 second resist layer 4a second resist pattern 4a-1 second opening 5 mask 5a mask pattern 6 metal wiring 11 interlayer insulating film 12 AlSi film 12a metal wiring 12-1 wide remainder 12-2 narrow Remainder 13 First resist layer 13a First resist pattern 13a-1 First remaining portion 14 Second resist layer 14a Second resist pattern 14a-1 Second remaining portion 15 Mask 16 Interlayer insulating film 17 Metal wiring

Claims (9)

露光感度が異なる複数のレジスト層を下地被加工層上に積層塗布するレジスト塗布工程と、
上記複数のレジスト層に対して露光と現像を行なうことによって、少なくとも、第1の開口部または第1の残存部を含む第1のレジストパターンと、上記第1の開口部の開口幅よりも広い開口幅を有する第2の開口部または上記第1の残存部の残存幅よりも狭い残存幅を有する第2の残存部を含む第2のレジストパターンとを形成するレジストパターン形成工程と、
少なくとも上記第1、第2のレジストパターンをマスクとして、上記第1、第2のレジストパターンの形状を反映するように、上記下地被加工層をエッチングすることによって、上記下地被加工層に、狭口部と上記狭口部に連なり上記狭口部の口幅よりも口幅が広い広口部とを含む開口部、または、狭残部と上記狭残部に連なり上記狭残部の残存幅よりも残存幅が広い広残部とを含む残存部を形成するエッチング工程とを備えることを特徴とする半導体装置の製造方法。
A resist coating step of laminating and coating a plurality of resist layers with different exposure sensitivities on the underlying work layer;
By performing exposure and development on the plurality of resist layers, at least the first resist pattern including the first opening or the first remaining portion and the opening width of the first opening are wider. A resist pattern forming step of forming a second resist pattern including a second opening having an opening width or a second remaining portion having a remaining width narrower than a remaining width of the first remaining portion;
Using the first and second resist patterns as a mask, the base processed layer is etched so as to reflect the shapes of the first and second resist patterns, thereby narrowing the base processed layer. An opening including a wide opening having a width wider than the opening of the narrow opening and the opening extending from the narrow opening to the narrow opening, or a remaining width larger than the remaining width of the narrow remaining opening extending from the narrow remaining portion to the narrow remaining portion. And an etching process for forming a remaining portion including a wide remaining portion.
請求項1に記載の半導体装置の製造方法において、
上記エッチング工程における上記エッチングによる複数の上記レジストパターンのエッチング速度と上記下地被加工層のエッチング速度との比率と、上記下地被加工層に形成すべき断面形状とに基づいて、上記レジスト塗布工程において上記下地被加工層上に塗布する複数のレジスト層の層厚を決定することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
In the resist coating step, based on the ratio of the etching rate of the plurality of resist patterns by the etching in the etching step and the etching rate of the base processing layer and the cross-sectional shape to be formed in the base processing layer A method of manufacturing a semiconductor device, comprising: determining a thickness of a plurality of resist layers applied on the base work layer.
請求項1または2に記載の半導体装置の製造方法において、
上記レジスト塗布工程では、
下層のレジスト層から上層のレジスト層に向かって露光感度が高くなるように、上記複数のレジスト層を塗布することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1 or 2,
In the resist coating process,
A method of manufacturing a semiconductor device, comprising applying the plurality of resist layers so that exposure sensitivity increases from a lower resist layer toward an upper resist layer.
請求項1に記載の半導体装置の製造方法において、
上記レジスト塗布工程では、
露光感度が異なる2層または3層のレジスト層を下地被加工層上に塗布することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
In the resist coating process,
A method of manufacturing a semiconductor device, comprising: applying two or three resist layers having different exposure sensitivities on an underlying work layer.
請求項1に記載の半導体装置の製造方法において、
上記エッチング工程によって上記下地被加工層に形成する上記狭口部と広口部を含む各口部の厚さ、または、上記エッチング工程によって上記下地被加工層に形成する上記狭残部と広残部とを含む各残部の厚さを等しくすることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The thickness of each mouth portion including the narrow mouth portion and the wide mouth portion formed in the underlying processed layer by the etching step, or the narrow remaining portion and the wide remaining portion formed in the underlying processed layer by the etching step. A method for manufacturing a semiconductor device, characterized in that the thickness of each remaining portion is equal.
請求項1に記載の半導体装置の製造方法において、
上記レジスト塗布工程で上記下地被加工層上に塗布する複数のレジスト層の層厚の合計値を、上記エッチング工程で上記エッチングによって各レジストパターンの厚さが減少する値の合計値よりも大きくすることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The total value of the thicknesses of the plurality of resist layers applied on the underlying work layer in the resist coating process is set to be larger than the total value of the values in which the thickness of each resist pattern is reduced by the etching in the etching process. A method for manufacturing a semiconductor device.
請求項1に記載の半導体装置の製造方法において、
上記エッチング工程における上記エッチングでは、各レジストパターンのエッチング速度が、上記下地被加工層のエッチング速度に対して、2分の1乃至2分の3であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
In the etching in the etching step, the etching rate of each resist pattern is 1/2 to 3/2 of the etching rate of the underlying work layer.
請求項1に記載の半導体装置の製造方法において、
上記下地被加工層は絶縁膜であり、
上記エッチング工程における上記エッチングによって、上記下地被加工層に上記開口部からなるコンタクト孔を形成することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The base work layer is an insulating film,
A method of manufacturing a semiconductor device, wherein a contact hole including the opening is formed in the base processed layer by the etching in the etching step.
請求項1に記載の半導体装置の製造方法において、
上記下地被加工層はメタル配線材料からなり、上記エッチング工程における上記エッチングによって、上記下地被加工層を上記残存部からなるメタル配線に加工することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein the underlying processed layer is made of a metal wiring material, and the underlying processed layer is processed into a metal wiring including the remaining portion by the etching in the etching step.
JP2004019868A 2004-01-28 2004-01-28 Method for manufacturing semiconductor device Pending JP2005217023A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004019868A JP2005217023A (en) 2004-01-28 2004-01-28 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004019868A JP2005217023A (en) 2004-01-28 2004-01-28 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2005217023A true JP2005217023A (en) 2005-08-11

Family

ID=34903960

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004019868A Pending JP2005217023A (en) 2004-01-28 2004-01-28 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2005217023A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007173826A (en) * 2005-12-24 2007-07-05 Internatl Business Mach Corp <Ibm> Method of fabricating dual damascene structure
JP2016192522A (en) * 2015-03-31 2016-11-10 大日本印刷株式会社 Manufacturing method of imprint mold
CN114269076A (en) * 2021-12-22 2022-04-01 无锡天杨电子有限公司 Etching method for two-step pattern of thick-coated copper ceramic substrate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007173826A (en) * 2005-12-24 2007-07-05 Internatl Business Mach Corp <Ibm> Method of fabricating dual damascene structure
JP2016192522A (en) * 2015-03-31 2016-11-10 大日本印刷株式会社 Manufacturing method of imprint mold
CN114269076A (en) * 2021-12-22 2022-04-01 无锡天杨电子有限公司 Etching method for two-step pattern of thick-coated copper ceramic substrate
CN114269076B (en) * 2021-12-22 2024-04-09 无锡天杨电子有限公司 Etching method of second step pattern of thick copper-clad ceramic substrate

Similar Documents

Publication Publication Date Title
TWI657503B (en) Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9373580B2 (en) Dual hard mask lithography process
JPH0645327A (en) Semiconductor device and manufacture thereof
JP3839452B2 (en) Method for manufacturing metal structure
US9412612B2 (en) Method of forming semiconductor device
JP2005217023A (en) Method for manufacturing semiconductor device
JPH0669351A (en) Manufacture of contact of multilayer metal interconnection structure
JP4068190B2 (en) Multilayer wiring forming method for semiconductor device
JPH0969560A (en) Semiconductor device and fabrication thereof
JPH0797581B2 (en) Method for manufacturing semiconductor device
JP2797854B2 (en) Method for forming contact hole in semiconductor device
JP2003005345A (en) Mask pattern design method
TWI497784B (en) Magnetic sensing apparatus and manufacturing method thereof
JP2009267215A (en) Method for manufacturing semiconductor device
JP2006148003A (en) Manufacturing method of semiconductor device and semiconductor device
JPH0621240A (en) Wiring connecting structure of semiconductor device and manufacture thereof
JP2000040739A (en) Manufacture of semiconductor device
KR100576414B1 (en) Method for manufacturing landing via of semiconductor
JP2555879B2 (en) Method for manufacturing semiconductor device
US20120064723A1 (en) Method for fabricating semiconductor device using dual damascene process
JPH04338630A (en) Method for manufacture of semiconductor device
TWI291737B (en) Method of forming via
JPH10270443A (en) Manufacture of semiconductor and semiconductor device
JPH07106419A (en) Fabrication of semiconductor device
JP2008089817A (en) Photomask and method for forming wiring pattern of semiconductor element using same