JP2005060817A - Method for forming inorganic thin film - Google Patents

Method for forming inorganic thin film Download PDF

Info

Publication number
JP2005060817A
JP2005060817A JP2003406180A JP2003406180A JP2005060817A JP 2005060817 A JP2005060817 A JP 2005060817A JP 2003406180 A JP2003406180 A JP 2003406180A JP 2003406180 A JP2003406180 A JP 2003406180A JP 2005060817 A JP2005060817 A JP 2005060817A
Authority
JP
Japan
Prior art keywords
substrate
forming
base material
thin film
inorganic thin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2003406180A
Other languages
Japanese (ja)
Inventor
Hiroshi Tada
博士 多田
Toshiaki Tanaka
俊章 田中
Hide Nakamura
秀 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sekisui Chemical Co Ltd
Original Assignee
Sekisui Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sekisui Chemical Co Ltd filed Critical Sekisui Chemical Co Ltd
Priority to JP2003406180A priority Critical patent/JP2005060817A/en
Publication of JP2005060817A publication Critical patent/JP2005060817A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for forming an inorganic thin film, even on a substrate such as a plastic film having a low heat-resistance. <P>SOLUTION: Near the surface of the moisture-containing substrate, a gas component comprising a hydrolyzable metal compound is reacted with steam emitted from the substrate to form a metal oxide layer on the surface of the substrate in a state that the surface temperature of the substrate is not higher than the temperature of the above metal compound. This enables stable formation of the inorganic thin film, even on the surface of the substrate having a low heat-resistance. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、プラスチックフィルムなどの基材上に、例えば光学機能、光触媒機能、ハードコート機能、ガスバリア性機能あるいは導電性機能などの機能を付与する無機薄膜を形成するのに好適な無機薄膜成膜方法に関する。   INDUSTRIAL APPLICABILITY The present invention provides an inorganic thin film film suitable for forming an inorganic thin film that imparts functions such as an optical function, a photocatalytic function, a hard coat function, a gas barrier function, or a conductive function on a substrate such as a plastic film. Regarding the method.

従来より、基材表面に金属酸化物膜を形成させる方法としては、溶液を用いるゾルゲル法、気相反応による成膜法であるCVD法、蒸着法、スパッタ法などが知られている。しかしながら、ゾルゲル法では、成膜した後において、膜中の不純物を除去するために高温の熱処理が必要であり、使用できる基材が限定されるといった問題点がある。また、蒸着法及びスパッタ法は真空系の成膜法であるため、使用する成膜装置が処理チャンバーや真空ポンプ等の大型設備及び高度減圧下での煩雑な作業を要するといった問題点がある。   Conventionally, as a method for forming a metal oxide film on a substrate surface, a sol-gel method using a solution, a CVD method which is a film formation method by a gas phase reaction, a vapor deposition method, a sputtering method, or the like is known. However, the sol-gel method has a problem that, after film formation, high-temperature heat treatment is necessary to remove impurities in the film, and usable substrates are limited. Further, since the vapor deposition method and the sputtering method are vacuum film forming methods, there is a problem that a film forming apparatus to be used requires a large facility such as a processing chamber and a vacuum pump and a complicated operation under a high pressure reduction.

このような真空系設備等の問題を排除した金属酸化物薄膜の成膜法として、大気開放型CVD法が着目されている。   Atmospheric open-type CVD has attracted attention as a method for forming a metal oxide thin film that eliminates such problems of vacuum equipment and the like.

大気開放型CVD法は、加水分解性のある金属化合物を含む原料ガスをノズルから大気中に放出し、金属化合物が大気中の水分と反応することによって、高温に加熱された基材表面に金属酸化物膜を形成する方法である。このような成膜方法における成膜速度は水分量すなわち大気中の湿度に依存するため、常に一定条件で生産を行うことができない。   In the open air CVD method, a raw material gas containing a hydrolyzable metal compound is discharged from a nozzle into the atmosphere, and the metal compound reacts with moisture in the atmosphere, so that the metal is heated on the surface of the substrate heated to a high temperature. This is a method of forming an oxide film. Since the film forming speed in such a film forming method depends on the amount of moisture, that is, humidity in the atmosphere, production cannot always be performed under a constant condition.

そこで、原料ガスを湿度コントロールされた環境中に放出する方法、もしくはノズルに湿度をコントロールする機能を持たせる方法などによって、一定条件で同一性能の金属酸化物薄膜を連続して成膜する試みがなされている(例えば、特許文献1参照。)。
特開2000−38671号公報
Therefore, attempts have been made to continuously form metal oxide thin films with the same performance under certain conditions, such as by releasing the source gas into a humidity-controlled environment or by providing a nozzle with a function to control humidity. (For example, refer to Patent Document 1).
JP 2000-38671 A

しかしながら、前記した従来の技術のように、原料ガスが放出される環境の湿度をコントロールする場合、微量の水分量の違いにより原料の反応性が大きく異なるため、原料ガスが放出されると同時に、原料が水分と過剰な気相反応を起こし微粉化してしまうことがあり、常に一定条件で同一性能を有する金属酸化物薄膜を得ることは困難である。   However, when controlling the humidity of the environment in which the raw material gas is released as in the conventional technique described above, the reactivity of the raw material is greatly different due to a difference in the amount of moisture, so that the raw material gas is released at the same time. Since the raw material may cause an excessive gas phase reaction with moisture to be pulverized, it is difficult to always obtain a metal oxide thin film having the same performance under a certain condition.

本発明はこのような問題点に鑑みてなされたものであり、原料と水分との反応により微粉化させることなく基材上に無機薄膜を安定して形成することが可能であり、しかも、プラスチックフィルムなどの耐熱性の低い基材に無機薄膜を形成することが可能な無機薄膜成膜方法の提供を目的とする。   The present invention has been made in view of such problems, and it is possible to stably form an inorganic thin film on a base material without causing pulverization by reaction between raw materials and moisture, and plastic. An object of the present invention is to provide an inorganic thin film forming method capable of forming an inorganic thin film on a substrate having low heat resistance such as a film.

本発明の無機薄膜成膜方法は、加水分解性を有する金属化合物からなるガス成分を、水分を含有させた基材の表面近傍において、基材から発生する水蒸気と反応させることにより、基材の表面温度が前記金属化合物の熱分解温度以下の状態で前記基材表面上に金属酸化物層を形成することによって特徴づけられる。   In the inorganic thin film forming method of the present invention, a gas component composed of a hydrolyzable metal compound is reacted with water vapor generated from a substrate in the vicinity of the surface of the substrate containing moisture, thereby It is characterized by forming a metal oxide layer on the substrate surface in a state where the surface temperature is not higher than the thermal decomposition temperature of the metal compound.

本発明の無機薄膜成膜方法において、底面100mm×100mm、高さ50mmのステンレス製箱型の容器を用い、100mm×100mmの基材を、N2ガスにて0.3MPaに加圧した状態の前記容器の底面に固定した状態で、薄膜成膜時の基材温度で基材裏
面を1分間保持した後、前記容器内部の水分濃度を測定する水分検知法にて測定した水分検知量が、20mg/m3以上となる量の水分を含有させた基材を成膜に使用することが好ましい。
In the inorganic thin film forming method of the present invention, a stainless steel box-shaped container having a bottom surface of 100 mm × 100 mm and a height of 50 mm was used, and a substrate of 100 mm × 100 mm was pressurized to 0.3 MPa with N 2 gas. The moisture detection amount measured by the moisture detection method for measuring the moisture concentration inside the container after holding the substrate back surface for 1 minute at the substrate temperature at the time of thin film formation while being fixed to the bottom surface of the container, It is preferable to use a substrate containing water in an amount of 20 mg / m 3 or more for film formation.

また、前記金属化合物については、常圧,150℃において1Pa以上の蒸気圧を有する金属化合物を用いることが好ましい。   Moreover, about the said metal compound, it is preferable to use the metal compound which has a vapor pressure of 1 Pa or more at normal pressure and 150 degreeC.

本発明の成膜方法において、前記基材表面上に金属酸化物層を形成した後、これに連続して基材の熱処理を行うことが好ましい。   In the film forming method of the present invention, it is preferable that after the metal oxide layer is formed on the surface of the base material, the base material is heat-treated continuously.

本発明の成膜方法において、前記基材表面上に金属酸化物層を形成した後、これに連続して、基材の金属酸化物層の形成面に絶対湿度15g/m3以上の水蒸気を含んだ空気を吹き付ける処理と、前記基材の熱処理を順次行うことが好ましい。 In the film forming method of the present invention, after the metal oxide layer is formed on the surface of the base material, water vapor having an absolute humidity of 15 g / m 3 or more is continuously formed on the surface of the base material on which the metal oxide layer is formed. It is preferable to sequentially perform the treatment of blowing the contained air and the heat treatment of the substrate.

次に、本発明を詳細に説明する。   Next, the present invention will be described in detail.

まず、本発明は、加水分解性を有する金属化合物からなるガス成分を、水分を含有させた基材の表面近傍において、基材から発生する水蒸気と反応させることにより、表面温度が金属化合物の熱分解温度以下の基材表面上に金属酸化物層(無機薄膜)を形成することを特徴とする。   First, according to the present invention, a gas component composed of a hydrolyzable metal compound is reacted with water vapor generated from the base material in the vicinity of the surface of the base material containing moisture so that the surface temperature is the heat of the metal compound. A metal oxide layer (inorganic thin film) is formed on the surface of the base material having a decomposition temperature or lower.

上記加水分解性を有する金属化合物は、水分に対してより活性なものが好ましく、20mg/m3以上の水分を含む環境中に1g滴下したときに、水分と反応して白煙を生じる程度の加水分解性を有する化合物が特に好ましい。具体的には、例えば、金属アルコキシド、金属アセチル化物、金属錯体、金属ハロゲン化物、金属アルキル化物、金属アミノ化物またはそれらの複合化物が挙げられる。また、上記金属としては、チタニウム、ジルコニウム、アルミニウム、錫、インジウム、タンタル、亜鉛、ハフニウム、セリウム、ニオブ、イットリウムなどが好ましい。 The hydrolyzable metal compound is preferably more active with respect to moisture. When 1 g is dropped into an environment containing 20 mg / m 3 or more of moisture, it reacts with moisture to produce white smoke. A compound having hydrolyzability is particularly preferred. Specific examples include metal alkoxides, metal acetylates, metal complexes, metal halides, metal alkylates, metal aminations, and composites thereof. Moreover, as said metal, titanium, zirconium, aluminum, tin, indium, tantalum, zinc, hafnium, cerium, niobium, yttrium, etc. are preferable.

上記金属がチタニウムである場合、例えば、テトラメトキシチタニウム、テトラエトキシチタニウム、テトラノルマルプロポキシチタニウム、テトライソプロポキシチタニウム、テトラノルマルブトキシチタニウム、テトライソブトキシチタニウム、テトラセカンダリーブトキシチタニウム、テトラターシャリーブトキシチタニウム、テトラキス(1−メトキシ−2−メチル−2−プロポキシ)チタニウム等の金属アルコキシド;ジ(イソプロキシ)ビス(ジアセチルアセトネート)チタニウム、ジ(ノルマルブトキシ)ビス(ジアセチルアセトネート)チタニウム等の金属アセチル化物;ジ(イソプロポキシ)ビス(ジピロバイルメタネート)チタニウム等の金属錯体;四塩化チタニウム、四臭化チタニウム等の金属ハロゲン化物;シクロペンタジエニルシクロオクタテトラエニルチタニウム、ジシクロペンタジエニルビスジメチルアミノチタニウム等の金属アルキル化物;テトラキスジメチルアミノチタニウム、テトラジエチルアミノチタニウム等の金属アミノ化物などが挙げられる。   When the metal is titanium, for example, tetramethoxytitanium, tetraethoxytitanium, tetranormalpropoxytitanium, tetraisopropoxytitanium, tetranormalbutoxytitanium, tetraisobutoxytitanium, tetrasecondarybutoxytitanium, tetratertiarybutoxytitanium, tetrakis Metal alkoxides such as (1-methoxy-2-methyl-2-propoxy) titanium; metal acetylates such as di (isoproxy) bis (diacetylacetonate) titanium and di (normalbutoxy) bis (diacetylacetonate) titanium; Metal complexes such as di (isopropoxy) bis (dipyrobaylmethanate) titanium; metal halides such as titanium tetrachloride and titanium tetrabromide; Emissions Taj enyl cyclooctatetraenyl titanium, metal alkyl halides, such as dicyclopentadienyl bis dimethylamino titanium; tetrakis (dimethylamino) titanium, and metal amino compound such as tetra diethylamino titanium and the like.

上記金属がジルコニウムである場合、例えば、テトラエトキシジルコニウム、テトライソプロポキシジルコニウム、テトラノルマルブトキシジルコニウム、テトラセカンダリーブトキシジルコニウム、テトラターシャリーブトキシジルコニウム、テトラキス(1−メトキシ−2−メチル−2−プロポキシ)ジルコニウム等の金属アルコキシド;テトラキスアセチルアセトネートジルコニウム、テトラキスヘキサフルオロアセチルアセトネートジルコニウム等の金属アセチル化物;テトラキスジピバロイルメタネートジルコニウム、テトラキス(2,6−ジメチル−3,5−ヘプタンジオネート)ジルコニウム、(イソプロポキシ)トリス(ジピバロイルメタネート)ジルコニウム等の金属錯体;ジルコニウムテ
トラボロンハイドライド等の金属ハロゲン化物;テトラキスジメチルアミノジルコニウム、テトラキスジエチルアミノジルコニウム等の金属アミノ化物などが挙げられる。
When the metal is zirconium, for example, tetraethoxyzirconium, tetraisopropoxyzirconium, tetranormalbutoxyzirconium, tetrasecondarybutoxyzirconium, tetratertiarybutoxyzirconium, tetrakis (1-methoxy-2-methyl-2-propoxy) zirconium Metal alkoxides such as tetrakisacetylacetonate zirconium, tetrakishexafluoroacetylacetonate zirconium, etc .; tetrakisdipivaloylmethanate zirconium, tetrakis (2,6-dimethyl-3,5-heptanedionate) zirconium , Metal complexes such as (isopropoxy) tris (dipivaloylmethanate) zirconium; gold such as zirconium tetraboron hydride Halides; tetrakis (dimethylamino) zirconium, and metal amino compound such as tetrakis (diethylamino) zirconium and the like.

上記金属化合物を水分と反応させて得られる金属酸化物としては、酸化ジルコニウム、酸化チタニウム、酸化アルミニウム、酸化錫、酸化インジウム、酸化インジウム錫、酸化タンタル、酸化亜鉛、酸化ハフニウム、酸化セリウム、酸化ニオブ、酸化イットリウムなどが挙げられる。   Metal oxides obtained by reacting the above metal compounds with moisture include zirconium oxide, titanium oxide, aluminum oxide, tin oxide, indium oxide, indium tin oxide, tantalum oxide, zinc oxide, hafnium oxide, cerium oxide, niobium oxide. And yttrium oxide.

本発明に用いる、加水分解性を有する金属化合物からなるガス成分とは、金属化合物とそれを希釈するキャリアガスとからなるガス成分であり、キャリアガスには上記金属化合物と反応しないガスが用いられる。具体的なキャリアガスとしては、例えば、窒素、ヘリウム、ネオン、アルゴン、クリプトン、キセノン、酸素、二酸化炭素などが挙げられる。これらは単独で使用してもよいし、2種類以上併用してもよい。   The gas component composed of a hydrolyzable metal compound used in the present invention is a gas component composed of a metal compound and a carrier gas that dilutes it, and a gas that does not react with the metal compound is used as the carrier gas. . Specific examples of the carrier gas include nitrogen, helium, neon, argon, krypton, xenon, oxygen, carbon dioxide and the like. These may be used alone or in combination of two or more.

上記原料ガス中の金属化合物の混合比は、0.01〜20体積%の範囲内であることが好ましい。金属化合物の混合比が20%を越えると、金属化合物量が多くなりすぎて、水分と気相中での反応が進行した際に粉化してしまう恐れがある。また、金属化合物の混合比が0.01%未満であると、金属化合物量が少なくなりすぎて、基材上での成膜化が進行し難くなる恐れがある。   The mixing ratio of the metal compound in the raw material gas is preferably in the range of 0.01 to 20% by volume. When the mixing ratio of the metal compound exceeds 20%, the amount of the metal compound is excessively increased, and there is a possibility that the powder is pulverized when the reaction between moisture and the gas phase proceeds. In addition, when the mixing ratio of the metal compound is less than 0.01%, the amount of the metal compound becomes too small, and there is a possibility that film formation on the substrate does not proceed easily.

本発明に無機薄膜を成膜する基材は、特に限定されるものではないが、例えば、プラスチック、シリコンウェハー、ガラス基板などが挙げられる。   Although the base material which forms an inorganic thin film in this invention is not specifically limited, For example, a plastic, a silicon wafer, a glass substrate etc. are mentioned.

プラスチックの場合、ポリエチレン、ポリプロピレン、ポリスチレン、ポリカーボネート、ポリエチレンテレフタレート、ポリエチレンナフタレート、ポリエチルメタアクリレート、ポリテトラフルオロエチレン、ノルボルネン、ポリ塩化ビニル、ポリ塩化ビニリデン、ポリビニルアルコール、ポリエーテルケトン、ポリイミド、ポリアミド、アクリル樹脂、ジアセチルセルロース、トリアセチルセルロース、再生セルロース、アルカリ処理されたトリアセチルセルロースなどが挙げられる。これらの形状としては、板状、フィルム状などのものが挙げられるが、特に限定されるものではない。   For plastics, polyethylene, polypropylene, polystyrene, polycarbonate, polyethylene terephthalate, polyethylene naphthalate, polyethyl methacrylate, polytetrafluoroethylene, norbornene, polyvinyl chloride, polyvinylidene chloride, polyvinyl alcohol, polyether ketone, polyimide, polyamide, Examples include acrylic resin, diacetyl cellulose, triacetyl cellulose, regenerated cellulose, and alkali-treated triacetyl cellulose. Examples of these shapes include a plate shape and a film shape, but are not particularly limited.

また、これらのプラスチック基材の成膜裏面にはハードコート、プロテクトフィルム、貼り合わせ用の粘着層が適宜施されてもよい。これらの基材の厚さは、特に限定されるものではないが、例えば、30〜200μm程度が好ましい。   In addition, a hard coat, a protective film, and an adhesive layer for bonding may be appropriately provided on the back surface of the plastic substrate. Although the thickness of these base materials is not specifically limited, For example, about 30-200 micrometers is preferable.

また、基材としてプラスチックフィルムを使用する場合、成膜表面にハードコートが塗工されているものが基材に含有させる水分の安定化から望ましい。ハードコートの厚さは、特に限定されるものではないが、3〜20μm程度ある。   Moreover, when using a plastic film as a base material, what coated the hard coat on the film-forming surface is desirable from stabilization of the water | moisture content contained in a base material. The thickness of the hard coat is not particularly limited, but is about 3 to 20 μm.

本発明において、原料である金属酸化物と反応させる水分量は単位時間における成膜膜厚(例えばÅ/分)が小さくなる場合があるため、水分量は20mg/m3以上が好ましい。 In the present invention, the amount of moisture to be reacted with the metal oxide as the raw material may be small in film thickness (for example, Å / min) per unit time, so the amount of moisture is preferably 20 mg / m 3 or more.

上記基材に含有させた水分量の検知は、100mm×100mmの形状にした基材を、N2ガスにより0.3MPaに加圧したステンレス製箱型の容器(底面100mm×100mm、高さ40mm)の底面に固定し、成膜時の基材温度において裏面を1分間保持した後、容器内部の露点を露点計により測定し、露点・水分濃度換算表から水分量に換算する方法を用いて行うことが好ましい。 The amount of water contained in the base material is detected by a stainless steel box container (bottom 100 mm × 100 mm, height 40 mm) obtained by pressurizing a base material having a shape of 100 mm × 100 mm to 0.3 MPa with N 2 gas. ) And holding the back side for 1 minute at the substrate temperature during film formation, and then measuring the dew point inside the container with a dew point meter and converting it to the amount of water from the dew point / water concentration conversion table. Preferably it is done.

上記基材中に含ませる水分量について20mg/m3以上を達成するには、湿度が0.
02〜200g/m3の範囲で制御される環境下に基板を30秒〜24時間保持して調湿を行った後に、成膜に使用するのが好ましい。
In order to achieve 20 mg / m 3 or more with respect to the amount of water contained in the base material, the humidity is set to 0.8.
It is preferable to use the film for film formation after holding the substrate for 30 seconds to 24 hours in an environment controlled in the range of 02 to 200 g / m 3 and adjusting the humidity.

本発明において、金属化合物の成膜時における基材の表面温度は、基材の耐熱性の観点から、使用する機材の耐熱温度を考えて設定する必要があり、一般的には、50〜200℃で成膜を行うことが好ましい。特にプラスチックフィルムである場合、基材の表面温度は50〜90℃程度することが好ましい。   In the present invention, the surface temperature of the base material at the time of film formation of the metal compound needs to be set in consideration of the heat resistance temperature of the equipment to be used from the viewpoint of the heat resistance of the base material. It is preferable to perform film formation at a temperature. In particular, in the case of a plastic film, the surface temperature of the substrate is preferably about 50 to 90 ° C.

本発明の無機薄膜成膜方法を実施する装置は、特に限定されるものではないが、基材搬送部、原料ガスを供給するノズル、及び、原料ガスを排気するノズルからなる装置が好ましい。また、原料ガス供給するノズルと基材搬送部の配置方式としては、平行平板型、円筒対向平板型、球対向平板型、双曲面対向平板型、同軸円筒型構造などが挙げられる。   The apparatus for carrying out the inorganic thin film forming method of the present invention is not particularly limited, but an apparatus comprising a substrate transport unit, a nozzle for supplying a source gas, and a nozzle for exhausting the source gas is preferable. Examples of the arrangement method of the source gas supply nozzle and the base material transport unit include a parallel plate type, a cylindrical opposed flat plate type, a spherical opposed flat plate type, a hyperboloid opposed flat plate type, and a coaxial cylindrical type structure.

具体的な装置としては、例えば、図1に示すように、基材搬送用の平板1及びこの平板1に平行に対向配置された平板2からなる平行平板10と、それら一対の平板1,2間に原料ガスを供給する給気ノズル3と、原料ガスを排気する排気ノズル4とを備えた装置が挙げられる。   As a specific apparatus, for example, as shown in FIG. 1, a parallel plate 10 composed of a flat plate 1 for transporting a substrate and a flat plate 2 disposed opposite to and parallel to the flat plate 1, and a pair of these flat plates 1 and 2. An apparatus having an air supply nozzle 3 for supplying a raw material gas and an exhaust nozzle 4 for exhausting the raw material gas may be mentioned.

また、原料ガスを供給する供給ノズルの構造に関しては、特に限定されるものではないが、例えば、ガス供給方向に対向する斜板を設け、ガス供給通路を次第に狭めて供給ノズル付近に狭窄部を設け、その狭窄部を通過した原料ガスを拡散させると同時に、基材の搬送方向に略平行に混合ガス流を変更させた後、スリット状もしくは多数の小孔が一列に並べられた吹き出し口から成膜空間(例えば平行平板間)に向けて吹き出す方式であってもよい。排気ノズルも供給ノズルと同じ構造であってもよい。各ノズルの材質としては、耐熱性物質であることが求められ、好ましくは、ステンレス鋼、アルミニウムなどが挙げられる。   The structure of the supply nozzle for supplying the raw material gas is not particularly limited. For example, a swash plate facing the gas supply direction is provided, the gas supply passage is gradually narrowed, and a constricted portion is formed near the supply nozzle. After the raw material gas that has passed through the constricted portion is diffused and the mixed gas flow is changed substantially parallel to the transport direction of the base material, the slit-like or many small holes are arranged from a blowout port arranged in a line. A system that blows out toward a film formation space (for example, between parallel plates) may be used. The exhaust nozzle may have the same structure as the supply nozzle. The material of each nozzle is required to be a heat-resistant substance, and preferably stainless steel, aluminum, and the like are used.

原料ガスを供給ノズルから、例えば平行平板間に供給する場合、原料ガスは平行平板内の全域にわたって供給され周囲に漏れのないことが好ましい。また、平行平板内への外気の流入を防ぐことが好ましい。これらを実現する方式は、特に限定されるものではないが、例えば、平行平板のガス及び基材(フィルム)の進入をシールする方式、あるいは、成膜装置周囲を希釈用キャリアガスに用いるものと同様のガスで置換する方式などが挙げられる。   When supplying source gas from a supply nozzle, for example between parallel plates, it is preferable that source gas is supplied over the whole region in a parallel plate, and there is no leak around. Moreover, it is preferable to prevent the inflow of outside air into the parallel plate. A method for realizing these is not particularly limited. For example, a method of sealing parallel plate gas and a base material (film) entry, or a method of using a periphery of a film forming apparatus as a carrier gas for dilution. A method of substituting with the same gas may be used.

原料ガスの供給方式としては、原料である有機金属化合物が室温で液体である場合、例えば図2に示すように、原料供給容器11にて加圧・供給された液体を、液体マスフローコントローラ12に導いて液量を制御した後に、気化器13において加熱・気化し、この加熱・気化したガス(有機金属化合物ガス)と、マスフローコントローラ14にて流量制御したキャリアガスとを混合して原料ガスとし、給気ノズルから供給する方式を挙げることができる。   As a method for supplying the source gas, when the organometallic compound as the source material is a liquid at room temperature, the liquid pressurized and supplied in the source supply container 11 is supplied to the liquid mass flow controller 12 as shown in FIG. After guiding and controlling the amount of liquid, the gas is heated and vaporized in the vaporizer 13, and the heated and vaporized gas (organometallic compound gas) and the carrier gas whose flow rate is controlled by the mass flow controller 14 are mixed to form a raw material gas. A method of supplying from an air supply nozzle can be mentioned.

また、金属化合物が室温で固体の場合は、(1)溶媒で溶液にして、図2の方式で供給する方法、あるいは、(2)金属化合物を加熱し昇華させキャリアガスと混合する手法を挙げることができるが、原料制御の観点からは、(1)の溶液状態での供給方式が好ましい。   Further, when the metal compound is solid at room temperature, (1) a method of supplying a solution with a solvent and supplying the solution by the method shown in FIG. 2 or (2) a method of heating and sublimating the metal compound and mixing with a carrier gas. However, from the viewpoint of raw material control, the supply method in the solution state (1) is preferable.

本発明の無機薄膜成膜方法において、基材表面上に金属酸化物を形成した後に、これに連続して熱処理することにより品質を向上することができる。   In the inorganic thin film forming method of the present invention, the quality can be improved by forming a metal oxide on the surface of the base material and subsequently performing a heat treatment thereon.

連続して熱処理とは、成膜後に速やかに熱処理することであり、5分以内が好ましく、
さらに好ましくは1分以内に熱処理することがよい。金属酸化物形成後の熱処理タイミングについては、連続処理することにより、顕微鏡で確認される成膜後のクラック発生を防止することができる。
The continuous heat treatment means heat treatment immediately after film formation, preferably within 5 minutes,
More preferably, the heat treatment is performed within 1 minute. About the heat processing timing after metal oxide formation, the crack generation after the film-forming confirmed with a microscope can be prevented by carrying out continuous processing.

熱処理温度は、基材温度が成膜時の基材温度以上になるように熱処理することが後処理効果の点で好ましく、基材の耐熱性により最適化が必要である。   The heat treatment temperature is preferably a heat treatment so that the substrate temperature is equal to or higher than the substrate temperature at the time of film formation from the viewpoint of the post-treatment effect, and needs to be optimized depending on the heat resistance of the substrate.

熱処理方法としては、加熱方式として熱風発生機による熱風を用いる方法、遠赤外線や近赤外線による加熱方法、加熱された金属ロールに接触させる方法がある。   As a heat treatment method, there are a method using hot air by a hot air generator as a heating method, a heating method using far infrared rays or near infrared rays, and a method of contacting a heated metal roll.

耐熱性の低い基材の場合、基材裏面を冷却する方式の併用が基材劣化防止の観点から好ましい。冷却方式としては、冷風等を用いた送風、冷却された金属や金属ロールに接触させる冷却等がある。より具体的な熱処理方式としては、(1)基材の金属酸化物形成面を熱風により加熱しながら基材の裏面(金属酸化物形成面の反対側の面)を冷風により冷却する方式、(2)基板の裏面を加熱ロールにより熱処理する方式、(3)金属酸化物形成後の基材を近赤外線により加熱しながら金属酸化物形成面を送風しながら冷却する方式がある。   In the case of a substrate having low heat resistance, the combined use of a method of cooling the back surface of the substrate is preferable from the viewpoint of preventing deterioration of the substrate. As a cooling method, there are air blowing using cold air or the like, cooling to be brought into contact with a cooled metal or a metal roll, and the like. As a more specific heat treatment method, (1) a method of cooling the back surface of the substrate (surface opposite to the metal oxide formation surface) with cold air while heating the metal oxide formation surface of the substrate with hot air, 2) There is a system in which the back surface of the substrate is heat-treated by a heating roll, and (3) a system in which the metal oxide-formed surface is cooled while being blown while heating the base material after forming the metal oxide with near infrared rays.

また、金属酸化物を形成した基材の無機薄膜に、連続して絶対湿度15g/m3以上の水蒸気を含んだ空気を吹き付けて、さらに基材を熱処理することにより、品質をより一層向上させることができる。 Further, by continuously blowing air containing water vapor with an absolute humidity of 15 g / m 3 or more to the inorganic thin film of the base material on which the metal oxide is formed, and further heat-treating the base material, the quality is further improved. be able to.

空気中の水蒸気量として、30℃の相対湿度50%以上の絶対湿度が15g/m3以上で成膜環境より多量の水蒸気を含んだ空気を吹き付け可能であることが好ましい。なお、水蒸気を含んだ空気の湿度は基材の耐熱性により制限されるが成膜温度以上が好ましい。 As the amount of water vapor in the air, it is preferable that an absolute humidity with a relative humidity of 50% or higher at 30 ° C. is 15 g / m 3 or higher and air containing a large amount of water vapor can be sprayed from the film forming environment. The humidity of air containing water vapor is limited by the heat resistance of the substrate, but is preferably equal to or higher than the film formation temperature.

金属酸化物層(無機薄膜層)を成膜した後に連続して実行する水蒸気噴霧は、金属酸化物層成膜後に金属酸化物層内の未反応部分に再度加水分解を促進させ、熱処理により完結することを目的として行う。   The water vapor spray continuously performed after the metal oxide layer (inorganic thin film layer) is formed promotes hydrolysis again in the unreacted portion in the metal oxide layer after the metal oxide layer is formed, and is completed by heat treatment. To do that.

本発明によれば、プラスチックフィルムのような耐熱性の低い基材に対して、基材の耐熱温度以下で無機成膜の成膜が可能となり、基材表面に光学機能、光触媒機能、ハードコート機能、ガスバリア性機能、あるいは導電性機能などの機能を付与することが可能となる。その中でも光学機能については、例えば光透過、反射防止/反射、あるいは選択的反射などの機能付与が可能となり、それらの性能を活かした各種用途製品を提供することができる。   According to the present invention, an inorganic film can be formed on a substrate having low heat resistance, such as a plastic film, at a temperature lower than the heat resistant temperature of the substrate, and an optical function, a photocatalytic function, and a hard coat are formed on the substrate surface. Functions such as a function, a gas barrier function, or a conductive function can be imparted. Among them, with respect to the optical function, for example, functions such as light transmission, antireflection / reflection, or selective reflection can be given, and various application products utilizing these performances can be provided.

本発明は、前記した図1の成膜装置を用い、加水分解性を有する金属化合物からなるガス成分(原料ガス)を、水分を含有させた基材(例えばポリエチレンテレフタレートフィルムプラスチックフィルム等)Sの表面近傍において、基材Sから発生する水蒸気と反応させることにより、基材Sの表面温度を前記金属化合物の熱分解温度以下にした状態で基材Sの表面上に金属酸化物層(例えば酸化チタン膜)を形成することを特徴とする無機薄膜成膜方法である。   The present invention uses the above-described film forming apparatus of FIG. 1 to form a substrate (for example, a polyethylene terephthalate film plastic film or the like) S containing a gas component (raw material gas) made of a hydrolyzable metal compound and containing moisture. In the vicinity of the surface, by reacting with water vapor generated from the substrate S, a metal oxide layer (for example, oxidized) is formed on the surface of the substrate S in a state where the surface temperature of the substrate S is set to be equal to or lower than the thermal decomposition temperature of the metal compound. (Titanium film) is formed.

この無機薄膜成膜方法において、基材Sの表面上に金属酸化物層を形成した後、これに連続して、図3に示すように、基材Sの金属酸化物形成面を熱風ノズル21からの熱風によって加熱しながら、基材Sの裏面を冷風ノズル22からの冷風にて冷却するという熱処理を行うことが好ましい。   In this inorganic thin film forming method, after a metal oxide layer is formed on the surface of the base material S, a metal oxide formation surface of the base material S is formed on the surface of the base material S as shown in FIG. It is preferable to perform a heat treatment in which the back surface of the substrate S is cooled by the cold air from the cold air nozzle 22 while being heated by the hot air from the air.

また、基材Sの表面上に金属酸化物層を形成した後、これに連続して、図4に示すように、基材Sの裏面を加熱ロール23に接触させながら搬送するとともに、基材Sの酸化チタン形成面(金属酸化物面)に温風ノズル24から温風を送風するという熱処理を行うことが好ましい。   Moreover, after forming a metal oxide layer on the surface of the base material S, as shown in FIG. 4, while conveying the back surface of the base material S in contact with the heating roll 23, the base material It is preferable to perform a heat treatment in which hot air is blown from the hot air nozzle 24 onto the titanium oxide forming surface (metal oxide surface) of S.

さらに、基材Sの表面上に金属酸化物層を形成した後、これに連続して、図5に示すように、基材Sの金属酸化物層形成面に絶対湿度15g/m3以上の水蒸気を含んだ空気を加湿ノズル25から吹き付ける処理を行い、次いで、基材Sの金属酸化物形成面を熱風ノズル21からの熱風によって加熱しながら、基材Sの裏面を冷風ノズル22からの冷風にて冷却するという熱処理を行うことが好ましい。 Furthermore, after forming a metal oxide layer on the surface of the substrate S, continuously, as shown in FIG. 5, the surface of the substrate S on which the metal oxide layer is formed has an absolute humidity of 15 g / m 3 or more. The process of spraying air containing water vapor from the humidifying nozzle 25 is performed, and then the back surface of the substrate S is cooled by the cool air from the cold air nozzle 22 while the metal oxide forming surface of the substrate S is heated by the hot air from the hot air nozzle 21. It is preferable to perform a heat treatment of cooling at.

以下、本発明の実施例を比較例とともに説明する。   Examples of the present invention will be described below together with comparative examples.

<実施例1>
図1に示した成膜装置を用いて以下の条件で成膜を行った。
<Example 1>
Film formation was performed under the following conditions using the film formation apparatus shown in FIG.

[装置構成]
図1の成膜装置の平板1と平板2との間隔を5mmに設定するとともに、流れ方向長さを20cmに設定し、平板1の表面温度を70℃、平板2の表面温度を95℃、給気ノズル3及び排気ノズル4の温度をともに120℃に設定した。また、平行平板10内(平板1と平板2との間)に外気が侵入しないように、周囲を窒素ガスにて置換した。
[Device configuration]
1, the distance between the flat plate 1 and the flat plate 2 is set to 5 mm, the length in the flow direction is set to 20 cm, the surface temperature of the flat plate 1 is 70 ° C., the surface temperature of the flat plate 2 is 95 ° C. The temperatures of the supply nozzle 3 and the exhaust nozzle 4 were both set to 120 ° C. Further, the surroundings were replaced with nitrogen gas so that outside air did not enter the parallel plate 10 (between the flat plate 1 and the flat plate 2).

[基材]
厚み75μm、幅1300mmのポリエチレンテレフタレートフィルム(以下、PETフィルムという)上に厚み5μmのアクリル系ハードコート層が塗工されたものを基材Sとし、そのPETフィルムを、25℃,50RH%の環境下に24時間保管し、水分量が30mg/m3検知されたものを成膜に用いた。
[Base material]
The substrate S is made of a polyethylene terephthalate film (hereinafter referred to as “PET film”) having a thickness of 75 μm and a width of 1300 mm, and an acrylic hard coat layer having a thickness of 5 μm is applied thereto. The sample was stored for 24 hours and a water content of 30 mg / m 3 was detected for film formation.

ただし、基材(PETフィルム)の水分検知には、100mm×100mmの形状にした基材を、N2ガスにより0.3MPaに加圧したステンレス製箱型の容器(底面100mm×100mm、高さ40mm)の底面に固定し、成膜時の基材温度において裏面を1分間保持した後、容器内部の露点を露点計により測定し、露点・水分濃度換算表から水分量に換算する方法を用いた。 However, for moisture detection of the base material (PET film), a stainless steel box container (bottom surface 100 mm × 100 mm, height) obtained by pressurizing the base material having a shape of 100 mm × 100 mm to 0.3 MPa with N 2 gas. 40mm), and the back surface is held for 1 minute at the substrate temperature at the time of film formation. Then, the dew point inside the container is measured with a dew point meter, and converted to the amount of water from the dew point / water concentration conversion table. It was.

[条件]
前記PETフィルムを、図1の成膜装置の平板1上に密着させた状態で、走行速度1m/分にてPETフィルムを走行させた。また、給気ノズル3から[アルゴン(水分が10mg/m3未満)]:[テトライソプロポキシチタン(加水分解性の金属化合物)]=100:0.10(体積比)の原料ガスを、平行平板10内(平板1と平板2との間)に100slm導入するとともに、排気ノズル4にて100slmの排気を行って、PETフィルム上に酸化チタン膜(TiO2膜)を成膜することにより試料を作製した。
[conditions]
The PET film was run at a running speed of 1 m / min with the PET film in close contact with the flat plate 1 of the film forming apparatus of FIG. In addition, a feed gas of [Argon (moisture less than 10 mg / m 3 )]: [tetraisopropoxy titanium (hydrolyzable metal compound)] = 100: 0.10 (volume ratio) is supplied in parallel from the supply nozzle 3. 100 slm was introduced into the flat plate 10 (between the flat plate 1 and the flat plate 2), and 100 slm was exhausted by the exhaust nozzle 4 to form a titanium oxide film (TiO 2 film) on the PET film. Was made.

以上の成膜処理で得られた試料について以下の方法で評価を行った。   The sample obtained by the above film forming process was evaluated by the following method.

[光学特性評価方法]
上記成膜処理で得られた試料の光学特性を以下の方法で分析した。
[Optical property evaluation method]
The optical characteristics of the sample obtained by the film forming process were analyzed by the following method.

まず、上記酸化チタンを成膜したPETフィルムを5cm角に切り抜き、裏面を#40
0のサンドペーパーで荒らした後に、黒インクにて約直径2cmの大きさに塗りつぶしてサンプルを作製した。この裏面処理したサンプルについて、波長380〜780nmの反射率スパクトルを分光度計(島津製作所社製、型式「UV−3101PC」)を用いて測定した。この反射率スパクトルを、光学特性計算ソフト(J.A.Woollam社 V.A.S.E.for Windows(R))にて解析して、膜厚、及び、波長550nmにおける屈折率を算出した。さらに、ヘイズメーター(TOKYO DENSHOKU CO.LTD製)を用いてサンプルのヘイズを評価した。
First, the PET film on which the titanium oxide film was formed was cut into a 5 cm square, and the back surface was # 40.
After roughening with 0 sandpaper, the sample was painted with black ink to a size of about 2 cm in diameter. With respect to the back-treated sample, a reflectance spectrum having a wavelength of 380 to 780 nm was measured using a spectrophotometer (manufactured by Shimadzu Corporation, model “UV-3101PC”). This reflectance spectrum was analyzed by optical property calculation software (JA Woollam V.A.S.E. for Windows (R)), and the film thickness and the refractive index at a wavelength of 550 nm were calculated. . Furthermore, the haze of the sample was evaluated using a haze meter (manufactured by TOKYO DENSHOKU CO. LTD).

また、酸化チタンを成膜したPETフィルムをガラス板に粘着剤を用いて貼り付け、90℃の恒温槽に3日(72時間)放置した膜厚を前記分光光度計にて測定し、その測定結果から膜厚変化率を下記の式にて求めた。   Also, a PET film on which titanium oxide was formed was affixed to a glass plate using an adhesive, and the film thickness was measured for 3 days (72 hours) in a constant temperature bath at 90 ° C. and measured with the spectrophotometer. From the results, the film thickness change rate was obtained by the following formula.

膜厚変化率(%)=[1−(経時変化後膜厚/初期膜厚)]×100
以上の各結果を下記の表1に示す。
Film thickness change rate (%) = [1− (film thickness after aging / initial film thickness)] × 100
The above results are shown in Table 1 below.

Figure 2005060817
<実施例2>
実施例1と同じ処理にて基材S(PETフィルム)上に酸化チタン膜を成膜した後、図3に示すように、基材Sの酸化チタン形成面(金属酸化物面)を熱風ノズル21からの熱風によって加熱しながら、基材Sの裏面を冷風ノズル22からの冷風にて冷却するという熱処理を行うことにより試料を作製した。ただし、加熱と冷却の各条件は下記の表2に示す条件とした。
Figure 2005060817
<Example 2>
After forming a titanium oxide film on the substrate S (PET film) by the same treatment as in Example 1, as shown in FIG. 3, the titanium oxide forming surface (metal oxide surface) of the substrate S was used as a hot air nozzle. A sample was prepared by performing a heat treatment in which the back surface of the substrate S was cooled with cold air from a cold air nozzle 22 while being heated with hot air from 21. However, the heating and cooling conditions were as shown in Table 2 below.

Figure 2005060817
以上の処理で得られた試料について実施例1と同じ評価を行った。その評価結果を上記
下表1に示す。
Figure 2005060817
The same evaluation as Example 1 was performed about the sample obtained by the above process. The evaluation results are shown in Table 1 below.

<実施例3>
実施例1と同じ処理にて基材S(PETフィルム)上に酸化チタン膜を成膜した後、図4に示すように、基材Sの裏面を加熱ロール23に接触させながら搬送するとともに、基材Sの酸化チタン形成面(金属酸化物面)に温風ノズル24から温風を送風するという処理を行って試料を作製した。ただし、温風と加熱ロールの各条件は下記の表3及び表4に示す条件とした。
<Example 3>
After forming a titanium oxide film on the substrate S (PET film) by the same treatment as in Example 1, as shown in FIG. 4, while conveying the back surface of the substrate S in contact with the heating roll 23, A sample was prepared by performing a process of blowing warm air from the warm air nozzle 24 on the titanium oxide forming surface (metal oxide surface) of the substrate S. However, the conditions of the warm air and the heating roll were the conditions shown in Table 3 and Table 4 below.

Figure 2005060817
Figure 2005060817

Figure 2005060817
以上の処理で得られた試料について実施例1と同じ評価を行った。その評価結果を上記表1に示す。
Figure 2005060817
The same evaluation as Example 1 was performed about the sample obtained by the above process. The evaluation results are shown in Table 1 above.

<実施例4>
実施例2において、スリット幅が基材幅と同じでスリット間隔が5mmの加湿ノズル25を熱処理工程の前段に配置し(図5参照)、熱処理工程の前に、絶対湿度が117g/m3である80℃で相対湿度40%の水蒸気を基材Sの酸化チタン形成面に流量5slmで吹き付けたこと以外は、実施例2と同じとして試料を作製した。
<Example 4>
In Example 2, a humidifying nozzle 25 having a slit width equal to the substrate width and a slit interval of 5 mm is disposed in the previous stage of the heat treatment step (see FIG. 5), and the absolute humidity is 117 g / m 3 before the heat treatment step. A sample was produced in the same manner as in Example 2 except that water vapor having a relative humidity of 40% was sprayed on the titanium oxide forming surface of the substrate S at a flow rate of 5 slm at 80 ° C.

以上の処理で得られた試料について実施例1と同じ評価を行った。その評価結果を上記表1に示す。   The same evaluation as Example 1 was performed about the sample obtained by the above process. The evaluation results are shown in Table 1 above.

<比較例1>
図1の成膜装置を用い、平板1及び平板2の表面温度を250℃として熱CVD法によりPETフィルム上に酸化チタンを成膜しようとしたが、PETフィルムが耐熱温度以上となって基材が劣化してしまい、膜形成が不可能であった。
<Comparative Example 1>
The surface temperature of the flat plate 1 and the flat plate 2 was set to 250 ° C. using the film forming apparatus shown in FIG. 1, and an attempt was made to form titanium oxide on the PET film by a thermal CVD method. As a result, film formation was impossible.

<比較例2>
実施例1において、成膜を行う直前に、加熱ロール(設定温度80℃)を基材Sの裏面(酸化チタン膜形成面の裏面)に接触させて1時間保持し、水分量が5mg/m3検知された基材Sを用いたこと以外は、実施例1と同じとして試料を作製した。得られた試料について実施例1と同じ評価を行った。その評価結果を上記表1に示す。
<Comparative example 2>
In Example 1, immediately before film formation, the heating roll (set temperature of 80 ° C.) was kept in contact with the back surface of the substrate S (the back surface of the titanium oxide film forming surface) for 1 hour, and the moisture content was 5 mg / m. 3 A sample was prepared as in Example 1 except that the detected substrate S was used. The same evaluation as Example 1 was performed about the obtained sample. The evaluation results are shown in Table 1 above.

<比較例3>
実施例2において、酸化チタンの成膜後から1時間放置した後に基材Sの熱処理を行ったこと以外は、実施例2と同じとして試料を作製した。得られた試料について実施例1と
同じ評価を行った。その評価結果を上記表1に示す。
<Comparative Example 3>
In Example 2, a sample was prepared in the same manner as in Example 2 except that the substrate S was heat-treated after being left for 1 hour after the titanium oxide film was formed. The same evaluation as Example 1 was performed about the obtained sample. The evaluation results are shown in Table 1 above.

本発明の成膜方法は、プラスチックフィルムのような耐熱性の低い基材の表面に、光透過、反射防止/反射または選択的反射などの光学機能、光触媒機能、ハードコート機能、ガスバリア性機能、あるいは導電性機能などの機能を付与するのに有効に利用することができる。   The film-forming method of the present invention comprises an optical function such as light transmission, antireflection / reflection or selective reflection, a photocatalytic function, a hard coat function, a gas barrier function, on the surface of a substrate having low heat resistance such as a plastic film. Alternatively, it can be effectively used to provide a function such as a conductive function.

本発明の無機薄膜成膜方法を実施する成膜装置の一例を模式的に示す図である。It is a figure which shows typically an example of the film-forming apparatus which enforces the inorganic thin film film-forming method of this invention. 原料供給系の構成を模式的に示す図である。It is a figure which shows typically the structure of a raw material supply system. 本発明の無機薄膜成膜方法を実施する成膜装置の他の例を模式的に示す図である。It is a figure which shows typically the other example of the film-forming apparatus which enforces the inorganic thin film film-forming method of this invention. 本発明の無機薄膜成膜方法を実施する成膜装置の別の例を模式的に示す図である。It is a figure which shows typically another example of the film-forming apparatus which enforces the inorganic thin film film-forming method of this invention. 本発明の無機薄膜成膜方法を実施する成膜装置の別の例を模式的に示す図である。It is a figure which shows typically another example of the film-forming apparatus which enforces the inorganic thin film film-forming method of this invention.

符号の説明Explanation of symbols

1,2 平板
3 給気ノズル
4 排気ノズル
10 平行平板
11 液体原料容器
12 液体マスフローコントローラ
13 気化器
14 マスフローコントローラ
21 熱風ノズル
22 冷風ノズル
23 加熱ロール
24 温風ノズル
25 加湿ノズル
S 基材
DESCRIPTION OF SYMBOLS 1, 2 Flat plate 3 Supply nozzle 4 Exhaust nozzle 10 Parallel plate 11 Liquid raw material container 12 Liquid mass flow controller 13 Vaporizer 14 Mass flow controller 21 Hot air nozzle 22 Cold air nozzle 23 Heating roll 24 Hot air nozzle 25 Humidification nozzle S Substrate

Claims (5)

加水分解性を有する金属化合物からなるガス成分を、水分を含有させた基材の表面近傍において、基材から発生する水蒸気と反応させることにより、基材の表面温度が前記金属化合物の熱分解温度以下の状態で前記基材表面上に金属酸化物層を形成することを特徴とする無機薄膜成膜方法。   By reacting a gas component composed of a hydrolyzable metal compound with water vapor generated from the base material in the vicinity of the surface of the base material containing moisture, the surface temperature of the base material becomes the thermal decomposition temperature of the metal compound. A method for forming an inorganic thin film, comprising forming a metal oxide layer on the surface of the substrate in the following state. 底面100mm×100mm、高さ50mmのステンレス製箱型の容器を用い、100mm×100mmの基材を、N2ガスにて0.3MPaに加圧した状態の前記容器の底面に固定した状態で、成膜時の基材温度で1分間保持した後、前記容器内部の水分濃度を測定する水分検知法で20mg/m3以上検知される量の水分を含有させた基材を用いて成膜を行うことを特徴とする請求項1記載の無機薄膜成膜方法。 Using a stainless steel box-shaped container having a bottom surface of 100 mm × 100 mm and a height of 50 mm, a substrate of 100 mm × 100 mm is fixed to the bottom surface of the container in a state of being pressurized to 0.3 MPa with N 2 gas, After holding for 1 minute at the substrate temperature at the time of film formation, film formation is performed using a substrate containing an amount of moisture that is detected by 20 mg / m 3 or more by a moisture detection method for measuring the moisture concentration inside the container. The method for forming an inorganic thin film according to claim 1, wherein the method is performed. 前記金属化合物は、常圧,150℃において1Pa以上の蒸気圧を有することを特徴とする請求項1または2記載の無機薄膜成膜方法。   3. The method of forming an inorganic thin film according to claim 1, wherein the metal compound has a vapor pressure of 1 Pa or more at normal pressure and 150.degree. 請求項1記載の無機薄膜成膜方法において、前記基材表面上に金属酸化物層を形成した後、これに連続して、前記基材の熱処理を行うことを特徴とする無機薄膜成膜方法。   2. The method of forming an inorganic thin film according to claim 1, wherein after the metal oxide layer is formed on the surface of the base material, the base material is heat-treated continuously. . 請求項1記載の無機薄膜成膜方法において、前記基材表面上に金属酸化物層を形成した後、これに連続して、前記基材の金属酸化物層の形成面に絶対湿度15g/m3以上の水蒸気を含んだ空気を吹き付ける処理と、前記基材の熱処理を順次行うことを特徴とする無機薄膜成膜方法。

2. The inorganic thin film forming method according to claim 1, wherein after forming a metal oxide layer on the surface of the base material, an absolute humidity of 15 g / m is continuously formed on the surface of the base material on which the metal oxide layer is formed. A method of forming an inorganic thin film, comprising sequentially performing a process of blowing air containing three or more water vapors and a heat treatment of the substrate.

JP2003406180A 2003-07-31 2003-12-04 Method for forming inorganic thin film Withdrawn JP2005060817A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003406180A JP2005060817A (en) 2003-07-31 2003-12-04 Method for forming inorganic thin film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003284114 2003-07-31
JP2003406180A JP2005060817A (en) 2003-07-31 2003-12-04 Method for forming inorganic thin film

Publications (1)

Publication Number Publication Date
JP2005060817A true JP2005060817A (en) 2005-03-10

Family

ID=34380248

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003406180A Withdrawn JP2005060817A (en) 2003-07-31 2003-12-04 Method for forming inorganic thin film

Country Status (1)

Country Link
JP (1) JP2005060817A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2111480A2 (en) * 2006-12-29 2009-10-28 3M Innovative Properties Company Method of making inorganic or inorganic/organic hybrid films
JP2010514563A (en) * 2006-12-29 2010-05-06 スリーエム イノベイティブ プロパティズ カンパニー Method for curing metal alkoxide-containing film
JP2011526833A (en) * 2008-06-30 2011-10-20 スリーエム イノベイティブ プロパティズ カンパニー Method for producing inorganic or inorganic / organic hybrid barrier film
CN111748796A (en) * 2019-03-29 2020-10-09 皮考逊公司 Method of coating an evaporative substrate and producing an at least partially encapsulated substrate, apparatus and product thereof

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2111480A2 (en) * 2006-12-29 2009-10-28 3M Innovative Properties Company Method of making inorganic or inorganic/organic hybrid films
JP2010514562A (en) * 2006-12-29 2010-05-06 スリーエム イノベイティブ プロパティズ カンパニー Method for producing inorganic or inorganic / organic hybrid film
JP2010514563A (en) * 2006-12-29 2010-05-06 スリーエム イノベイティブ プロパティズ カンパニー Method for curing metal alkoxide-containing film
US8227040B2 (en) 2006-12-29 2012-07-24 3M Innovative Properties Company Method of curing metal alkoxide-containing films
JP2011526833A (en) * 2008-06-30 2011-10-20 スリーエム イノベイティブ プロパティズ カンパニー Method for producing inorganic or inorganic / organic hybrid barrier film
US9481927B2 (en) 2008-06-30 2016-11-01 3M Innovative Properties Company Method of making inorganic or inorganic/organic hybrid barrier films
CN111748796A (en) * 2019-03-29 2020-10-09 皮考逊公司 Method of coating an evaporative substrate and producing an at least partially encapsulated substrate, apparatus and product thereof

Similar Documents

Publication Publication Date Title
CN103732393B (en) Duplexer, gas barrier film, the manufacture method of duplexer and laminated body producing device
KR101529332B1 (en) Method of curing metal alkoxide-containing films
US7597940B2 (en) Methods for preparing titania coatings by plasma CVD at atmospheric pressure
WO2009104443A1 (en) Thin film forming method and thin film stack
US20070059942A1 (en) Plasma cvd process for manufacturing multilayer anti-reflection coatings
JPWO2006054730A1 (en) Manufacturing method of glass plate with thin film
Lapteva et al. Influence of temperature and plasma parameters on the properties of PEALD HfO 2
US20050003644A1 (en) Process for chemical vapor deposition of a nitrogen-doped titanium oxide coating
JP4568924B2 (en) Thin film material, optical property improving film, antireflection film, conductive material, and method for producing them
Kakiuchi et al. Silicon oxide coatings with very high rates (> 10 nm/s) by hexamethyldisiloxane-oxygen fed atmospheric-pressure VHF plasma: film-forming behavior using cylindrical rotary electrode
JP2005104994A (en) Method for forming inorganic thin film
JP2005060817A (en) Method for forming inorganic thin film
JP2004315899A (en) Method for forming gas barrier film
Chua et al. Effect of Annealing Temperature on Microstructure and UV Light Photocatalytic Activity of Ti O 2 Films Grown by Atmospheric Pressure CVD
JP2005320583A (en) Gas-barrier transparent plastic film, manufacturing method therefor and organic electroluminescence element using the gas-barrier transparent plastic film
WO2005108055A1 (en) Film for display board and method for manufacturing organic el element and aluminum oxide thin film
JP2007254192A (en) Glass plate with antibacterial film, its producing method, and article having the glass plate
JP4133353B2 (en) Method for producing silicon oxide thin film or titanium oxide thin film
JP2003229299A (en) Atmospheric pressure plasma treatment device, film manufactured by using the same, manufacturing method of film and film manufactured by the same
JPH02122924A (en) Transparent barrier film and manufacture thereof
JPH0680413A (en) Method for chemical vapor growth of silicon dioxide film
JP4019712B2 (en) Plasma discharge treatment apparatus and plasma discharge treatment method
JP2005162907A (en) Method and apparatus for forming inorganic thin film
JP2005162543A (en) Method for forming metal oxide thin film
JP4752507B2 (en) Transparent plastic film and organic EL element

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20060809

Free format text: JAPANESE INTERMEDIATE CODE: A621

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20080121