JP2004319819A - Equipment and method for chemical vapor deposition - Google Patents

Equipment and method for chemical vapor deposition Download PDF

Info

Publication number
JP2004319819A
JP2004319819A JP2003112675A JP2003112675A JP2004319819A JP 2004319819 A JP2004319819 A JP 2004319819A JP 2003112675 A JP2003112675 A JP 2003112675A JP 2003112675 A JP2003112675 A JP 2003112675A JP 2004319819 A JP2004319819 A JP 2004319819A
Authority
JP
Japan
Prior art keywords
substrate
container tube
wafer
vapor deposition
thin plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003112675A
Other languages
Japanese (ja)
Inventor
Akinori Shimizu
了典 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fuji Electric Co Ltd
Original Assignee
Fuji Electric Holdings Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fuji Electric Holdings Ltd filed Critical Fuji Electric Holdings Ltd
Priority to JP2003112675A priority Critical patent/JP2004319819A/en
Publication of JP2004319819A publication Critical patent/JP2004319819A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an equipment for chemical vapor deposition capable of equalizing a thickness of a film formed on the surface of a wafer, and to provide a method for chemical vapor deposition using the same. <P>SOLUTION: The chemical vapor deposition equipment is composed of an outer vessel tube 5 with its one end (top) closed, an interior vessel tube 4 provided in the tube 5; to be a reaction chamber 9, a supporting holder 2 housed in the tube 4; called as a boat, and an electric furnace 7 housing the tube 5 and having a heater 6 for heating the wafer 1 mounted on a thin plate 3 shaped as approximately similar to the wafer 1 set by the holder 2. Mounting the wafer 1 on the thin plate 3 shaped as approximately similar to the wafer 1 can form the uniform thin film on the wafer 1. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
この発明は、基板の表面に薄膜を形成する化学的気相成長装置および化学的気相成長方法に関する。
【0002】
【従来の技術】
半導体デバイスは、半導体基板に多結晶シリコン膜、金属膜および絶縁膜など各種薄膜を積層して形成されるが、その成膜方法として化学的気相成長法が多用されている。
図5は、従来のバッチ式の化学的気相成長装置の要部構成図であり、同図(a)は全体図、同図(b)はウェハをセットした支持治具(ボート)の斜視図である。
化学的気相成長装置(CVD装置:Chemical Vapor Deposition装置)は、一端(上部)が閉じている外部容器管5と、この外部容器管5内に設置される、内部が反応室9となる内部容器管4と、この内部容器管4に収納されるボートと称せられる支持治具2と、外部容器管5を収納し、支持治具2にセットされたウェハ1(基板)を加熱するヒータ6を有する電気炉7などから構成されている。また、支持治具2は、支持台24と、この支持台24にウェハ1を矢印Hの方向からセットできるように配置された複数本の支柱25とこれらの支柱25を途中で支える支え板26で構成される。
【0003】
支持治具2にウェハ1を多数枚、狭間隔で列状に配置し、この支持治具2を内部容器管4内に挿入し、外部容器管5の開口部(下部)に蓋8をして、密封し、外部容器管5内を減圧雰囲気にして、反応性ガスとキャリアガスを、下部に設置されているガス流入口10から内部容器管4(反応室)に導入し、上部に流れて来たこれらのガス流12を内部容器管4の壁面と外部容器管5の壁面で挟まれた隙間を通して、ガス排気口11から、図示しない真空ポンプで吸引して排気する。
図5においては、下方から上方にガス流12が流れるので、下方が源流領域21となり、上方が下流領域23となり、中間が中流領域22となる。
【0004】
この成膜方法は、ナノメートルオーダーの薄膜を比較的低温で制御性よく成長させることができる、優れた方法である。例えば、MOS型トランジスタのゲート電極の材料として適用される多結晶シリコン膜(ポリシリコン膜)は、炉内温度550℃、真空度100Paの雰囲気中に、モノシラン(SiH )ガスを1000sccm、キャリアガスとして窒素(N)ガス200sccmとともに注入すると約30Å/分の成膜速度でウェハ1に堆積する。
ただ、上記の成膜速度下では、1枚ずつ処理する図示しない枚葉式の装置ではウェハ1上の膜厚の均一性は優れるが生産性を上げられないので、通常は50枚から200枚程度のウェハ1を同時に1回で処理するバッチ処理ができるバッチ式の装置が用いられる。このバッチ処理では、多数枚のウェハ1を、温度均一性の良い領域に配置するため、できるだけ小さな間隔でウェハ1を順次平行に並べ、その周辺部に反応性ガスをキャリアガスとともに流すという構成をとる。
【0005】
しかし、このような構成では、反応性ガスは源流領域21から下流領域23へと高温雰囲気に触れながら流れるので、その間に熱エネルギーを受けて化学変化を起こし、当初の反応性ガス以外の反応種もわずかながらも混ざってくる。この反応種は極めて反応性に富む反応種(ラジカルな反応種)であり、ウェハ1に触れるとともにポリシリコン薄膜として堆積してしまうために、ウェハ1上の膜厚の均一性が充分とれないという問題が生じる。
図6は、図5に示す化学的気相成長装置の内部容器管内の様子を示すモデル図である。このモデル図を用いて膜厚が不均一になるメカニズムを説明する。
【0006】
ポリシリコン成膜の場合、反応性ガスは100%SiHガスで、キャリアガスであるN ガスとともに反応室である内部容器管4の下部から注入される。反応室内ではウェハ設置領域にわたって550℃の均一温度に保たれており、その均一温度領域は150枚のバッチ装置では約800mmの長さがある。反応室の下部から注入されたSiHガス13はこの長い高温領域で熱輻射やガス分子同士の衝突を受けて、以下の反応式に従って、一部はSiHガス14に変化する。
SiH→ SiH+H
ここで、SiHがス14は、ラジカルなガスと呼ばれ、極めて反応性に富み、ウェハ1の表面にぶつかるだけで分解してシリコン膜として堆積する。つまり、SiHはラジカルな反応種である。
【0007】
すなわち、SiHガス14のSiHガス13に対する相対濃度が高くなればなるほど、ウェハ1の外周部でSiHガス14からのポリシリコンの堆積が盛んになり、その部分での膜厚が増加し、結果としてウェハ1面内の膜厚が不均一になる。
実際、SiHのSiHに対する相対濃度(濃度割合)を求めると、源流領域21にあるウェハでは1×10−7程度以下であるのに対し、中流領域22にあるウェハでは3×10−7程度、下流領域23にあるウェハでは7×10−7程度と増加する。そのために、下流領域23の方が源流領域21より膜厚のばらつきが大きくなる。つまり、ウェハ面内の膜厚の不均一性は、熱エネルギーによる生成されるSiHガス14量に依存する。
【0008】
図7は、ウェハ位置と膜厚の関係を示す図である。全体で150枚のウェハ1を支持治具2にセットし、反応性ガスが流入する側を源流領域21、中間を中流領域22、反応性ガスが流出する側を下流領域23の3領域に分割し、各領域に30枚のウェハをセットしてウェハ1上にポリシリコン膜を成膜する。このときの狭間隔は8mmである。成膜したポリシリコン膜の膜厚を、ウェハ1の中心を含む直線上の20点(−40mmから+40mmの間は10mm間隔、その外側では5mm間隔である)で、図8のX方向で測定する。この膜厚の測定は、源流領域21、中流領域22、下流領域23の3領域にセットされた各50枚のウェハで行う。つぎに、各領域のウェハ1の同一測定点での膜厚の平均値(50枚の平均値)を出し、この平均値を、ウェハ1の中心での膜厚の平均値(50枚の平均値)で割った値を、各測定点で、各領域での規格化した膜厚の平均値(以下、規格化平均膜厚値という)として示した。図中のDは源流領域、Eは中流領域、Fは上流領域の各測定点での規格化平均膜厚値である。
【0009】
前記したように、下流領域23の方が、反応性に富んだ反応種の割合が多くなるために、下流領域23で形成されるポリシリコン膜の規格化平均膜厚値のばらつきが1.5%と最大となり、源流領域でも0.8%と大きなばらつきとなる。
このように、ウェハ面内での薄膜の膜厚のばらつきが大きくなる場合の不都合について、薄膜としてポリシリコン膜を例に説明する。
図9は、トレンチ溝の場合の不都合を説明する図である。トレンチゲートのMOSFETなどのゲート電極を形成する場合、ゲート絶縁膜52で被覆されたトレンチ溝53をポリシリコン膜51で充填して形成するが、ラジカルな反応種(SiH )があると、トレンチ溝53が上部で塞がれて、トレンチ溝53内部に空洞54ができて、ゲート抵抗が増大する不具合を生じる。尚、図中の55はウェル領域で、56はソース領域である。
【0010】
図10は、プレーナの場合の不都合を説明する図である。プレーナ型の縦型のMOSFET(DMOS)などで、ゲート電極となるポリシリコン膜61の膜厚にばらつきがあると、ポリシリコン膜61をマスクとして、ウェル領域62の表面層にソース領域63を形成する場合に、薄い箇所(図では左側)では、ポリシリコン膜61をイオン注入不純物64が貫通して、チャネル部をドーピングして、しきい値電圧を変化させるという不都合が生じる。
このように、ウェハ1上に形成されるポリシリコン膜などの薄膜の膜厚がばらつくと半導体装置を製作する上で、前記したような不都合が生じる。
【0011】
支持治具の円板あるいはリング状のウェハ支持部の口径をウェハより大きくし、支柱の数を2本または1本とすることにより、膜厚の不均一を抑制することができることが記載されている(特許文献1)。しかしながら、支柱のウェハ成膜面への影響による膜厚の不均一性を抑制するものであり、ラジカルな反応種については何ら記載されていない。
【0012】
【特許文献1】
特開平2−156523号公報
【0013】
【発明が解決しようとする課題】
この発明の目的は、前記の課題を解決して、ウェハ面上に形成する薄膜の膜厚を均一にできる化学的気相成長装置を提供することにある。
【0014】
【課題を解決するための手段】
前記の目的を達成するために、加熱炉と、該加熱炉に収納され、一端が閉じている外部容器管と、該外部容器管に収納され、両端が開口している内部容器管と、該内部容器管に収納され、複数の基板を列状に配置する支持治具とを具備し、内部容器管の壁面と、前記基板を配置した支持治具との間に反応性ガスを流して前記基板上に薄膜を形成する化学的気相成長装置において、
前記支持治具は前記基板より所定の幅外形が大きい形状を有し、表面に凹凸を有する薄板を備えたものとする。
【0015】
また、前記支持治具は、前記基板より所定の幅外形が大きい前記基板と略相似な形状を有するものとする。
また、前記薄板の表面が、基板と略同一形状の部分を鏡面に加工し、それ以外の周辺部に凹凸を有するものとする。
また、成長方法としては、前記支持治具を、前記基板より所定の幅外形が大きい前記基板と略相似な形状を有する薄板を備えたものとして、基板を薄板と互いの中心が合致するように積載して薄膜を形成するもととする。
このように、バッチ式の化学的気相成長装置において、この装置の反応室となる内部容器管の壁面と基板列との間の空間(ガス流路になる)において熱エネルギーで生成されるSiHが、基板表面に侵入する確率を低下させることでウェハ1上の薄膜の膜厚均一性を向上させることができる。
【0016】
【発明の実施の形態】
図1は、この発明の第1実施例の化学的気相成長装置の要部構成図で、図5と同一箇所には同一符号を記した。
化学的気相成長装置は、一端(上部)が閉じている外部容器管5と、この外部容器管5内に設置される反応室9となる内部容器管4と、この内部容器管4に収納されるボートと称せられる支持治具2(図5(b)に示す)と、外部容器管5を収納し、支持治具2にセットされたウェハ1(基板)を加熱するヒータ6を有する電気炉7などから構成されている。支持治具2にはウェハ1より大きくかつウェハ1と相似形の薄板3を多数枚列状に設置し、1枚の薄板3上にウェハ1を1枚ずつ互いの中心が合致するように積載し、この支持治具2を内部容器管4内に挿入し、該部容器管5の開口部(下部)に蓋8をして密封し、該部容器管5内を減圧雰囲気にして、反応性ガスであるSiHガスとキャリアガスであるNガスを、下部に設置されているガス流入り口10から内部容器管4(反応室9)に導入し、上部に均一に流れて来たこれらのガス流12を、内部容器管4の壁面と外部容器管5の壁面で挟まれた隙間を通して、ガス排気口11から図示しない真空ポンプで吸引して排気する。図においては、下方から上方にガス流11が流れるので、下方が源流領域21となり、上方が下流領域23で、中間が中流領域22となる。
【0017】
支持治具2にウェハ1と相似な形状を有する薄板3を取り付け、その上にウェハ1を薄板3と互いの中心が合致するように積載することにより、反応性ガスが分解して形成されるラジカルな反応種を薄板3の外周部の露出部分で消滅させることにより、内部容器管4の壁面と基板列との間の空間(ガス流路になる)で熱エネルギーにより生成されるSiHが、基板の表面に侵入する確率を低下させることで、図4に示すように、ウェハ1に堆積するポリシリコン膜の膜厚の面内ばらつきを、図5の従来の装置を用い場合に比べて1/2程度に改善することができる。
【0018】
なお、ここでは薄膜として、ポリシリコン膜を挙げたが、窒化膜や酸化膜の場合も本発明のように、ラジカルな反応種が隣り合う基板の相対する面の間に侵入する確率を低下させるため、薄板3を設け、薄板3の上にウェハ1を互いの中心が合致するように積載することは有効であると推測される。
図2は、本発明に適用される薄板3の一例を示す平面図(a)と(a)のA−Aにおける断面図(b)である。
薄板3は、石英や炭化珪素から形成され、ウェハと略相似の形状に形成される。周辺部分には、ラジカルな反応種を捕らえ消滅させる効率を上げるため、前記周辺部分の表面に凹凸31が形成されている。凹凸31は、表面積を増加させてラジカルな反応種を効率よく捕らえることを目的とするものである。よって、表面積を増加する構成であればよく、例えば、サンドブラストや砥粒研磨で形成したものでも、石英粒を融着して形成したものでもよい。
【0019】
また、図2では溝32が形成されている。このように溝32が形成された薄板3では、ウェハ1を溝32内に配置することで、容易にウェハ1と薄板3の中心を一致させることができる。この溝32は、例えばダイヤモンド砥粒などでの研磨、HF溶液によるウェットエッチングやドライエッチングで形成する。
図2に示した薄板3は、一例であり、例えば、凹凸31、溝32を形成しないものであってもかまわない。
また、薄板3は、ウェハ1が積載され接触する箇所は鏡面に加工されていることがウェハ1との密着性の点から望ましい。また、薄板3が、リング形状をなしウェハ1裏面が露出するような場合では、ウェハ1の裏面において、薄板3とウェハ1とからなる段差部が形成され、この段差部に成膜される薄膜は剥がれやすく、ウェハ1の裏面直下の別のウェハ1の表面に剥がれた膜が落ちてパーティクルやフレークが発生する。よって、薄板3は開口が無い裏面が平坦な構成が望ましい。
【0020】
図3は、図1に示す化学的気相成長装置の内部容器管内の様子を示すモデル図である。ガス流12は、源流側から下流側へ流れる。前記支持治具2にウェハ1と相似な形状を有する薄板3を取り付け、その上にウェハ1を薄板3と互いの中心が合致するように配置すること、また、薄板3の周辺部分でラジカルな反応種を捕らえ消滅させる効率を上げるため、前記周辺部分の表面に凹凸を形成しその表面積を増大させるか、かつ、もしくは、当該気相成長装置で成長させる材料の薄膜を前記周辺部分に予め被着させ、反応性ガスが分解して形成されるラジカルな反応種を薄板3周辺部分で消滅させることにより、熱エネルギーによって生成されるラジカルな反応種であるSiHガス14が基板の間隙に侵入する確率を低減することができる。SiHガス14の濃度の上昇を抑制することで、ガス13により、ウェハ1内でのポリシリコン膜の膜厚を均一化できる。
【0021】
図4は、図1の化学的気相成長装置を用いてウェハにポリシリコン膜を成膜したときの、膜厚分布である。
全体で150枚のウェハ1を支持治具2にセットし、反応性ガスが流入する側を源流領域21、中間を中流領域22、反応性ガスが流出する側を下流領域23の3領域に分割し、各領域に50枚のウェハ1をセットしてウェハ1上に薄膜としてポリシリコン膜を成膜する。成膜したポリシリコン膜の膜厚を、図10に示すようにウェハ1の中心を含む直線上の20点(−40mmから+40mmの間は10mm間隔、その外側では5mm間隔である)で測定する。
【0022】
この膜厚の測定は、源流領域21、中流領域22、下流領域23の3領域にセットされた各50枚のウェハで行う。つぎに、各領域の同一測定点での膜厚の平均値を出し、この平均値を、ウェハ1の中心の膜厚の平均値で割った値を、各測定点での各領域での規格化した膜厚の平均値(規格化平均膜厚値)として示した。図中のAは源流領域、Bは中流領域、Cは下流領域の各測定点での規格化平均膜厚値である。
ラジカルな反応種が基板領域に侵入しにくくなるために、下流領域Cで形成されるポリシリコン膜の規格化平均膜厚値のばらつきは0.7%と小さくなる。しかも、図示しないが下流領域Cでの規格化した膜厚の最大値(規格化最大膜厚値)のばらつきも、この規格化平均膜厚値のばらつきの約1.4倍程度で、1%と小さなばらつきになる。
【0023】
このように、本発明の薄板を用いることにより、薄膜の膜厚を1%以下の面内ばらつきに抑え込むことができるようになり、デバイス特性の均一性を大きく伸ばすことができるようになる。
【0024】
【発明の効果】
この発明により、基板に堆積する薄膜の膜厚均一性を改善することができる。
【図面の簡単な説明】
【図1】この発明の第1実施例の化学的気相成長装置の要部構成図
【図2】この発明の薄板の実施例の(a)平面図、(a)のA−Aにおける断面図
【図3】内部容器管内の様子を示すモデル図
【図4】図1の化学的気相成長装置を用いてウェハにポリシリコン膜を成膜したときの膜厚分布図
【図5】従来のバッチ式の化学的気相成長装置の要部構成図であり、(a)は全体図、(b)はウェハをセットした支持治具の斜視図
【図6】図5の縦型ポリシリコン化学的気相成長装置の内部容器管内の様子を示すモデル図
【図7】ウェハ位置と膜厚の関係を示す図
【図8】ウェハ上のポリシリコン膜の膜厚を測定する箇所を示す図
【図9】トレンチ溝の場合の不都合を示す図
【図10】プレーナの場合の不都合を示す図
【符号の説明】
1 ウェハ
2 支持治具
3 薄板
4 内部容器管
5 外部容器管
6 ヒータ
7 加熱炉
8 蓋
9 反応室
10 ガス流入口
11 ガス排出口
12 ガス流
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a chemical vapor deposition apparatus and a chemical vapor deposition method for forming a thin film on a surface of a substrate.
[0002]
[Prior art]
A semiconductor device is formed by stacking various thin films such as a polycrystalline silicon film, a metal film, and an insulating film on a semiconductor substrate, and a chemical vapor deposition method is often used as a film forming method.
FIGS. 5A and 5B are main part configuration diagrams of a conventional batch type chemical vapor deposition apparatus. FIG. 5A is an overall view, and FIG. 5B is a perspective view of a support jig (boat) on which a wafer is set. FIG.
A chemical vapor deposition apparatus (CVD apparatus: Chemical Vapor Deposition apparatus) includes an outer container tube 5 having one end (upper part) closed, and an inside which is installed in the outer container tube 5 and has an inside serving as a reaction chamber 9. A container 6, a supporting jig 2 called a boat accommodated in the inner container 4, and a heater 6 accommodating the outer container 5 and heating the wafer 1 (substrate) set in the supporting jig 2. And the like. The support jig 2 includes a support 24, a plurality of columns 25 arranged so that the wafer 1 can be set on the support 24 from the direction of arrow H, and a support plate 26 that supports these columns 25 in the middle. It consists of.
[0003]
A large number of wafers 1 are arranged on the support jig 2 in a row at a narrow interval. The support jig 2 is inserted into the inner container tube 4, and the opening 8 (lower portion) of the outer container tube 5 is covered with a lid 8. Then, the inside of the outer container tube 5 is brought into a reduced pressure atmosphere, and a reactive gas and a carrier gas are introduced into the inner container tube 4 (reaction chamber) from the gas inlet port 10 provided at the lower portion, and flow into the upper portion. These incoming gas flows 12 are sucked and exhausted from a gas exhaust port 11 by a vacuum pump (not shown) through a gap sandwiched between a wall surface of the inner container tube 4 and a wall surface of the outer container tube 5.
In FIG. 5, since the gas flow 12 flows from below to above, the lower part becomes the source flow area 21, the upper part becomes the downstream area 23, and the middle part becomes the middle flow area 22.
[0004]
This film forming method is an excellent method capable of growing a thin film on the order of nanometers at a relatively low temperature with good controllability. For example, a polycrystalline silicon film (polysilicon film) applied as a material for a gate electrode of a MOS transistor is a furnace gas having a temperature of 550 ° C. and a degree of vacuum of 100 Pa, a monosilane (SiH 4 ) gas of 1000 sccm, and a carrier gas. Is injected together with a nitrogen (N 2 ) gas at 200 sccm, and is deposited on the wafer 1 at a film forming rate of about 30 ° / min.
However, under the above-described film forming speed, a single-wafer type apparatus (not shown) that processes one sheet at a time has excellent uniformity of the film thickness on the wafer 1 but cannot increase the productivity. A batch-type apparatus capable of performing batch processing for simultaneously processing about one wafer 1 at a time is used. In this batch processing, in order to arrange a large number of wafers 1 in a region with good temperature uniformity, the wafers 1 are sequentially arranged in parallel at as small an interval as possible, and a reactive gas and a carrier gas are caused to flow around the periphery thereof. Take.
[0005]
However, in such a configuration, the reactive gas flows from the source flow area 21 to the downstream area 23 while being in contact with the high temperature atmosphere. Also mixes slightly. This reactive species is a reactive species (radical reactive species) having a very high reactivity. Since the reactive species touches the wafer 1 and is deposited as a polysilicon thin film, the uniformity of the film thickness on the wafer 1 is not sufficient. Problems arise.
FIG. 6 is a model diagram showing a state inside the inner vessel tube of the chemical vapor deposition apparatus shown in FIG. The mechanism by which the film thickness becomes non-uniform will be described using this model diagram.
[0006]
In the case of polysilicon film formation, the reactive gas is 100% SiH 4 gas, and is injected from the lower part of the inner vessel tube 4 as a reaction chamber together with the N 2 gas as a carrier gas. In the reaction chamber, a uniform temperature of 550 ° C. is maintained over the wafer installation area, and the uniform temperature area has a length of about 800 mm in a batch apparatus of 150 sheets. The SiH 4 gas 13 injected from the lower part of the reaction chamber receives heat radiation and collision of gas molecules in this long high-temperature region, and a part of the SiH 4 gas is changed to the SiH 2 gas 14 according to the following reaction formula.
SiH 4 → SiH 2 + H 2
Here, SiH 2 is referred to as a radical gas and is extremely reactive, and is decomposed only by hitting the surface of the wafer 1 and deposited as a silicon film. That is, SiH 2 is a radical reactive species.
[0007]
That is, as the relative concentration of the SiH 2 gas 14 with respect to the SiH 4 gas 13 increases, the deposition of polysilicon from the SiH 2 gas 14 at the outer peripheral portion of the wafer 1 increases, and the film thickness at that portion increases. As a result, the film thickness on the surface of the wafer 1 becomes uneven.
In fact, when the relative concentration (concentration ratio) of SiH 2 with respect to SiH 4 is obtained, it is about 1 × 10 −7 or less for the wafer in the source flow region 21, whereas it is 3 × 10 −7 for the wafer in the middle flow region 22. In the case of the wafer in the downstream region 23, the number increases to about 7 × 10 −7 . For this reason, the variation in the film thickness in the downstream region 23 is larger than that in the source region 21. That is, the nonuniformity of the film thickness in the wafer surface depends on the amount of the SiH 2 gas 14 generated by the thermal energy.
[0008]
FIG. 7 is a diagram showing the relationship between the wafer position and the film thickness. A total of 150 wafers 1 are set on the support jig 2, and the side into which the reactive gas flows is divided into the source flow area 21, the middle area into the middle flow area 22, and the side from which the reactive gas flows out into the downstream area 23. Then, 30 wafers are set in each area, and a polysilicon film is formed on the wafer 1. The narrow interval at this time is 8 mm. The thickness of the formed polysilicon film is measured at 20 points on a straight line including the center of the wafer 1 (10 mm intervals between -40 mm and +40 mm, and 5 mm intervals outside thereof) in the X direction of FIG. I do. The measurement of the film thickness is performed on each of 50 wafers set in the three regions of the source flow region 21, the middle flow region 22, and the downstream region 23. Next, the average value of the film thickness at the same measurement point of the wafer 1 in each region (average value of 50 wafers) is obtained, and this average value is calculated as the average value of the film thickness at the center of the wafer 1 (the average value of 50 wafers). At each measurement point was shown as an average value of the normalized film thickness in each region (hereinafter, referred to as a normalized average film thickness value). In the figure, D is a source flow region, E is a middle flow region, and F is a normalized average film thickness value at each measurement point in the upstream region.
[0009]
As described above, in the downstream region 23, the ratio of the reactive species having high reactivity is higher, so that the variation in the normalized average film thickness value of the polysilicon film formed in the downstream region 23 is 1.5%. %, Which is as large as 0.8% even in the head flow region.
The inconvenience in the case where the variation in the thickness of the thin film in the wafer surface becomes large as described above will be described using a polysilicon film as an example of the thin film.
FIG. 9 is a diagram for explaining inconvenience in the case of a trench. When a gate electrode such as a MOSFET of a trench gate is formed, a trench groove 53 covered with a gate insulating film 52 is formed by filling with a polysilicon film 51. However, when a radical reactive species (SiH 2 ) is present, the trench is formed. The groove 53 is closed at the upper portion, and a cavity 54 is formed inside the trench groove 53, which causes a problem that the gate resistance increases. Incidentally, 55 in the figure is a well region, and 56 is a source region.
[0010]
FIG. 10 is a diagram for explaining inconvenience in the case of a planar. If the thickness of the polysilicon film 61 serving as a gate electrode varies with a planar type vertical MOSFET (DMOS) or the like, a source region 63 is formed in the surface layer of the well region 62 using the polysilicon film 61 as a mask. In this case, at a thin portion (left side in the figure), there arises a problem that the ion implantation impurity 64 penetrates the polysilicon film 61 to dope the channel portion to change the threshold voltage.
As described above, if the thickness of the thin film such as the polysilicon film formed on the wafer 1 varies, the above-described inconvenience occurs in manufacturing the semiconductor device.
[0011]
It is described that the thickness of the disc or ring-shaped wafer support portion of the support jig is made larger than that of the wafer, and the number of the columns is set to two or one, whereby the unevenness of the film thickness can be suppressed. (Patent Document 1). However, it is intended to suppress the nonuniformity of the film thickness due to the influence of the pillars on the surface on which the wafer is formed, and does not describe any radical reactive species.
[0012]
[Patent Document 1]
JP-A-2-156523
[Problems to be solved by the invention]
An object of the present invention is to solve the above-mentioned problems and to provide a chemical vapor deposition apparatus capable of making the thickness of a thin film formed on a wafer surface uniform.
[0014]
[Means for Solving the Problems]
In order to achieve the above object, a heating furnace, an outer container tube housed in the heating furnace, one end of which is closed, an inner container tube housed in the outer container tube, and open at both ends, A support jig accommodated in the inner container tube and arranging a plurality of substrates in a row is provided, and a reactive gas flows between the wall surface of the inner container tube and the support jig where the substrate is arranged. In a chemical vapor deposition apparatus for forming a thin film on a substrate,
The support jig has a shape having a predetermined width and an outer shape larger than that of the substrate, and includes a thin plate having an uneven surface.
[0015]
Further, the support jig has a shape substantially similar to the substrate having a predetermined width and an outer shape larger than that of the substrate.
In addition, it is assumed that the surface of the thin plate has a portion having substantially the same shape as the substrate processed into a mirror surface, and other peripheral portions have irregularities.
Further, as a growth method, the support jig is provided with a thin plate having a shape substantially similar to the substrate having a predetermined width and an outer shape larger than that of the substrate, and the substrate is aligned with the thin plate so that the centers of the substrates match each other. It is assumed that the thin film is formed by loading.
As described above, in a batch type chemical vapor deposition apparatus, SiH generated by thermal energy in a space (a gas flow path) between a wall surface of an inner vessel tube serving as a reaction chamber of the apparatus and a substrate row. 2 can reduce the probability of entering the substrate surface, thereby improving the uniformity of the thin film thickness on the wafer 1.
[0016]
BEST MODE FOR CARRYING OUT THE INVENTION
FIG. 1 is a configuration diagram of a main part of a chemical vapor deposition apparatus according to a first embodiment of the present invention.
The chemical vapor deposition apparatus includes an outer container tube 5 having one end (upper portion) closed, an inner container tube 4 serving as a reaction chamber 9 installed in the outer container tube 5, and a container housed in the inner container tube 4. A support jig 2 (shown in FIG. 5 (b)) referred to as a boat, and a heater 6 that houses an external container tube 5 and heats a wafer 1 (substrate) set in the support jig 2 It is composed of a furnace 7 and the like. A plurality of thin plates 3 that are larger than the wafer 1 and are similar to the wafer 1 are set in a row on the support jig 2, and the wafers 1 are stacked on one thin plate 3 so that the centers of the wafers 1 are aligned with each other. Then, the support jig 2 is inserted into the inner container tube 4 and the opening (lower portion) of the container tube 5 is covered with a lid 8 to be sealed. SiH 4 gas, which is a reactive gas, and N 2 gas, which is a carrier gas, are introduced into the inner vessel tube 4 (reaction chamber 9) from the gas inlet port 10 provided at the lower part, and these gases uniformly flow to the upper part. The gas flow 12 is sucked and exhausted from the gas exhaust port 11 by a vacuum pump (not shown) through a gap between the wall surface of the inner container tube 4 and the wall surface of the outer container tube 5. In the figure, since the gas flow 11 flows from below to above, the lower portion becomes the source flow region 21, the upper portion becomes the downstream region 23, and the middle portion becomes the middle flow region 22.
[0017]
A thin plate 3 having a shape similar to that of the wafer 1 is attached to the support jig 2, and the wafer 1 is mounted thereon so that the centers of the thin plate 3 and the thin plate 3 coincide with each other, whereby the reactive gas is formed by decomposition. By eliminating radical reactive species at the exposed portion of the outer peripheral portion of the thin plate 3, SiH 2 generated by thermal energy in a space between the wall surface of the inner container tube 4 and the substrate row (a gas flow path) is generated. By reducing the probability of intrusion into the surface of the substrate, as shown in FIG. 4, the in-plane variation in the thickness of the polysilicon film deposited on the wafer 1 is reduced as compared with the case of using the conventional apparatus of FIG. It can be improved to about 1/2.
[0018]
Here, the polysilicon film is used as the thin film. However, in the case of a nitride film or an oxide film, as in the present invention, the probability that radical reactive species enter between opposing surfaces of adjacent substrates is reduced. Therefore, it is presumed that it is effective to provide the thin plate 3 and stack the wafers 1 on the thin plate 3 so that their centers are aligned with each other.
FIG. 2 is a plan view (a) showing an example of the thin plate 3 applied to the present invention, and a cross-sectional view (b) along AA in (a).
The thin plate 3 is formed of quartz or silicon carbide, and has a shape substantially similar to a wafer. In the peripheral portion, irregularities 31 are formed on the surface of the peripheral portion in order to increase the efficiency of capturing and eliminating radical reactive species. The irregularities 31 are intended to increase the surface area and efficiently capture radical reactive species. Therefore, any structure that increases the surface area may be used. For example, a structure formed by sandblasting or abrasive polishing or a structure formed by fusing quartz grains may be used.
[0019]
In FIG. 2, a groove 32 is formed. In the thin plate 3 in which the groove 32 is formed as described above, the center of the wafer 1 and the thin plate 3 can be easily matched by arranging the wafer 1 in the groove 32. The groove 32 is formed by, for example, polishing with diamond abrasive grains, wet etching with an HF solution, or dry etching.
The thin plate 3 shown in FIG. 2 is an example, and may be one without the unevenness 31 and the groove 32, for example.
In addition, it is desirable that the thin plate 3 be processed into a mirror surface at a position where the wafer 1 is loaded and in contact with the thin plate 3 from the viewpoint of adhesion to the wafer 1. In the case where the thin plate 3 has a ring shape and the back surface of the wafer 1 is exposed, a step portion composed of the thin plate 3 and the wafer 1 is formed on the back surface of the wafer 1, and a thin film formed on the step portion is formed. Is easily peeled off, and the peeled film falls on the surface of another wafer 1 immediately below the back surface of the wafer 1 to generate particles and flakes. Therefore, it is desirable that the thin plate 3 has no opening and a flat back surface.
[0020]
FIG. 3 is a model diagram showing a state inside the inner vessel tube of the chemical vapor deposition apparatus shown in FIG. The gas flow 12 flows from the source flow side to the downstream side. A thin plate 3 having a shape similar to that of the wafer 1 is attached to the support jig 2, and the wafer 1 is arranged thereon so that the centers of the thin plate 3 and the thin plate 3 coincide with each other. In order to increase the efficiency of capturing and annihilating the reactive species, irregularities are formed on the surface of the peripheral portion to increase the surface area, or a thin film of a material grown by the vapor phase growth apparatus is previously coated on the peripheral portion. The radical reactive species formed by the decomposition of the reactive gas are extinguished in the peripheral portion of the thin plate 3, so that the SiH 2 gas 14, which is the radical reactive species generated by thermal energy, enters the gap between the substrates. Can be reduced. By suppressing the increase in the concentration of the SiH 2 gas 14, the gas 13 can make the thickness of the polysilicon film in the wafer 1 uniform.
[0021]
FIG. 4 is a film thickness distribution when a polysilicon film is formed on a wafer using the chemical vapor deposition apparatus of FIG.
A total of 150 wafers 1 are set on the support jig 2, and the side into which the reactive gas flows is divided into three regions: the source flow region 21, the middle region into the middle flow region 22, and the side from which the reactive gas flows out into the downstream region 23. Then, 50 wafers 1 are set in each area, and a polysilicon film is formed on the wafer 1 as a thin film. As shown in FIG. 10, the film thickness of the formed polysilicon film is measured at 20 points on a straight line including the center of the wafer 1 (10 mm intervals between -40 mm and +40 mm, and 5 mm intervals outside thereof). .
[0022]
The measurement of the film thickness is performed on each of 50 wafers set in the three regions of the source flow region 21, the middle flow region 22, and the downstream region 23. Next, the average value of the film thickness at the same measurement point in each area is obtained, and the average value is divided by the average value of the film thickness at the center of the wafer 1 to obtain a standard value in each area at each measurement point. The average value of normalized film thickness (normalized average film thickness value) was shown. In the figure, A is a source flow region, B is a middle flow region, and C is a normalized average film thickness value at each measurement point in a downstream region.
Since it is difficult for radical reactive species to enter the substrate region, the variation in the normalized average thickness value of the polysilicon film formed in the downstream region C is as small as 0.7%. Further, although not shown, the variation of the maximum value of the normalized film thickness (normalized maximum film thickness value) in the downstream region C is also about 1.4 times the variation of the normalized average film thickness value, and is 1%. And small variations.
[0023]
As described above, by using the thin plate of the present invention, the thickness of the thin film can be suppressed to an in-plane variation of 1% or less, and the uniformity of the device characteristics can be greatly extended.
[0024]
【The invention's effect】
According to the present invention, the film thickness uniformity of a thin film deposited on a substrate can be improved.
[Brief description of the drawings]
FIG. 1 is a diagram showing a main part of a chemical vapor deposition apparatus according to a first embodiment of the present invention. FIG. 2A is a plan view of an embodiment of a thin plate according to the present invention, and FIG. FIG. 3 is a model diagram showing the inside of the inner vessel tube. FIG. 4 is a film thickness distribution diagram when a polysilicon film is formed on a wafer using the chemical vapor deposition apparatus of FIG. 1 FIG. 5 (a) is an overall view, and FIG. 6 (b) is a perspective view of a support jig on which a wafer is set. FIG. 6 is a vertical polysilicon of FIG. FIG. 7 is a model diagram showing the inside of an inner vessel tube of a chemical vapor deposition apparatus. FIG. 7 is a diagram showing a relationship between a wafer position and a film thickness. FIG. 8 is a diagram showing a place where a film thickness of a polysilicon film on a wafer is measured. FIG. 9 is a diagram showing inconvenience in the case of a trench groove. FIG. 10 is a diagram showing inconvenience in the case of a planar groove.
DESCRIPTION OF SYMBOLS 1 Wafer 2 Support jig 3 Thin plate 4 Inner container tube 5 Outer container tube 6 Heater 7 Heating furnace 8 Cover 9 Reaction chamber 10 Gas inlet 11 Gas outlet 12 Gas flow

Claims (4)

加熱炉と、該加熱炉に収納され、一端が閉じている外部容器管と、該外部容器管に収納され、両端が開口している内部容器管と、該内部容器管に収納され、複数の基板を列状に配置する支持治具とを具備し、内部容器管の壁面と、前記基板を配置した支持治具との間に反応性ガスを流して前記基板上に薄膜を形成する化学的気相成長装置において、
前記支持治具は前記基板より外形が大きい形状を有し、表面に凹凸を有する薄板を備えたことを特徴とする化学的気相成長装置。
A heating furnace, an outer container tube housed in the heating furnace, one end of which is closed; an inner container tube housed in the outer container tube, both ends of which are open; and a plurality of housed in the inner container tube, A supporting jig for arranging the substrates in a row, and forming a thin film on the substrate by flowing a reactive gas between the wall surface of the inner container tube and the supporting jig on which the substrate is arranged. In the vapor phase growth equipment,
The chemical vapor deposition apparatus according to claim 1, wherein the supporting jig has a shape larger in outer shape than the substrate, and includes a thin plate having an uneven surface.
加熱炉と、該加熱炉に収納され、一端が閉じている外部容器管と、該外部容器管に収納され、両端が開口している内部容器管と、該内部容器管に収納され、複数の基板を列状に配置する支持治具とを具備し、内部容器管の壁面と、前記基板を配置した支持治具との間に反応性ガスを流して前記基板上に薄膜を形成する化学的気相成長装置において、
前記支持治具は、前記基板より所定の幅外形が大きい前記基板と略相似な形状を有する薄板を備えたことを特徴とする化学的気相成長装置。
A heating furnace, an outer container tube housed in the heating furnace, one end of which is closed; an inner container tube housed in the outer container tube, both ends of which are open; and a plurality of housed in the inner container tube, A supporting jig for arranging the substrates in a row, and forming a thin film on the substrate by flowing a reactive gas between the wall surface of the inner container tube and the supporting jig on which the substrate is arranged. In the vapor phase growth equipment,
The said support jig was provided with the thin plate which has a shape substantially similar to the said board | substrate whose predetermined width | variety is larger than the said board | substrate, The chemical vapor deposition apparatus characterized by the above-mentioned.
前記薄板の表面が、基板と略同一形状の部分を鏡面に加工し、それ以外の周辺部に凹凸を有することを特徴とする請求項1または2に記載の化学的気相成長装置。3. The chemical vapor deposition apparatus according to claim 1, wherein the surface of the thin plate is formed by processing a portion having substantially the same shape as the substrate into a mirror surface, and having irregularities in other peripheral portions. 加熱炉と、該加熱炉に収納され、一端が閉じている外部容器管と、該外部容器管に収納され、両端が開口している内部容器管と、該内部容器管に収納され、複数の基板を列状に配置する支持治具とを具備し、内部容器管の壁面と、前記基板を配置した支持治具との間に反応性ガスを流して前記基板上に薄膜を形成する化学的気相成長方法であって、
前記支持治具に、前記基板より所定の幅外形が大きい前記基板と略相似な形状を有する薄板を備え、前記基板を前記薄板と互いの中心が合致するように積載して前記薄膜を形成することを特徴とする化学的気相成長方法。
A heating furnace, an outer container tube housed in the heating furnace, one end of which is closed; an inner container tube housed in the outer container tube, both ends of which are open; and a plurality of housed in the inner container tube, A supporting jig for arranging the substrates in a row, and forming a thin film on the substrate by flowing a reactive gas between the wall surface of the inner container tube and the supporting jig on which the substrate is arranged. A vapor phase growth method,
The support jig includes a thin plate having a shape substantially similar to the substrate having a predetermined width and outer shape larger than that of the substrate, and the thin film is formed by stacking the substrate so that the centers of the thin plate and the respective substrates coincide with each other. A chemical vapor deposition method characterized by the above-mentioned.
JP2003112675A 2003-04-17 2003-04-17 Equipment and method for chemical vapor deposition Pending JP2004319819A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003112675A JP2004319819A (en) 2003-04-17 2003-04-17 Equipment and method for chemical vapor deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003112675A JP2004319819A (en) 2003-04-17 2003-04-17 Equipment and method for chemical vapor deposition

Publications (1)

Publication Number Publication Date
JP2004319819A true JP2004319819A (en) 2004-11-11

Family

ID=33472811

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003112675A Pending JP2004319819A (en) 2003-04-17 2003-04-17 Equipment and method for chemical vapor deposition

Country Status (1)

Country Link
JP (1) JP2004319819A (en)

Similar Documents

Publication Publication Date Title
TWI391034B (en) Contamination reducing liner for inductively coupled chamber
TWI745528B (en) Methods and apparatus for low temperature silicon nitride films
US9039912B2 (en) Batch-type remote plasma processing apparatus
US9932674B2 (en) Film deposition apparatus, film deposition method, and computer-readable recording medium
JP3979849B2 (en) Plasma processing apparatus and semiconductor device manufacturing method
JP2019511118A (en) Selective deposition of silicon nitride films for spacers
US20170306493A1 (en) Method &amp; apparatus to prevent deposition rate/thickness drift, reduce particle defects &amp; increase remote plasma system lifetime
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
JP2005123532A (en) Deposition system and deposition method
KR20110019445A (en) Epitaxial deposition process and apparatus
US20170178758A1 (en) Uniform wafer temperature achievement in unsymmetric chamber environment
JP7175266B2 (en) sputtering shower head
WO2016178991A1 (en) Methods for depositing low k and low wet etch rate dielectric thin films
JP2006080098A (en) Substrate processor and manufacturing method of semiconductor device
CN105940143A (en) Gas confiner assembly for eliminating shadow frame
JPH0786174A (en) Film deposition system
JP2010103544A (en) Film forming apparatus and method
TW202236359A (en) Systems and methods for deposition residue control
JP2004319819A (en) Equipment and method for chemical vapor deposition
JP2004140320A (en) Chemical vapor deposition apparatus
JP2006303516A (en) Processing tube
JP2005256137A (en) Chemical vapor deposition system
JPH07106270A (en) Heat treatment equipment
JP2003037077A (en) Chemical vapor phase epitaxial growth device
JP6224263B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program