JP2004096117A - 自己整合型接点用の突出スペーサ - Google Patents

自己整合型接点用の突出スペーサ Download PDF

Info

Publication number
JP2004096117A
JP2004096117A JP2003311059A JP2003311059A JP2004096117A JP 2004096117 A JP2004096117 A JP 2004096117A JP 2003311059 A JP2003311059 A JP 2003311059A JP 2003311059 A JP2003311059 A JP 2003311059A JP 2004096117 A JP2004096117 A JP 2004096117A
Authority
JP
Japan
Prior art keywords
layer
forming
gate
gate structure
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003311059A
Other languages
English (en)
Inventor
Kurt G Steiner
カート ジョージ ステイナー
Gerald W Gibson Jr
ジェラルド ダブリュ. ギブソン,ジュニヤ
Eduardo Jose Quinones
エドアルド ジョゼ キノンズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Publication of JP2004096117A publication Critical patent/JP2004096117A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】ゲート構造に近接したソース/ドレイン領域への自己整合型の接触を可能にし、ゲート構造と、接触を行うソース/ドレイン領域とが短絡しにくい方法および構造を提供すること。
【解決手段】ゲート電極構造の上面の上に突き出る突出スペーサにより、自己整合型接点を形成するのに用いるエッチング・プロセス中にゲート電極が露出しにくくなる。この突出スペーサは、パターン化したゲート電極構造の上部層としてアモルファス・カーボン犠牲層を使用して形成することができる。犠牲アモルファス・カーボン層に沿う部分も含めて、ゲート電極構造に沿って誘電体スペーサを形成する。この誘電体スペーサは、ほぼアモルファス・カーボン層の最上部まで延びる。次いで、アモルファス・カーボン層を除去すると、残りのゲート構造が、その上面の上に突き出る突出区域を有する誘電体スペーサを含むようになる。このゲート構造の上に窒化物層を形成することができる。こうした構造は、自己整合型接点の形成中のゲート電極の露出、および接点開口が充填された後の短絡を防止する。
【選択図】図7

Description

 本発明は、最も一般に、半導体製品およびその形成方法に関する。より詳細には、自己整合型接点の形成を可能にする突出スペーサを形成するための方法および構造に関する。
 今日の急速に進歩する半導体製造産業では、チップ・サイズを小型化しチップを高機能化するように絶えず迫られている。言い換えれば、組み合わせて集積回路チップを形成するデバイスの集積レベルを上げ、そのフィーチャ・サイズを縮小させるように迫られている。接点は、従来方式のプロセス技術を使用して、1つ(または複数)の絶縁層を貫通して開口を形成し、たとえば基板内に形成したソース/ドレイン領域に接続されることがあるポリシリコンおよびその他の相互接続リードの上面を露出させその接触を行うことによって形成される。現在では、SAC(自己整合型接点)により、ソース/ドレイン領域などの基板領域に直接接触を行うために中間誘電体層など1つ(または複数)の絶縁層を直接貫通して接触させることによって、集積レベルの増加がもたらされる。それぞれの場合、その後接点開口は、導電性材料または半導体材料で充填される。
 デザイン・ルールにおいて、ますます小さな幾何形状と、より近接して配置されたデバイス構成要素が可能になるにつれ、関連するゲート構造と近接させながら、ソース/ドレイン領域に接触するSACを生成することが挑戦課題となっている。一般に、ゲート構造は、ゲート誘電体、ゲート電極、およびその他の材料を含み、これらの材料が、ゲート領域の両側に形成されたソース/ドレイン領域から横方向に延びるトランジスタ・チャネルの上に位置合わせされたスタックを形成する。通常、ゲート構造には、その側壁に沿って形成される誘電体スペーサを使用する。一般に、この側壁スペーサは酸化物材料で形成され、側壁スペーサの上も含めてゲート構造の上に窒化物(窒化シリコン、Si3N4)の被膜を形成するのが一般的である。これは、エッチング操作を用いて、中間誘電体を貫通して延び、ゲート構造に近接したソース/ドレイン領域を露出させるSAC用の開口を形成するとき、この窒化物被膜により側壁スペーサが侵食されるのを防ぐために行うものである。というのは、この窒化物被膜が、異なる材料で形成され、中間誘電体をエッチングするのに使用され、そうでない場合には酸化物スペーサを侵食する、エッチング用化学物質およびエッチング条件に対して強いからである。側壁スペーサが侵食され、その一部が除去され、ゲート電極の側壁が露出される場合、開口を導電性材料で充填した後、ソース/ドレイン領域とゲート構造自体が短絡することになり、トランジスタが動作不能になる。窒化物被膜を使用して、このような短絡の発生を防止する。一般に、エッチングされることが望まれる中間誘電体と、エッチングされないことが望まれる窒化物被膜の間のエッチング選択性は良好である。したがって、典型的な自己整合型接点の形成プロセスでは、中間誘電体と窒化物その他のライナ材料の間のエッチング選択性を利用する。
 しかし、デザイン・ルールにおいて、プリメタル誘電体として使用するHDP(高密度プラズマ)誘電体が必要になるようなより小さい幾何形状が可能になるにつれ、これら従来型の材料を用いるSACプロセスは製造性が悪くなりつつある。HDP誘電体により、より攻撃的なアスペクト比のギャップを充填することができるが、一般に、窒化シリコンのライナ材料に対するそのエッチング選択性は低い。さらに、現在の攻撃的なデザイン・ルールでは、このようなSAC開口をゲート構造の近傍に形成し、ソース/ドレイン領域に接触を行う。したがって、このプロセスでは、位置合わせの影響が極めて大きく、SAC開口のわずかな位置ずれによって、接点開口が、ゲート構造の側壁に隣接した酸化物スペーサに沿って延びる窒化物ライナを露出させる恐れがある。これにより、HDP誘電体中でSAC開口をエッチングするために用いるエッチング・プロセス中に、ゲート構造が浸食をより受けやすくなる。さらに、従来型のスペーサは、ゲート構造の上面まで延び、そこで終端する。窒化物ライナは、一般のエッチングの物理的性質から、また、スペーサ最上部の真上のところで窒化物ライナが最も薄くなり得ることから、スペーサ最上部のひじ部で最も浸食を受けやすい。窒化物層がこの部分で浸食を受ける場合、テーパが付いてこのひじ部のところで先が尖る、下にあるスペーサが侵食され、ゲート構造のゲート電極の一部が露出することがある。その場合、接点構造が導体で充填されるとき、ソース/ドレイン領域はゲート電極に短絡されることになる。
 この問題に対処するための1つの手法は、ドーパント・レベルを上げた中間誘電体層を用い、かつ/またはオゾンと反応させた酸化物などのより低密度の充填材料を用いることによって、新しいHDP中間誘電体と窒化物層の間のエッチング選択性を改善することである。しかし、この手法の欠点は、より高ドーパント濃度の材料は高アスペクト比の構造を充填しにくいことである。実際、これは、窒化物層の侵食を妨げ、したがって短絡を防止する高いエッチング選択性と、高アスペクト比の開口を充填することができる誘電体材料とのトレードオフになる。高度にドープしたボロンなどの誘電体層またはリンをドープした酸化シリコンに関連する別の欠点は、多くの最先端デバイスが600〜700℃未満の温度で維持されなければならないという関連した温度バジェットの制約があることである。
 したがって、ゲート構造に近接したソース/ドレイン領域への自己整合型の接触を可能にし、ゲート構造と、接触を行うソース/ドレイン領域とが短絡しにくい方法および構造を考案することが明らかに必要である。
 本発明は、上記その他の必要に対処するものであり、一態様では半導体製品を形成する方法を提供する。1つの例示的方法は、1組の対向する側壁を有し、下にある表面の上に配設された最上部犠牲層を含むゲート構造を表面の上に形成することを含む。犠牲層および下にある表面はそれぞれ、対向する側壁で横方向に終端する。次いで、その側壁に沿って酸化物スペーサを形成する。その後、各側壁が、下にある表面の上に、したがってゲート構造の上に突き出る突出区域を含むように犠牲層を除去する。
 本発明は、半導体基板の上に形成したゲート構造を備える半導体製品も提供する。このゲート構造は、1対の対向する側壁およびある高さを有する。1対の誘電体スペーサが含まれ、各スペーサは各側壁に沿って延びる。各スペーサの高さはゲート構造の高さよりも高く、そのためスペーサはゲート構造の上を延びる部分を含む。
 本発明は、下記の詳細な説明を添付の図面と併せ読めば、最もよく理解されよう。一般の慣習に従い、図面の様々なフィーチャは原寸に比例していないことを強調しておく。そうではなくて、見やすいように、様々なフィーチャの寸法は任意に拡大または縮小してある。本明細書および図面を通じて、同じ数字は同じフィーチャを示す。
 図1から図7それぞれ本発明の突出スペーサを形成するのに用いる一連のプロセス操作を示す断面図である。
 以下の例では、本発明は、犠牲材料で形成した上部層と、その犠牲層に沿う部分も含めてゲート構造の側壁に沿って形成した酸化物スペーサとを有するゲート構造を提供する。酸化物スペーサを形成した後、犠牲上部層を除去し、その結果、各酸化物スペーサが、犠牲層を除去した後に残るゲート構造の上面の上を延びる。突出スペーサの上も含めてゲート構造の上に窒化シリコン層などを形成することができる。この層はライナと呼ぶこともできる。次いで、たとえば、自己整合型接点を形成してゲート構造のソース/ドレイン領域に接触を行うとき、接点構造の位置ずれが生じたときでさえ、突出スペーサが、窒化物ライナとあいまって、スペーサの侵食とゲート構造の側壁の露出を防ぐので、より堅固なプロセスが実現される。
 図1は、基板の上に形成した一連の被膜を示す断面図である。この一連の被膜を使用して、MOSFET(金属酸化膜半導体電界効果トランジスタ)のゲートなどのゲート構造を形成することになる。基板3は、半導体基板、たとえば、ドープしたまたはドープしていないシリコン、ガリウムヒ素、あるいは他の適当な半導体基板材料でよい。基板3の表面5の上にゲート誘電体7を形成する。様々な種類の適当なゲート誘電体、たとえば、ゲート酸化物、または酸化物/窒化物あるいは酸化物/酸窒化物/窒化物の組合せを使用することができる。デバイスの動作パラメータおよびトランジスタを形成する他の層の物理的特性に応じて、様々な厚さのゲート誘電体7を使用することができる。ゲート電極層9は、トランジスタのゲートを形成するのに使用される様々な種類の適当な半導体および/または導電性材料ならなんでもよい。実施形態の一例では、ゲート電極層9はポリシリコンとすることができる。実施形態の別の例では、ゲート電極層9は、ポリシリコン層の上にコバルト・シリサイド層を重ねた複合層とすることができる。実施形態のさらに別の例では、ゲート電極層9は、ポリシリコン層の上にタングステン・シリサイドを重ねた複合層とすることができる。特定の一実施形態では、上に重なるシリサイド層の厚さは800オングストローム、ポリシリコンの厚さは1000オングストロームとすることができる。これは単なる例として示したものであり、その他様々なドープしたあるいはドープしていない単一層または複合層を使用し、様々な厚さでゲート電極層9を形成することができる。ゲート電極層9は上面11を含む。ゲート電極層9の上面11の上にハード・マスク層13を形成する。実施形態の一例では、ハード・マスク層13は酸化物とすることができるが、実施形態の他の例では、他の適当なハード・マスク材料を使用することもできる。ハード・マスク層13は上面15を含む。様々な従来型の適当な形成プロセスを使用して、上記の各被膜を生成することができる。
 ハード・マスク層13の上に犠牲層17を形成する。実施形態の例では、犠牲層17はアモルファス・カーボンとすることができる。アモルファス・カーボンは、水素化炭素であり、1000〜2500オングストロームの範囲の厚さに形成することができる。実施形態の一例では、アモルファス・カーボンの犠牲層17を窒素でさらにドープすることもできる。実施形態の一例では、犠牲層17の厚さ18は1800オングストロームである。犠牲層17は、低電力PECVD(プラズマ化学気相成長法)プロセスを使用して形成することができる。犠牲層17がアモルファス・カーボンである実施形態の例によれば、プロピレンC3H6175sccm、窒素2000sccm、圧力6.5トール、1100ワットの高周波電力、および温度450℃という条件のPECVDプロセスを用いて、アモルファス・カーボンを形成することができる。これは単なる例として示したものであり、様々な他のプロセス流量、圧力、電力および温度を用いて、アモルファス・カーボン被膜を形成することができる。ブタン、メタン、または他のガス種を、炭素源としてプロピレンの代わりに使用することもできる。犠牲層17は、他の方法を使用して他の材料で形成することもできる。犠牲層17は上面19を含む。犠牲層17の上面19の上に誘電体反射防止被覆層21を形成する。誘電体反射防止被覆として、様々な種類の適当な材料、たとえば、Applied Materials,Inc.社のDARC(商標)、Novellus Systems,Inc.社のPEARL、および他の水素化シリコン酸窒化物材料を使用することができる。誘電体反射防止被覆層21は上面23を含む。実施形態の別の例によれば、他の反射防止被覆を使用することもでき、実施形態のさらに別の例によれば、反射防止層が必要でないこともある。
 次に図2に移ると、部分的に形成されたゲート構造が示されている。誘電体反射防止被覆層21の上面23の上に、フォトレジストなどの感光層を形成する。従来方式のフォトリソグラフィ技術を使用してこの感光性被膜をパターン化し、ゲート領域25にマスキング被膜24を生成することができる。次いで、一連のエッチング操作を用いて、誘電体反射防止被覆層21、犠牲層17、およびハード・マスク層13の一部を残余領域27から除去し、ゲート領域25に上記の各被膜の一部を残し、ゲート構造を形成する。プロセス・シーケンスの一例によれば、図2に示すように、CF/Arエッチングを用いて誘電体反射防止被覆層21をエッチングすることができる。別の実施形態の例では、他のフレオン・ベースのエッチング化学物質を使用することもできる。さらに実施形態の別の例では、NF3またはSF6などのフッ素を含む他のエッチング・ガスを使用することもできる。
 その後、酸素エッチング・プロセスを行ってマスキング被膜24を除去し、犠牲層17をエッチングして残余領域27からそれを除去することができる。次いで、図3に示すように、様々な種類の適当なエッチング・プロセスを使用して、残余領域27からハード・マスク層13の一部をエッチングすることができる。一実施形態では、CF/Arなどフレオン・ベースのエッチング化学物質を使用することができる。実施形態の別の例では、NF3またはSF6などフッ素を含む他のエッチング・ガスを使用することもできる。こうした手順を使用して、残余領域27からハード・マスク層13を除去し、また、ゲート領域25内で誘電体反射防止被覆層21を部分的あるいは完全に除去し、それによってゲート領域25内で犠牲層17を露出させることができる。
 図3に示すように、様々な従来方式の適当なプロセスを用いて、ゲート電極層9を残余領域27から除去することができる。ここで使用する1つ(または複数)のエッチング・プロセスは、ゲート電極層9を形成するのに使用した材料によって決まる。実施形態の一例では、HBr/Cl2/CF4のゲート・エッチングを用いることができるが、他の実施形態では、他の適当なエッチング化学物質を使用することもできる。ゲート誘電体が酸化物である実施形態の一例では、ゲート・エッチングは、エッチング化学物質に酸素を添加することによってゲート誘電体7上で終了させることができる。犠牲層17がすでに露出している場合、このように酸素を添加することにより、ゲート構造50から犠牲層17の一部を除去することができる。この時点で、適当な選択性をもつ専用のエッチング・プロセスを用いて、任意選択でゲート誘電体7を除去することができる。こうしたエッチング・プロセスを行った後、酸素による除去などの様々な除去プロセスを用いて、ゲート構造の上から残余の誘電体反射防止被覆層21を除去することができる。ゲート誘電体上でゲート電極のエッチングを終了させるのに使用した酸素ベースのエッチングに加えて、こうした除去プロセスにより、犠牲層17の厚さがさらに薄くなることがある。(図1に示す)犠牲層17の元の厚さ18が1800オングストロームであった実施形態の例では、厚さ28は1200〜1700オングストローム程度、特定の一実施形態では、1500オングストロームになり得る。実施形態の他の例では、厚さ28は500〜2000オングストロームの範囲の値をとり得る。この時点で、ゲート構造50は、犠牲層17の上面19である上面と、高さ33と、140ナノメートルという小さな寸法となり得る幅31とを有する。実施形態の他の例では、他の寸法とすることもできる。ゲート構造50は、ほぼ垂直な対向する側壁29も含む。対向する側壁29、したがってゲート構造50は高さ33を有する。
 次に図4に移ると、ソース/ドレイン領域35を従来方式で形成した後のゲート構造50が示されている。図3に示す構造を覆って薄い誘電体スペーサ被膜を形成し、次いで、エッチングして対向するスペーサ39を形成する。実施形態の例では、この薄い誘電体スペーサ被膜は1000オングストロームの厚さで形成することができるが、実施形態の他の例では他の膜厚を使用することもできる。実施形態の一例では、この薄い誘電体スペーサ被膜は酸化物被膜とすることができる。この被膜は、様々な種類の適当な酸化物被着技術を用いて形成することができる。
 実施形態の他の例では、この薄い誘電体スペーサ被膜およびそこから形成されるスペーサは、ドープしていない酸化物以外の材料から形成することができる。この薄い誘電体被膜は、犠牲層17とは異なるエッチング特性をもつように選択する。犠牲層17がアモルファス・カーボンである実施形態の例では、この薄い誘電体スペーサ被膜は、たとえば、ドープした酸化物、窒化物、酸窒化物、またはシリコン・カーバイドとすることができる。この薄い誘電体スペーサ被膜と犠牲層のエッチング特性の差により、犠牲層を侵食することなくスペーサを形成することができ、その後、スペーサを侵食することなく犠牲層を除去することもできる。これにより、図5に示すような突出スペーサを形成することができる。しかし、簡単にするため、以下の説明では、犠牲層17がアモルファス・カーボンであり、スペーサが酸化物で形成される実施形態の例を扱う。これは単なる例として示すものである。
 アモルファス・カーボンの犠牲層の温度バジェットは比較的大きいので、その上に薄い酸化物スペーサ被膜を形成することができる。次いで、従来方式のエッチング技術を用いて、この薄い誘電体スペーサ被膜からスペーサ39を形成する。並外れたアモルファス・カーボン対酸化物のエッチング選択性により、アモルファス・カーボンの犠牲層17を大きく侵食することなく、スペーサの縁部を後退させ、酸化物スペーサ39を形成することができる。薄い酸化物スペーサ被膜の被着の前にゲート誘電体7が除去されない場合、スペーサの形成プロセス中に、ゲート誘電体7も残余領域27から除去されて、表面5が露出する。ゲート領域25内では、スペーサを形成するエッチング・プロセス中、犠牲層17が露出するがほぼそのまま残り、酸化物スペーサ39の区域が犠牲層17に並んで形成される。酸化物スペーサ39は、対向する側壁29と同延の境界を形成し、ほぼ犠牲層17の上面19まで、その下にあるハード・マスク13の上面15の上を延びる。したがって、側壁スペーサ39は、ゲート構造50とほぼ同じ高さになる。この薄い酸化物層およびアモルファス・カーボンは、エッチング特性が相対的に異なるので、それぞれ独立に除去することができる。
 ソース/ドレイン領域35は、自己整合構造であり、この時点で、基板3の表面5にドーパント不純物を導入するための様々な種類の適当な従来手段を用いて形成することができる。このソース/ドレインの注入はスペーサを貫通し、したがって、スペーサ39の下およびゲート構造50に極く近接した基板領域ではより浅くなる。犠牲層17の存在が、ソース/ドレインの注入がゲート電極9に達するのを妨げる助けとなる。この時点で、チャネル30がソース/ドレイン領域35とゲート構造50の下の間を延びる。プロセス・シーケンスの別の例によれば、図3に示す構造内に、したがってスペーサを形成する前に、ソース/ドレイン領域35を形成することもできる。
 次いで、犠牲層17を除去する。図5に、犠牲層17を除去した後の図4の構造を示す。犠牲層17をアモルファス・カーボンで形成する実施形態の例によれば、酸素エッチングを用いて、ハード・マスク層13および側壁スペーサ39をそのまま保ちながら、アモルファス・カーボンの犠牲層を選択的に除去することができる。実施形態の他の例によれば、他のエッチング・プロセスを用いて、アモルファス・カーボンの犠牲層を除去することもできる。アモルファス・カーボンの犠牲層17を除去した後、突出区域43がゲート構造の上、すなわち、犠牲層17が除去された後に露出されるハード・マスク層13の上面15の上に突き出る。
 犠牲層が他の材料で形成される実施形態のさらに別の例によれば、様々な種類の適当な選択的エッチング・プロセスを用いて、ハード・マスク層13および酸化物スペーサ39をほぼ保ちながら、犠牲層を除去することができる。このエッチング・プロセスを行った後、各酸化物スペーサ39は、ハード・マスク層13の上面15の上を延びる突出区域43を含むことになる。したがって、各酸化物スペーサ39の高さ44は、ゲート構造50の高さ33よりも高くなる。突出区域43は、既存のゲート構造50の上を、この時点では除去されている犠牲層17の、酸化物スペーサ39が形成された時点での元の厚さ28とほぼ等しい長さだけ延びる。
 図6に、図5に示す構造の上に形成した窒化物層47を示す。実施形態の様々な例によれば、窒化物層47の厚さ49は、200〜2000オングストロームの範囲の値をとることができる。実施形態の一例によれば、窒化物層47、あるいは窒化物ライナ47とも称するものの厚さ49は、500オングストロームとすることができる。これは単なる例として示したものであり、実施形態の他の例では、他の様々な厚さとすることもできる。基板3の表面5の上、およびゲート構造50の上に窒化物層47を形成する。具体的には、この窒化物層47は、ハード・マスク層13の表面15の上、酸化物スペーサ39の突出区域43の上、および基板3の上に形成する。様々な種類の適当な従来方法を用いて、窒化物層47を形成することができる。実施形態の他の例では、窒化物層47の代わりに他の適当なライナを用いることもできる。このようなライナは、SAC開口を形成するのに使用するエッチング化学物質に耐性がある材料で形成することが好ましい。
 図6には、アプローチ長54も示されている。これは、ゲート電極層9の一部からスペーサ39の外側までの最短長さである。実施形態の様々な例では、アプローチ長54は変わり得る。実施形態の一例では、200〜500オングストロームの範囲の値をとることができる。見方によっては、アプローチ長54は、ゲート構造50に近い領域中に自己整合型接点を形成するのに使用するエッチング・プロセス中の誤差マージンの1つの大きさを表している。アプローチ長54は、ゲート電極層9を露出させ、それによって、ゲート電極層9を露出させるエッチング・プロセス中に形成された接点開口を導電性材料または半導体材料を使用して充填するときに短絡をもたらすために除去しなければならない材料の最小量を表している。本発明の有利な態様では、スペーサはテーパ形で先が尖り、ゲート構造の最上部で垂直に終端する従来型の構成に比べて、アプローチ長54が増加する。こうすると、ゲート電極材料からさらに離れて保護窒化物層47が配設されてより大きなプロセス・マージンが得られ、それによって窒化物層の浸食によりゲート電極層9の露出が生じる可能性が減少する。
 図7は、互いに近接して形成された2つのゲート構造50を示す別の断面図である。実施形態の一例によれば、ゲート構造50間の間隔57は140ナノメートル程度とすることができ、これは、ゲート構造50の幅31(図3参照)がやはり同程度であるデザイン・ルールに適合している。実施形態の他の例では、他の値の幅57を用いることもできる。ゲート構造の上にプリメタル誘電体56および58を配設する。これらは、様々な技術を用いて様々な材料で形成することができる。実施形態の一例では、下側のプリメタル誘電体56はHDP(高密度プラズマ)のドープしていない酸化物とし、上側のプリメタル誘電体58はPSG(リン酸シリケート・ガラス)誘電体とすることができる。
 図6および7に示すように、本発明の突出スペーサにより、ゲート電極層9の縁部に追加したスペーサの厚さ(より長いアプローチ長54)によって位置合わせマージンが大きくなる。酸化物スペーサ39の側面62に沿って延びる窒化物層47の厚さに加えて、突出スペーサにより、酸化物スペーサ39の最上部の位置64での横方向および斜め方向の誘電体厚さに比べて、ゲート誘電体層9の最上部の角10での横方向および斜め方向の誘電体厚さが増す。本発明の利点がなければ、ゲート電極層9の最上部の角10における横方向および斜め方向の誘電体厚さは、ほぼ位置64に示すものになるはずである。したがって、SACを位置合わせし形成する際の誤差マージンが大きくなる。
 図7に、基板3中に形成したソース/ドレイン領域35に接触を行うために形成され得る、任意に配置した可能な接点開口60を破線で示す。可能なSAC接点開口60は、様々な種類の適当なフォトリソグラフィ技術およびエッチング技術を使用して位置合わせし形成することができる。実際の接点開口を形成した後、こうした開口を導電性材料および/または半導体材料で充填する。任意の可能なSAC接点開口60は、ほぼ酸化物スペーサ39と一致する側面(左側側面)を含むことが理解されよう。可能なSAC接点開口60の位置がわずかにずれ、ゲート電極50の左側側面により近接して形成され、そのため、可能なSAC接点開口60の形成中により多くの窒化物層47が露出される場合、窒化物層47を含むスペーサ誘電体構造により、同じ概略形状を含むがゲート電極層9の最上部の角10までしか延びていない従来型のスペーサ構造の場合よりも、ゲート電極層9に対するより大きな保護がもたらされることが理解されよう。本発明の突出酸化物スペーサを使用して形成された構造は、開口たとえば可能な開口60が充填された後にゲート電極層9とソース/ドレイン領域35の間に短絡をもたらす位置合わせおよび/またはエッチング誤差に対してより強いので、より堅固なSACの位置合わせおよびエッチング・プロセスが実現される。
 以上は、単に本発明の原理を説明したにすぎない。したがって、本明細書に明示的に記載せず、また示していないが、本発明の原理を実施し、その範囲および趣旨に含まれる様々な構成が当業者には考案できることを理解されたい。さらに、本明細書に述べられているすべての例および条件付き表現は、教示するためだけに主に明示的に示したものであり、当技術を促進させるために本発明者が貢献した本発明の原理および概念を理解する助けとなるためのものであり、具体的に述べられた例および条件に限定されないと解釈すべきである。たとえば、犠牲層はアモルファス・カーボン以外の材料で形成することもでき、スペーサは酸化物以外の材料で形成することもできる。
 さらに、本明細書において、本発明の原理、態様および実施形態、ならびにその具体例を列挙するすべての記述は、その構造的および機能的な均等物を包含するものである。さらに、このような均等物は、現在知られている均等物および今後開発される均等物、すなわち、構造に関わりなく同じ機能を行うように開発されたいかなる要素をも含むものである。したがって、本発明の範囲は、ここに示し説明した実施形態の例に限定されるものではない。そうではなくて、本発明の範囲および趣旨は、添付の特許請求の範囲に従って実施されるものである。
基板の上に形成した被膜のスタックを示す図である。 エッチング操作を用いて、本発明のゲート構造の一部を画定し形成した後の図1に示す構造を示す図である。 追加の層を除去してゲート構造を形成した後の図2の構造を示す図である。 側壁スペーサおよびソース/ドレイン領域を形成した後の図3の構造を示す図である。 犠牲層を除去して突出スペーサ部分を形成した後の図4の構造を示す図である。 構造の上に窒化物ライナを形成した後の図5の構造を示す図である。 基板上に形成した図6に示すような2つのゲート構造と、ゲート構造間に配置された提案のSAC(自己整合型接点)開口とを示す図である。

Claims (20)

  1.  1対の対向する側壁を有し、下にある表面の上に配設された最上部犠牲層を含み、前記犠牲層および前記下にある表面がそれぞれ横方向に前記対向する側壁で終端するゲート構造を表面の上に形成する工程と、
     前記側壁に沿って誘電体スペーサを形成する工程と、
     前記犠牲層を除去し、それによって前記側壁がそれぞれ前記下にある表面の上に突き出る突出区域を含むように前記下にある表面を露出させる工程とを含む、
     半導体製品を形成する方法。
  2.  前記表面が基板表面を備え、
     前記基板表面内に前記ゲート構造に隣接してソース/ドレイン領域を形成する工程と、
     前記ゲート構造の上および前記基板表面の上に少なくとも1つの誘電体層を形成する工程と、
     前記少なくとも1つの誘電体層を貫通して開口を形成し、それによって前記ソース/ドレイン領域の少なくとも1つを露出させる工程とをさらに含む、請求項1に記載の方法。
  3.  前記ゲート構造の上に窒化物被膜を形成する工程をさらに含み、開口を形成する前記工程が、前記窒化物層を貫通して前記開口を形成する工程をさらに含む、請求項2に記載の方法。
  4.  前記犠牲層がアモルファス・カーボンである、請求項1に記載の方法。
  5.  ゲート構造を形成する前記工程が、プラズマ化学気相成長法を用いて前記アモルファス・カーボンを形成する工程を含む、請求項4に記載の方法。
  6.  プラズマ化学気相成長法を用いて前記アモルファス・カーボンを生成する前記工程が、ソース・ガスとしてプロピレンC3H6を使用する工程を含む、請求項5に記載の方法。
  7.  ゲート構造を形成する前記工程が、
     前記表面の上にゲート誘電体層を形成する工程と、
     前記ゲート誘電体層の上に少なくとも1つのゲート電極層を形成する工程と、
     前記少なくとも1つのゲート電極層の上に、前記下にある表面を形成する上面を有する酸化物ハード・マスク層を形成する工程と、
     前記ハード・マスク層の上に前記犠牲層としてアモルファス・カーボン層を形成する工程と、
     前記犠牲層の上に誘電体反射防止被覆を形成し、次いで、それをパターン化して前記ゲート構造を生成する工程とを含む、請求項1に記載の方法。
  8.  パターン化する前記工程が、感光性材料で被覆する工程と、その中にゲート領域を含むパターンを形成する工程と、次いで、少なくとも1つのエッチング操作を行って少なくとも前記誘電体反射防止被覆と、前記犠牲層と、前記ハード・マスク層と、前記少なくとも1つのゲート電極層とを前記ゲート領域以外の区域で前記表面の上から除去する工程とを含む、請求項7に記載の方法。
  9.  前記犠牲層を除去する前記工程が、ほぼ前記犠牲層だけをエッチングする選択的酸素ドライ・エッチングを含む、請求項1に記載の方法。
  10.  ゲート構造を形成する前記工程が、ゲート誘電体層およびその上にゲート電極層を形成する工程を含み、ゲート電極層を形成する前記工程が、ポリシリコン層の上にコバルト・シリサイド層を形成する工程を含む、請求項1に記載の方法。
  11.  前記ゲート構造が前記犠牲層で形成される上面を含み、前記犠牲層を除去する前記工程の前に、前記側壁および前記誘電体スペーサが前記上面まで延びる、請求項1に記載の方法。
  12.  誘電体スペーサを形成する前記工程が、前記ゲート構造の上に酸化物被膜を形成する工程と、前記酸化物被膜の一部を除去し、それによって前記犠牲層を露出させるが実質的に浸食しない工程とを含む、請求項1に記載の方法。
  13.  ゲート構造を形成する前記工程が、前記下にある表面を形成する上面を有し、前記側壁で横方向に終端する酸化物ハード・マスク被膜を形成する工程を含む、請求項1に記載の方法。
  14.  1対の対向する側壁および第1の高さと、それぞれ各側壁に沿って延び前記第1の高さよりも高いスペーサ高さを有する1対の誘電体スペーサとを有する、半導体基板の上に形成したゲート構造を備える半導体製品。
  15.  前記ゲート構造が上面を含み、前記スペーサがそれぞれ前記上面の上に突き出る、請求項14に記載の半導体製品。
  16.  前記スペーサがそれぞれ、500オングストローム〜2000オングストロームの範囲の長さだけ前記上面の上に突き出る、請求項15に記載の半導体製品。
  17.  前記ゲート構造が、ゲート電極層およびその上に配設されたハード・マスク層を含み、前記上面が前記ハード・マスク層の表面である、請求項15に記載の半導体製品。
  18.  前記各スペーサが、前記それぞれの側壁との同延部分を形成するほぼ平面状の内部表面を含み、各スペーサが前記それぞれの側壁の上を延びる突出部分を含む、請求項14に記載の半導体製品。
  19.  前記ゲート構造の上面の上に形成されたアモルファス・カーボン層をさらに備え、各スペーサが前記アモルファス・カーボン層のほぼ上面まで延びる、請求項14に記載の半導体製品。
  20.  上に重ねて形成された窒化物層をさらに備える、請求項14に記載の半導体製品。
JP2003311059A 2002-09-03 2003-09-03 自己整合型接点用の突出スペーサ Pending JP2004096117A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/234,354 US7126198B2 (en) 2002-09-03 2002-09-03 Protruding spacers for self-aligned contacts

Publications (1)

Publication Number Publication Date
JP2004096117A true JP2004096117A (ja) 2004-03-25

Family

ID=28454397

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003311059A Pending JP2004096117A (ja) 2002-09-03 2003-09-03 自己整合型接点用の突出スペーサ

Country Status (5)

Country Link
US (2) US7126198B2 (ja)
JP (1) JP2004096117A (ja)
KR (1) KR20040020836A (ja)
GB (1) GB2394599A (ja)
TW (1) TW200406045A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009192350A (ja) * 2008-02-14 2009-08-27 Nec Corp 熱型赤外線検出器及びその製造方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
EP1676300B1 (en) * 2003-10-03 2014-10-01 Applied Materials, Inc. Method for annealing a substrate comprising an absorber layer
US20050085072A1 (en) * 2003-10-20 2005-04-21 Kim Hyun T. Formation of self-aligned contact plugs
US7354631B2 (en) 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
DE102004015864B4 (de) * 2004-03-31 2007-10-31 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden von Seitenwandabstandhaltern
US7115524B2 (en) * 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7138323B2 (en) * 2004-07-28 2006-11-21 Intel Corporation Planarizing a semiconductor structure to form replacement metal gates
US7371361B2 (en) * 2004-11-03 2008-05-13 Kellogg Brown & Root Llc Maximum reaction rate converter system for exothermic reactions
KR100640628B1 (ko) * 2005-01-10 2006-10-31 삼성전자주식회사 반도체 소자의 자기정렬 콘택 플러그 형성 방법
US20060223332A1 (en) * 2005-03-30 2006-10-05 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US7605045B2 (en) * 2006-07-13 2009-10-20 Advanced Micro Devices, Inc. Field effect transistors and methods for fabricating the same
US7968949B2 (en) * 2007-01-30 2011-06-28 International Business Machines Corporation Contact forming method and related semiconductor device
US8859377B2 (en) * 2007-06-29 2014-10-14 Texas Instruments Incorporated Damage implantation of a cap layer
US7659171B2 (en) * 2007-09-05 2010-02-09 International Business Machines Corporation Methods and structure for forming self-aligned borderless contacts for strain engineered logic devices
US7892900B2 (en) * 2008-04-07 2011-02-22 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing sacrificial spacers
KR20120120729A (ko) * 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치의 금속패턴 제조 방법
US8679969B2 (en) 2011-08-02 2014-03-25 Teledyne Scientific & Imaging, Llc System for self-aligned contacts
US8927407B2 (en) 2012-01-20 2015-01-06 Globalfoundries Inc. Method of forming self-aligned contacts for a semiconductor device
US9511560B2 (en) 2012-04-13 2016-12-06 Infineon Technologies Ag Processing a sacrificial material during manufacture of a microfabricated product
US8928048B2 (en) 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
US8940633B2 (en) 2013-03-05 2015-01-27 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US8946075B2 (en) 2013-03-05 2015-02-03 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting devices
US8872244B1 (en) * 2013-04-18 2014-10-28 International Business Machines Corporation Contact structure employing a self-aligned gate cap
US9159822B2 (en) 2014-02-24 2015-10-13 International Business Machines Corporation III-V semiconductor device having self-aligned contacts
US9337284B2 (en) 2014-04-07 2016-05-10 Alpha And Omega Semiconductor Incorporated Closed cell lateral MOSFET using silicide source and body regions
KR20170020604A (ko) 2015-08-12 2017-02-23 삼성전자주식회사 반도체 장치의 제조 방법
CN105185713B (zh) * 2015-08-26 2019-01-22 上海华力微电子有限公司 一种hkmg器件的制备方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5089434A (en) * 1986-03-21 1992-02-18 Advanced Power Technology, Inc. Mask surrogate semiconductor process employing dopant-opaque region
US6114209A (en) * 1998-03-19 2000-09-05 Mosel Vitelic Inc. Method of fabricating semiconductor devices with raised doped region structures
TW372349B (en) * 1998-06-08 1999-10-21 United Microelectronics Corp Bridge prevention method for self-aligned metal silicide
US6392302B1 (en) * 1998-11-20 2002-05-21 Micron Technology, Inc. Polycide structure and method for forming polycide structure
US6121096A (en) * 1999-03-17 2000-09-19 National Semiconductor Corporation Implant process utilizing as an implant mask, spacers projecting vertically beyond a patterned polysilicon gate layer
JP2002231821A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009192350A (ja) * 2008-02-14 2009-08-27 Nec Corp 熱型赤外線検出器及びその製造方法

Also Published As

Publication number Publication date
US7126198B2 (en) 2006-10-24
US7332775B2 (en) 2008-02-19
KR20040020836A (ko) 2004-03-09
GB0318899D0 (en) 2003-09-17
TW200406045A (en) 2004-04-16
US20040043574A1 (en) 2004-03-04
US20070023848A1 (en) 2007-02-01
GB2394599A (en) 2004-04-28

Similar Documents

Publication Publication Date Title
JP2004096117A (ja) 自己整合型接点用の突出スペーサ
KR100780944B1 (ko) 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
KR100672823B1 (ko) 반도체 장치에서 배선의 형성 방법
US6355572B1 (en) Method of dry etching organic SOG film
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
US20030096504A1 (en) Method of dry etching for fabricating semiconductor device
US7648924B2 (en) Method of manufacturing spacer
JP3959790B2 (ja) 半導体装置の製造方法
KR100278277B1 (ko) 실리사이드의콘택저항개선을위한반도체소자제조방법
JP2006156591A (ja) 半導体装置の製造方法
KR20060122578A (ko) 반도체 메모리 소자의 하드 마스크 형성방법
KR100513051B1 (ko) 반도체 소자의 게이트 전극 형성 방법_
KR20040077272A (ko) 실리콘 질화막 식각방법
KR20050000970A (ko) 반도체 소자의 제조방법
KR100403350B1 (ko) 반도체소자의 무경계 콘택홀 형성방법
KR100764452B1 (ko) 반도체 소자 및 이의 제조 방법
KR100886641B1 (ko) 반도체 소자의 캐패시터 제조방법
KR20050058637A (ko) 살리사이드를 갖는 반도체 소자 제조 방법
KR101051949B1 (ko) 반도체 장치의 패턴 형성 방법
KR100685632B1 (ko) 난드 플래시 메모리 소자의 제조 방법
KR100652361B1 (ko) 자기정렬 방식에 의한 반도체 소자의 제조방법
KR100721591B1 (ko) 반도체소자의 제조방법
KR20050002086A (ko) 플래쉬 메모리 소자 제조 방법
KR20040039776A (ko) 반도체소자의 게이트전극 형성방법
KR20060104877A (ko) 반도체 소자 제조 방법