JP2003501832A - シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法 - Google Patents

シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法

Info

Publication number
JP2003501832A
JP2003501832A JP2001502157A JP2001502157A JP2003501832A JP 2003501832 A JP2003501832 A JP 2003501832A JP 2001502157 A JP2001502157 A JP 2001502157A JP 2001502157 A JP2001502157 A JP 2001502157A JP 2003501832 A JP2003501832 A JP 2003501832A
Authority
JP
Japan
Prior art keywords
sio
dielectric
substituted
composition film
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001502157A
Other languages
English (en)
Inventor
フィッゲ,リサ
ジュード,デュン
シ−チン,ワン
Original Assignee
アライドシグナル インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アライドシグナル インコーポレイテッド filed Critical アライドシグナル インコーポレイテッド
Publication of JP2003501832A publication Critical patent/JP2003501832A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 本発明は、集積回路の製造に有用な、硬化された誘電性フィルム及びその製造方法に関する。二層化された誘電性フィルムが製造される。このフィルムは、下層が非−ケイ素含有有機ポリマーを含み、上層が有機ケイ素含有ポリマーを含む。そのようなフィルムは、集積回路(IC)などの超小型電子デバイスの製造に有用である。一つの面では、上層のケイ素含有ポリマーは40モル%未満の炭素含有置換基を有し、他の面では、上層のケイ素含有ポリマーは少なくとも約40モル%の炭素含有置換基を有する。

Description

【発明の詳細な説明】
【0001】 発明の背景 発明の分野 本発明は、硬化された二層誘電性フィルム及びその製造方法に関する。更に特
定的には、本発明は、下部層が非ケイ素含有有機物ポリマーを含み、そして上部
層が有機ケイ素含有ポリマーを含む、二層誘電性フィルムに関する。このような
フィルムは、集積回路(IC)のようなマイクロ電子装置の製造において有用で
ある。
【0002】 関連技術の説明 半導体技術の分野における継続した動向は、より多くの、そしてより早い回路
をその上に有する集積回路チップの形成である。このような超大規模集積化は、
器機の大きさの継続した縮小となり、単一チップ上に多数の装置が利用可能とな
る。限られたチップ表面において、相互接続の周密さは、典型的にはチップ支持
体上に多層配列で拡大し、そして装置はこれらの多層を通して相互接続されなけ
ればならない。
【0003】 相互接続は、接触するように設計された場合以外は、お互いに電気的に絶縁さ
れなければならない。一般的に電気的絶縁は、表面に誘電性フィルムを置く必要
がある。当技術において、シロキサン樹脂が電子及び半導体分野において有用で
あって、ケイ素ウェファー及び他の素子に誘電性被覆を与えることが知られてい
る。このような被覆は、支持体の表面を保護し、そしてIC上の電気伝導体間に
誘電性層を形成する。このような被覆は、保護層、層間誘電性層、トランジスタ
ー様装置(devices)を製造するためのドープされた誘電性層、コンデンサー及
びコンデンサー様装置、多層装置、3−D装置、絶縁体上のケイ素、超伝導体の
被覆、等として使用することができる。
【0004】 先に記載したように、半導体装置は、電気的に結合された個々の回路素子とし
て働き、従って集積回路を形成する、パターン化された相互接続層の多重アレイ
を有する。過去において、これらの相互接続層は、化学的蒸着又はプラズマ助長
技術を使用して形成された酸化ケイ素フィルムのような絶縁性の誘電性層によっ
て分離されていた。然しながら、回路素子の大きさ及びこのような素子間の間隔
が減少するに伴なって、このような酸化ケイ素フィルムの比較的高い誘電率が、
問題となってきた。
【0005】 酸化ケイ素のそれよりも低い誘電率を得るために、シロキサン基剤樹脂から形
成された誘電性フィルムが、広く使用されるようになった。然しながら、このよ
うなシロキサンフィルムは、確かに酸化ケイ素フィルムより低い誘電率を与える
が、典型的にはこのようなフィルムの誘電率は、約3.0又はそれ以上の値に限
定されることが見出された。このような絶縁用フィルムの誘電率は、低い電力消
費、クロストーク、及び信号遅れを必要とするICにおいて重要な因子である。
ICの寸法が縮小を続けるために、この因子の重要性が増加する。結果として、
3.0より低い誘電率を持つ絶縁用フィルムを与えることができるシロキサン基
剤樹脂材料が非常に好ましい。更に、約0.1μmないし約1.0μm又はそれ
より厚い厚さに成形された場合に、割れに対する高い耐性及び低い歪みを有する
シロキサン基剤樹脂を有することが好ましいものである。
【0006】 更に、マイクロ電子装置の製造において、SiO2、SiN又はSiONは、
低いkの有機物フィルムの集積化に対する硬質被覆(ハードマスク)又はエッチ
ング停止剤として慣用的に使用される。これらのフィルムの使用に伴なう問題は
、これらが、有機物フィルム(<3.0)と比較して、比較的高いk値(≧4.
0)を有することである。本発明は、低いkの有機物フィルムの集積化における
、低いkのシロキサン基剤ポリマーフィルムの使用を記載する。これは、慣用的
なフィルムが使用された場合より低い有効誘電率を可能にする。
【0007】 非ケイ素含有有機物ポリマーと比較して、シロキサン基剤ポリマーの異なった
化学的構造は、異なったエッチングの化学作用を必要とする。低いkの非ケイ素
含有有機物ポリマーフィルムに使用される化学作用は、典型的にはシロキサン基
剤ポリマー、SiO2、又はSiN誘電体をエッチングしない。有機物ポリマー
の引抜性(subtractive)アルミニウムとの集積化において、蝕刻(damascene)
又は二液式蝕刻法では、典型的にはSiO2、SiN又はSiON層が、ビア及
びトレンチをパターン化するエッチング停止剤又は硬質被覆として作用するよう
に有機物ポリマー上に置かれることが必要である。これらの層は、高いk値(≧
4.0)を有し、そして金属の線間に低いkの有機物ポリマーを使用する有効性
を減少する。低いkのシロキサン基剤有機物ポリマーを慣用的なSiO2、Si
ON又はSiNフィルムと同様な方法で硬質被覆又はエッチング停止剤として使
用することは、有効線間の静電容量を減少するものである。
【0008】 本発明によれば、高い又は低い有機物含有率のシロキサンフィルムは、非ケイ
素含有の低いkの誘電性フィルムの焼き付け又は硬化されたフィルムのいずれか
の上に回転分散される。高い又は低い有機含有率のシロキサンフィルムは、標準
的なSiO2、SiON又はSiNと同様に、アルミニウム引抜並びに蝕刻及び
二液式蝕刻法を含む各種の集積化技術において、エッチング停止剤又は硬質被覆
として使用される。
【0009】 発明の概要 本発明は: (a)非ケイ素含有又は実質的に非ケイ素成分を含む有機物ポリマーを含む、第
1の誘電性組成物のフィルム;及び (b)第1の誘電性フィルム上の第2の誘電性組成物のフィルム; を含む誘電体で被覆された、マイクロ電子装置のような支持体を提供し、この第
2の誘電性組成物は、以下のI: I [H−SiO1.5n[R−SiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0−SiO1.5-1.8m、 [H0-1.0−SiO1.5-2.0n[R−SiO1.5m、 [H−SiO1.5x[R−SiO1.5y[SiO2z、 [式中、n及びmの合計、又はx、y及びzの合計は、約8ないし約5000で
あり、そしてm及びyは、炭素含有置換基が約40モルパーセントより少ない量
で存在するように選択され;そしてここにおいて、Rは、置換された及び置換さ
れていない直鎖並びに分枝鎖アルキル基、シクロアルキル基、置換された及び置
換されていないアリール基、並びにこれらの混合物から選択される;] 及び以下のII: II [HSiO1.5n[RSiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0SiO1.5-1.8m、 [H0-1.0SiO1.5-2.0n[RSiO1.5m、 [式中、n及びmの合計は、約8ないし約5000であり、そしてmは、炭素含
有置換基が約40モルパーセント又はそれより多い量で存在するように選択され
;]及び [HSiO1.5x[RSiO1.5y[SiO2z; [式中、x、y及びzの合計は、約8ないし約5000であり、そしてyは、炭
素含有置換基が約40モル%又はそれ以上の量で存在するように選択され;そし
てここにおいて、Rは、置換された及び置換されていない直鎖並びに分枝鎖アル
キル基、シクロアルキル基、置換された及び置換されていないアリール基、並び
にこれらの混合物から選択される;] からなる群から選択される構造を有するポリマーを含む。
【0010】 本発明は、更に: (a)非ケイ素含有又は実質的に非ケイ素含有有機物ポリマーを含む基板上に第
1の誘電性組成物のフィルムを形成し;そして (b)第1の誘電性フィルム上に、第2の誘電性組成物のフィルムを形成するこ
と; を含む、マイクロ電子装置のような支持体上に、誘電性の被覆を形成する方法を
提供し、この第2の誘電性組成物は、以下のI: I [H−SiO1.5n[R−SiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0−SiO1.5-1.8m、 [H0-1.0−SiO1.5-2.0n[R−SiO1.5m、 [H−SiO1.5x[R−SiO1.5y[SiO2z、 [式中、n及びmの合計、又はx、y及びzの合計は、約8ないし約5000で
あり、そしてm及びyは、炭素含有置換基が約40モルパーセントより少ない量
で存在するように選択され;そしてここにおいて、Rは、置換された及び置換さ
れていない直鎖並びに分枝鎖アルキル基、シクロアルキル基、置換された及び置
換されていないアリール基、並びにこれらの混合物から選択される;] 及び以下のII: II [HSiO1.5n[RSiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0SiO1.5-1.8m、 [H0-1.0SiO1.5-2.0n[RSiO1.5m、 [式中、n及びmの合計は、約8ないし約5000であり、そしてmは、炭素含
有置換基が約40モルパーセントから又はそれより多い量で存在するように選択
され;] 及び [HSiO1.5x[RSiO1.5y[SiO2z; [式中、x、y及びzの合計は、約8ないし約5000であり、そしてyは、炭
素含有置換基が約40モル%又はそれ以上の量で存在するように選択され;そし
てここにおいて、Rは、置換された及び置換されていない直鎖並びに分枝鎖アル
キル基、シクロアルキル基、置換された及び置換されていないアリール基、並び
にこれらの混合物から選択される;] からなる群から選択される構造を有するポリマーを含む。
【0011】 本発明は、更に: (a)非ケイ素含有又は実質的に非ケイ素含有有機物ポリマーを含む基板上に、
第1の誘電性の層を形成し;そして (b)第1の誘電性の層の上に、第2の誘電性組成物の層を形成し; この第2の誘電性組成物は、以下のI: I [H−SiO1.5n[R−SiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0−SiO1.5-1.8m、 [H0-1.0−SiO1.5-2.0n[R−SiO1.5m、 [H−SiO1.5x[R−SiO1.5y[SiO2z、 [式中、n及びmの合計、又はx、y及びzの合計は、約8ないし約5000で
あり、そしてm及びyは、炭素含有置換基が約40モルパーセントより少ない量
で存在するように選択され;そしてここにおいて、Rは、置換された及び置換さ
れていない直鎖並びに分枝鎖アルキル基、シクロアルキル基、置換された及び置
換されていないアリール基、並びにこれらの混合物から選択される;] 及び以下のII: II [HSiO1.5n[RSiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0SiO1.5-1.8m、 [H0-1.0SiO1.5-2.0n[RSiO1.5m、 [式中、n及びmの合計は、約8ないし約5000であり、そしてmは、炭素含
有置換基が約40モルパーセントから又はそれより多い量で存在するように選択
され;] 及び [HSiO1.5x[RSiO1.5y[SiO2z; [式中、x、y及びzの合計は、約8ないし約5000であり、そしてyは、炭
素含有置換基が約40モル%又はそれ以上の量で存在するように選択され;そし
てここにおいて、Rは、置換された及び置換されていない直鎖並びに分枝鎖アル
キル基、シクロアルキル基、置換された及び置換されていないアリール基、並び
にこれらの混合物から選択される;] からなる群から選択される構造を有するポリマーを含み; (c)誘電体の層を図形的に(imagewise)パターン化して、誘電性の層に支持
体まで伸びたビア(vias)を形成し; (d)ビア中及び第2の硬化された誘電性の層の上部表面に金属を堆積し; (e)第2の硬化された誘電性の層の上部表面から金属を除去すること; を含む、マイクロ電子装置を製造する方法を提供する。
【0012】 本発明は、なお更に: (a)非ケイ素含有又は実質的に非ケイ素含有有機物ポリマーを含む支持体上に
第1の誘電性の層を適用し;そして (b)第1の誘電性の層の上に、第2の誘電性組成物の層を適用し; この第2の誘電性組成物は、以下のI: I [H−SiO1.5n[R−SiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0−SiO1.5-1.8m、 [H0-1.0−SiO1.5-2.0n[R−SiO1.5m、 [H−SiO1.5x[R−SiO1.5y[SiO2z、 [式中、n及びmの合計、又はx、y及びzの合計は、約8ないし約5000で
あり、そしてm及びyは、炭素含有置換基が約40モルパーセントより少ない量
で存在するように選択され;そしてここにおいて、Rは、置換された及び置換さ
れていない直鎖並びに分枝鎖アルキル基、シクロアルキル基、置換された及び置
換されていないアリール基、並びにこれらの混合物から選択される;] 及び以下のII: II [HSiO1.5n[RSiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0SiO1.5-1.8m、 [H0-1.0SiO1.5-2.0n[RSiO1.5m、 [式中、n及びmの合計は、約8ないし約5000であり、そしてmは、炭素含
有置換基が約40モルパーセントから又はそれより多い量で存在するように選択
され;] 及び [HSiO1.5x[RSiO1.5y[SiO2z; [式中、x、y及びzの合計は、約8ないし約5000であり、そしてyは、炭
素含有置換基が約40モル%又はそれ以上の量で存在するように選択され;そし
てここにおいて、Rは、置換された及び置換されていない直鎖並びに分枝鎖アル
キル基、シクロアルキル基、置換された及び置換されていないアリール基、並び
にこれらの混合物から選択される;] からなる群から選択される構造を有するポリマーを含み; (c)第2の誘電性の層を図形的にパターン化して、誘電性の層に、第1の誘電
性の層まで伸びたトレンチを形成し; (d)第1の誘電性の層を図形的にパターン化して、第1の誘電性の層に支持体
まで伸びたビアを形成し; (e)ビア、トレンチ中及び第2の誘電性の層の上部表面に金属を堆積し; (f)第2の誘電性の層の上部表面から金属を除去すること; を含むマイクロ電子装置を製造する方法を提供する。
【0013】 発明の詳細な記述 本発明の方法を行う第1の工程は、ポリ(アリーレンエーテル)、フッ素化ポ
リ(アリーレンエーテル)、フルオロカーボン、ベンゾシクロブテン、ポリイミ
ド及びフッ素化ポリイミドからなる群から選択される一つ又はそれ以上のポリマ
ーを含む支持体上に誘電性の組成物を形成することである。
【0014】 支持体は、半導体材料、例えばヒ化ガリウム、ゲルマニウム、ニオブ酸リチウ
ム、ケイ素及びケイ素を含む組成物、例えばケイ素ゲルマニウム、結晶性ケイ素
、ポリケイ素、非晶質ケイ素、エピタキシャルケイ素、及び二酸化ケイ素、ドー
プされた二酸化ケイ素、窒化ケイ素並びにポリケイ素、金属、例えばアルミニウ
ム、タンタル、銅、及びアルミニウム/銅混合物、セラミックス、高分子樹脂、
第III/V族化合物及びその組み合わせを含むことができる。
【0015】 線は、所望により支持体の表面に置くことができる。存在する場合、線は、典
型的には公知のリトグラフ技術によって形成され、そして金属、酸化物、窒化物
又は酸化窒化物で構成することができる。線に対する適当な物質は、シリカ、窒
化ケイ素、窒化チタン、窒化タンタル、アルミニウム、アルミニウム合金、銅、
銅合金、タンタル、タングステン及び酸化窒化ケイ素を含む。これらの線は、集
積回路の導体又は絶縁体を形成する。これらは、典型的には好ましくは約20マ
イクロメートル以下、更に好ましくは約1マイクロメートル以下、そしてもっと
も好ましくは約0.05ないし約1マイクロメートルの距離で、お互いに接近し
て分離される。
【0016】 誘電性組成物は、マイクロ電子装置の形成に使用される当技術において公知の
、非ケイ素含有誘電体形成物質の広い範囲のいずれをも含むことができる。誘電
性層は、非排他的にポリ(アリーレンエーテル)、フッ素化ポリ(アリーレンエ
ーテル)、フルオロカーボン、ベンゾシクロブテン、ポリイミド及びフッ素化ポ
リイミドを含むことができる。フッ素化及び非フッ素化ポリ(アリールエーテル
)及びそのコポリマー混合物は、FLARETMの商用名で、AlliedSig
nal Inc.から入手可能である。誘電性組成物は、予備成形されたポリ(
アリーレンエーテル)又はフッ素化ポリ(アリーレンエーテル)ポリマーを含む
ことができ、或いはモノマー状態又はオリゴマー状態のプレポリマーを支持体上
に形成し、その後重合することができる。適当なポリ(アリーレンエーテル)又
はフッ素化ポリ(アリーレンエーテル)は、米国特許第5,155,175号;
5,114,780号及び5,115,082号により当技術において既知であ
る。好ましいポリ(アリーレンエーテル)及びフッ化ポリ(アリーレンエーテル
)は、本明細書中に参考文献として援用される、1997年12月12日に出願
された、米国特許出願第08/990,157号中で開示されている。ポリイミ
ド及びフッ素化ポリイミドは、DuPontから商業的に入手可能である。フル
オロカーボンは、Teflonの商用名でDuPontから、又はCytopの
商用名でAsahi Chemicalsから商業的に入手可能である。ベンゾ
シクロブテンは、BCBの商用名でDow Chemicalsから商業的に入
手可能である。他の有用なポリマーは、パリレンを含み、これはAlphaの商
用名でNovellusから商業的に入手可能である。ポリマーは誘電性組成物
中に純粋な、即ち生のままの状態(いかなる溶媒とも混合されていない)存在す
ることができ、或いは溶媒と混合された溶液で存在することができる。溶媒が存
在する場合、ポリマーは、好ましくはポリマーの約1ないし約50重量%、更に
好ましくは約3%ないし約20%の量で存在する。溶媒成分は、好ましくは誘電
性組成物の約50%ないし約99重量%、更に好ましくは約80%ないし約97
%の量で存在する。適当な溶媒は、非排他的に非プロトン性溶媒、例えばシクロ
ペンタノン、シクロヘキサノン、シクロヘキサノン及びシクロオクタノンを含む
環式ケトン;環式アミド、例えばアルキル基が1ないし約4個の炭素原子を有す
るN−アルキルピロリジノン、及びN−シクロヘキシル−ピロリジノン、並びに
これらの混合物を含む。誘電性組成物は、一旦形成されたならば、適当な支持体
上に堆積され、これによって支持体上にポリマー層が形成される。堆積は、当技
術において公知の、慣用的なスピン被覆、浸漬被覆、ローラー被覆、噴霧、化学
的蒸着法、又はメニスカス被覆法によって行うことができる。スピン被覆が最も
好ましい。支持体上のポリマー層の厚さは、堆積方法及び条件設定によって変化
させることができるが、しかし典型的には厚みは、約500Åないし約50,0
00Å、そして好ましくは約2000Åないし約12000Åの範囲であること
ができる。支持体に適用する誘電性組成物の量は、約1mlないし約10ml、
そして好ましくは約2mlないし約8mlで変化させることができる。好ましい
態様において、液体誘電性組成物は、既知のスピン技術によって支持体の上部表
面に広げることができる。好ましくは、ポリマー層は、液体誘電性組成物を支持
体の中心部に適用し、そして次いで支持体を回転輪上で、約500ないし約60
00rpm、好ましくは約1500ないし約4000rpmの範囲の速度で、約
5ないし約60秒間、好ましくは約10ないし約30秒間回転させ、溶液を支持
体表面に平均に広げることによって適用される。ポリマー層は、好ましくは約1
g/cm3ないし約3g/cm3の密度を有する。
【0017】 本発明の一つの態様において、第1の誘電性組成物のフィルムは、支持体に適
用され、そして硬化され、そして次いで第2の誘電性組成物のフィルムが、硬化
された第1の誘電性組成物のフィルムに適用され、そして次いで硬化される。本
発明のもう一つの態様において、第1の誘電性組成物のフィルムが、支持体に適
用され、そして次いで第2の誘電性組成物のフィルムが、第1の誘電性組成物の
フィルムに適用され、そして次いで両方のフィルムがいっしょに硬化される。
【0018】 硬化は、当技術において既知のいかなる手段によっても行うことができる。典
型的には、誘電体は、加熱によってその分子量を増加させることによって硬化さ
れる。加熱は、誘電性フィルムを硬化させるために充分な時間及び充分な温度で
熱を適用することによって、空気中又は不活性雰囲気中のホットプレート上の加
熱のような慣用的な方法によって行うことができ、或いはこれは、空気中若しく
は不活性雰囲気中の炉又はオーブン中で起こすことができ、或いはこれは、真空
炉又は真空オーブン中で起こすことができる。加熱は、好ましくは約200℃な
いし約600℃、そして更に好ましくは約350℃ないし約450℃の温度で行
われる。この加熱は、好ましくは好ましくは約1分ないし約360分間、そして
更に好ましくは約2ないし約60分間行われる。所望により、誘電体は電子ビー
ム暴露又はUV線のような化学線に暴露して、その分子量を増加させることによ
って硬化させることができる。暴露の量は、約100mJ/cm2ないし約30
0mJ/cm2の範囲であることができる。誘電体は、その中に置かれた支持体
に電子ビーム照射を与える手段を有するいかなる照射室においても電子ビームに
暴露することができる。好ましくは、大きい面積の電子源を備えた電子ビーム室
を使用する。適当な電子ビーム室は、AlliedSignal Inc.の構
成会社であるElectron Visionから“ElectronCure TM ”の商用名で商業的に入手可能である。このような装置の操作の原理及び性能
特性は、米国特許第5,003,178号に記載されており、この開示は特に本
明細書中に参考文献として援用される。電子ビーム暴露の温度は、好ましくは約
20℃ないし約450℃、更に好ましくは約150ないし約400℃の範囲であ
る。電子ビームのエネルギーは、好ましくは約1ないし約30KeV、そして更
に好ましくは約3ないし約10KeVである。電子の線量は、好ましくは約50
0ないし約50,000μC/cm2の間、そして更に好ましくは約3,000
ないし約20,000μC/cm2である。電子ビーム装置のガス環境は、次の
ガス:窒素、酸素、水素、アルゴン、又はキセノン或いはこれらのガスの組み合
せのいずれでもあることができる。電子ビームの電流は、好ましくは約1ないし
約40mA、そして更に好ましくは約5ないし約20mAである。
【0019】 第2の誘電性層は、構造I又はIIを有するポリマーを含む。構造Iを有する
ポリマーは、炭素含有置換基が約40モルパーセントより低い量で存在する、低
い有機物含有率のものである。これらのポリマーは、本明細書中に参考文献とし
て援用される、1998年3月20日に出願された米国特許出願第09/044
,831号中に更に完全に記載されている。
【0020】 本発明によれば、シロキサンポリマーは、式I: [H−SiO1.5n[R−SiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0−SiO1.5-1.8m、 [H0-1.0−SiO1.5-2.0n[R−SiO1.5m、 [H−SiO1.5x[R−SiO1.5y[SiO2z、 [式中、n及びmの合計、又はx、y及びzの合計は、約8ないし約5000で
あり、そしてm及びyは、炭素含有置換基が約40モルパーセントより少ない量
で存在するように選択される。Rは、置換された及び置換されていない直鎖並び
に分枝鎖アルキル基、シクロアルキル基、置換された及び置換されていないアリ
ール基、並びにこれらの混合物から選択される] の構造を有する。炭素含有置換基の特定のモルパーセントは、出発物質の量の比
の関数である。好ましい態様において、炭素含有置換基のモルパーセントは、約
15モルパーセントないし約25モルパーセントの範囲である。
【0021】 本発明のもう一つの態様において、炭素含有置換基が約40モルパーセント又
はそれ以上の量で存在する、高い有機物含有率の、式IIの構造を有するポリマ
ーを使用することができる。これらのポリマーは、本明細書中に参考文献として
援用される、1998年3月20日に出願された、米国特許出願第09/044
,798号中で更に完全に記載されている。これらは、式II: [HSiO1.5n[RSiO1.5m、 [H0.4-1.0SiO1.5-1.8n[R0.4-1.0SiO1.5-1.8m、 [H0-1.0SiO1.5-2.0n[RSiO1.5m、 [式中、n及びmの合計は、約8ないし約5000であり、そしてmは、炭素含
有置換基が約40モルパーセントから又はそれより多い量で存在するように選択
される;] 及び [HSiO1.5x[RSiO1.5y[SiO2z [式中、x、y及びzの合計は、約8ないし約5000であり、そしてyは、炭
素含有置換基が約40モル%又はそれ以上の量で存在するように選択され;そし
てここにおいて、Rは、置換された及び置換されていない直鎖並びに分枝鎖アル
キル基、シクロアルキル基、置換された及び置換されていないアリール基、並び
にこれらの混合物から選択される;] を有する。炭素含有置換基の特定のモルパーセントは、出発物質の量の比の関数
である。
【0022】 構造I及びIIによるポリマーは、交互のケイ素及び酸素原子を包含するポリ
マー骨格を有する。従来の既知のオルガノシロキサン樹脂とは対照的に、本発明
のポリマーは、本質的に骨格ケイ素原子に結合したヒドロキシル又はアルコキシ
基を有しない。むしろ、先に記載した骨格酸素原子に加えて、それぞれのケイ素
原子は、式中で定義したような水素原子及び/又はR基とのみ結合している。水
素及び/又はR基のみが直接ポリマー中の骨格ケイ素原子に結合することによっ
て、所望しない連鎖延長及び架橋が回避される。従って、本発明によるオルガノ
ヒドリドシロキサンポリマー溶液の保存寿命は、従来の既知のオルガノシロキサ
ンポリマーと比較して向上される。更に、ケイ素−炭素結合は、ケイ素水素結合
より反応性が少ないために、本発明によるオルガノヒドリドシロキサンポリマー
溶液の保存寿命は、従来の既知のヒドリドシロキサン樹脂と比較して向上される
。ある態様において、ポリマー骨格の配座は、かご型配置である。従って、ポリ
マー中に非常に低い量の反応性末端部分しか存在しない。これは、所望しない連
鎖延長重合を溶液中で起こさないことを確実にするものであり、保存寿命の延長
となる。ポリマーのそれぞれのケイ素原子は、少なくとも3個の酸素原子と結合
している。ポリマー骨格に結合した分子は、水素及び有機分子を含む。
【0023】 本発明のオルガノヒドリドシロキサンポリマーの合成は、触媒を使用した二相
溶媒系によることができる。例えば、出発物質は、アルキル又はアリール置換ト
リクロロシランのいずれかに対して、トリクロロシラン及びオルガノトリクロロ
シランであることができる。トリクロロシラン及びオルガノトリクロロシランの
相対的比は、ポリマー中の炭素含有置換基のモルパーセントを決定する。
【0024】 構造I及びIIのポリマーは、少なくとも一つのオルガノトリハロシラン及び
ヒドリドトリハロシランの溶液を混合して、混合物を形成し;混合物を、非極性
溶媒及び極性溶媒の両方を含む二相溶媒と混合し;触媒を、二相溶媒及びトリハ
ロシランの混合物に加え、このようにして二相反応混合物を用意し;二相反応混
合物を反応させて、オルガノヒドリドシロキサンを製造し;そして二相溶媒系の
非極性部分からオルガノヒドリドシロキサンを回収することによって調製するこ
とができる。更なる工程は、回収されたオルガノヒドリドシロキサンを洗浄して
、低分子量種のいずれをも除去し、そしてオルガノヒドリドシロキサン製品を分
留して、これによって分子量に従った製品を分類することを含むことができる。
触媒は、制約されるものではないが、塩化テトラブチルアンモニウム及び塩化ベ
ンジルトリメチルアンモニウムを含む相転移触媒であることができる。他の態様
において、触媒は、Amberjet 4200又はAmberlite I−
6766イオン交換樹脂(Rohm and Haas Company,Ph
iladelphia,PA)のような固相触媒である。本発明によって形成さ
れるような誘電性フィルムは、好都合には、典型的には2.7より小さい、低い
誘電率を与える。更に、本発明のオルガノヒドリドシロキサン組成物による誘電
性フィルムは、約摂氏425度(℃)又はそれ以上の硬化温度を可能にする熱安
定性を示す。好ましい態様において、炭素含有分子Rは、1ないし約20個の炭
素を有する置換された又は置換されていない直鎖及び分枝鎖のアルキル基;約4
ないし約10個の炭素を有することができる置換された及び置換されていないシ
クロアルキル基、並びに約6ないし約20個の炭素を有する置換された及び置換
されていないアリール基であることができる。例えば、Rがアルキル基である場
合、Rは、制約されるものではないが、メチル、クロロメチル及びエチル基、直
鎖及び分枝鎖のプロピル、2−クロロプロピル、ブチル、t−ブチル、ペンチル
並びにヘキシル基を含む。Rがシクロアルキル基である場合、Rは、制約される
ものではないが、シクロペンチル、シクロヘキシル、クロロシクロヘキシル、及
びシクロヘプチル基を含み;Rがアリール基である場合、Rは、制約されるもの
ではないが、フェニル、ベンジル、ナフチル、トリル及びベンジル基を含む。本
発明によるいかなる特定のオルガノヒドリドシロキサン樹脂の特定の炭素含有率
は、使用されるオルガノトリハロシラン(類)とヒドリドトリハロシラン出発物
質のモル比の関数であることは了解されるものである。従って、選択されるいか
なるR基においても、約40モル%より少ない量で存在する炭素含有置換基を有
する本発明による樹脂は、I型構造に対して、そして少なくとも40モル%のも
のはII型構造に対して用意される。好都合には、本発明による態様は、交互の
ケイ素及び酸素原子を包含するポリマー骨格を持つかご型構造を有するポリマー
である。特に、それぞれの骨格ケイ素原子は少なくとも3個の骨格酸素原子に結
合して、先に記載したかご型構造を形成する。本質的に全ての付加的なケイ素の
結合は水素及び有機置換基に対するもののみである。従って、本発明のポリマー
は、本質的に骨格ケイ素原子に結合するヒドロキシル又はアルコキシ基を有せず
、そして架橋反応は抑制される。ポリマーの調製において、有機及びヒドリドシ
ラン(例えばトリクロロシラン及びメチルトリクロロシラン)の混合物は、触媒
、炭化水素溶媒、アルコール及び水の混合物中に加えられる。混合物を濾過し、
水を分離し、溶液を乾燥し、そして次いで蒸発して、白色の固体を残す。この固
体を炭化水素溶媒中でスラリー化して、モノマーを除去し、そして次いで蒸発し
て、所望の製品を残し、これを適当な溶媒と処方して、回転分散されるポリマー
として使用する。製造された製品の分子量(Mw)は、反応条件によって、約4
00ないし200,000原子質量単位(amu)で変化させることができる。
約5,000ないし約60,000amuのMwを持つ物質が好ましいことが見
出された。約10,000ないし約50,000amuのMwを持つ物質がやや
更に好ましく、そして約20,000ないし約40,000amuのMwを持つ
物質がもっとも好ましいことが更に見出された。
【0025】 上部層の誘電性物質のポリマーは、適当な相溶性の溶媒中に分散され、そして
下部層の誘電体上に適用される。適当な溶媒構成物は、約120℃より低い、好
ましくは約100℃より低い沸点を有するものである。適当な高揮発性溶媒構成
物は、非排他的にメタノール、エタノール、n−プロパノール、イソプロパノー
ル、n−ブタノール、酢酸プロピル及びこれらの混合物を含む。他の成分と相溶
性である他の比較的高揮発性の溶媒構成物は、等業者によって容易に決定するこ
とができる。溶媒は、好ましくは全体の混合物の約10%ないし約90重量%、
更に好ましくは約15%ないし約80%、そして最も好ましくは全体のポリマー
との混合物の約40%ないし約60重量%の量で存在する。
【0026】 上部誘電性物質は、先に記載した慣用的な被覆方法によって被覆された支持体
に適用することができる。支持体上の誘電性フィルムの厚さは、支持体に適用さ
れる液体誘電体の量によって変化するが、しかし典型的には厚みは、約500Å
ないし約50,000Å、そして好ましくは約1000Åないし約12000Å
の範囲であることができる。支持体に適用される誘電性液体の量は、約1mlな
いし約10ml、そして好ましくは約2mlないし約8mlで変化することがで
きる。好ましい態様において、液体物質は、先に記載したものと同様な技術で下
部層の誘電体の表面に回転分散することができる。
【0027】 本発明は、シングル・ダマシン・プロセス・シークエンスに有用である。フォ
トレジスト組成物を使用する公知のフォトリソグラフィ技術により誘電層にミク
ロビア(microvia)を形成することができる。前記二重積層コーティング化基板は
画像通りのパターン化が可能で、前記誘電体の部分を除去すると、前記誘電層の
両方を通してビアキャビティを形成することができる。そのようなものは、フォ
トレジストで上部誘電層の上端をコーティングし、たとえば好適なマスクを通し
て化学線に画像通りに暴露し、前記フォトレジストを現像し、次いで前記誘電層
の両方の部分をエッチング除去してビアを形成することによるなどの公知方法に
より形成する。前記フォトレジスト組成物はポジ加工またはネガ加工であっても
よく、通常市販されている。好適なポジ加工フォトレジストは当業界で公知であ
り、o-キノンジアジド放射線感光剤を含んでいてもよい。前記o-キノンジアジド
感光剤としては、米国特許第2,797,213号;同第3,106,465号;同第3,148,983号
;同第3,130,047号;同第3,201,329号;同第3,785,825号;及び同第3,802,885号
に開示のo-キノン-4-または-5-スルホニル-ジアジド類が挙げられる。o-キノン
ジアジド類を使用する場合、好ましい結合性樹脂としては、水不溶性、水性アル
カリ溶解性または膨潤可能な結合性樹脂が挙げられ、ノボラックが好ましい。好
適なポジ型光誘電性樹脂は、たとえばClariant Corporation、Somerville、New
JerseyよりAZ-P4620なる商品名で市販品を入手することができる。このフォト
レジストをマスクを通して可視、紫外若しくは赤外領域の光などの化学線に画像
通りに暴露するか、あるいは電子ビーム、イオン若しくはニュートロンビームま
たはX-線照射によってスキャンする。化学線照射は、非コヒーレント光またはコ
ヒーレント光の形態、たとえばレーザーからの光の形態であってもよい。次いで
このフォトレジストを好適な溶媒、たとえばアルカリ性水溶液を使用して画像通
りに現像する。場合によりこのフォトレジストを加熱してその画像部分を硬化さ
せ、その後現像して非画像領域を除去してビアマスクを画定する。次に前記ビア
の内壁及び誘電性表面からプラズマエッチングにより前記フォトレジストを完全
に除去する。利用可能なプラズマ発生器は、米国特許第5,174,856号及び同第5,2
00,031号に記載されている。
【0028】 次に、このビアに導電性金属を充填し、この金属は領域内のビアを充填し、硬
化した上部誘電体の上端に層も形成する。好適な金属としては、アルミニウム、
アルミニウム合金、銅、銅合金、タンタル、タングステン、チタンまたは、ミク
ロ電子デバイスの形成で通常使用される他の金属が挙げられる。この金属は、蒸
着、スパッタリング、気化、電気メッキなどによって適用することができる。こ
の金属層の厚さは、約3000〜5000オングストロームが好ましい。通常、この金属
はビアの壁上及び上端誘電体上にバリヤ金属シーディング層(seeding layer)を
最初に形成することによって適用する。次いで金属の残余を適用する。このバリ
ヤ金属は、誘電層に導電性金属が拡散しないようにする。バリヤ金属は、たとえ
ばTi、TaNまたはTiNなどの窒化物であってもよい。次に、化学機械的研磨または
当業界で公知の方法によりエッチ・バックして上部誘電層から金属を除去する。
硬化した上部誘電層は研磨止めとして作用する。これらの段階を繰り返して、一
連の好適な層と、基板上に互いにわたった導電路とを提供する。
【0029】 本発明は、デュアル・ダマシン・プロセスでも有用である。第一の下層非-シ
リコン含有誘電性コーティングを基板上に形成し硬化させる。硬化させた下層誘
電層を、高または低有機含有量のシリコン含有誘電体の上部層でコーティングし
、硬化させる。次いでフォトレジスト組成物を使用してトレンチを公知のフォト
リソグラフィ技術により第二の誘電層に形成する。下層の誘電層はエッチ止めと
して作用する。次いでフォトレジスト層部分を適用するプロセスシークエンスを
繰り返し、トレンチ上に集中したアパーチャをもつがより狭い直径をもつマスク
を通して画像通りに暴露することによって、下の第一の誘電層にビアをつくる。
このフォトレジストを現像し、下層の誘電層の部分をエッチングにより除去する
と、ビアが形成する。次にこのビアとトレンチに導電性金属を充填し、これは硬
化した上部誘電層の上端部に層も形成する。次にこの金属層を、化学機械的研磨
またはエッチ・バックなどの方法により除去する。硬化した上部誘電層は研磨止
めとして機能し、ミクロ電子工学デバイスが得られる。
【0030】 以下の非限定的な実施例により、本発明を説明する。
【0031】
【実施例】
実施例1 20モルパーセントのメチルヒドリドシロキサンの製造 2Lのモートンフラスコにドライアイスコンデンサと、アロー1750モーターに
接続したスターラーとを取りつけた。反応器を25℃に設定した水浴に設置した。
このフラスコにN2をパージし、この反応の間、コンデンサ上端部を横切ってNaOH
スクラバー中にN2を吹き込んだ。Amberjet 4200(CI)イオン交換樹脂触媒60.25g
、エタノール80mL、水25mLとヘキサン1000mLとをこのフラスコに添加し、撹拌を
開始した。トリクロロシラン58mL(0.575mol)とメチルトリクロロシラン17mL(0.1
45mol)(20.1mol%メチルトリクロロシラン)とをFEPボトル中で一緒に混合した。
シランのこの混合物を、8.0RPMの設定速度の1/4"テフロン(登録商標)管を通す
蠕動ポンプによりモートンフラスコに添加した。計算した添加速度は2.5mL/分で
あった。添加完了後、185分間撹拌を続けた。ブフナー漏斗中Whatman#4濾紙を
通して真空により溶液を濾過した。溶液を分液漏斗に入れ、下層の水性層を廃棄
した。上層を4Åのモレキュラーシーブ171gで3.5時間乾燥した。ブフナー漏斗
中のWhatman#2濾紙を通して溶液を真空濾過した。溶液をBuchiロータリーエバ
ポレーターで60℃で蒸発させた。収量は白色固体25.2gであった。GPCで測定した
Mwは26,014AMUであり、多分散性は13.68であった。
【0032】 実施例2 80モルパーセントのメチルヒドリドシロキサンの製造 窒素入口、ドライアイスコンデンサとメカニカルスターラーとを備えた1Lジ
ャケット付きフラスコに、ヘキサン1000mL、エタノール80mL、水25mLとAmberjet
4200触媒61.3gとを充填した。この混合物を25℃(循環浴)で撹拌しながら0.5時間
平衡させた。トリクロロシラン(14.3mL、0.142mol)とメチルトリクロロシラン(6
6.7mL、0.568モル)との混合物を、蠕動ポンプを使用して反応器に35分かけて添
加した。シラン添加が完了したら、10分かけてラインからヘキサンを汲み上げた
。反応物を23時間撹拌し、次いでWhatman#4濾紙を通して濾過した。濾過した
溶液を分液漏斗に入れ、水/エタノール層を除去した。残ったヘキサン溶液を4
Åモレキュラーシーブ(170g)で5時間乾燥し、次いで1μm濾紙を通して濾過し
た。ロータリーエバポレーターを使用してヘキサンを除去すると白色固体の生成
物(23.1g)が得られた。収率52%。ポリスチレン標準を参照したこの生成物のGPC
からMwは11,885であり、多分散性は6.5であった。
【0033】 実施例3 ポリ(アリーレンエーテル)ポリマーの薄いフィルムを、慣用のスピンコーティ
ング法を使用して4"シリコンウエハー上に形成した。スピンコーティング後、こ
のフィルムを150℃の温度で2分間のホット-プレートベーキングにかけた。スピ
ンコーティングとベーキングプロセス後のフィルム厚さは8000〜10000Åの範囲
であった。周囲圧力で、N2フローを使用し水平炉中で425℃で1時間の熱硬化を
実施した。慣用のスピンコーティング法を使用して、実施例1のポリマーの薄い
フィルムをポリ(アリーレンエーテル)ポリマー上に形成した。スピンコーティン
グ後、このフィルムを150℃の温度で2分間のホット-ベーキングにかけた。スピ
ンコーティングとベーキングプロセス後のフィルム厚さは8000〜10000Åの範囲
であった。周囲圧力で、N2フローを使用し水平炉中で425℃で1時間の熱硬化を
実施した。
【0034】 実施例4 慣用のスピンコーティング法を使用してポリ(アリーレンエーテル)ポリマーの
薄いフィルムを4"シリコンウエハー上に形成した。スピンコーティング後、フィ
ルムを150℃の温度で2分間のホット-プレートベーキングにかけた。スピンコー
ティングとベーキングプロセス後のフィルム厚さは8000〜10000Åの範囲であっ
た。周囲圧力で、N2フローを使用し水平炉中で425℃で1時間の熱硬化を実施し
た。慣用のスピン-コーティング法を使用して実施例2のポリマーの薄いフィル
ムをこのポリ(アリーレンエーテル)ポリマー上に形成した。スピンコーティング
後、このフィルムを150℃の温度で2分間のホット-プレートベーキングにかけた
。スピンコーティングとベーキングプロセス後のフィルム厚さは8000〜10000Å
の範囲であった。周囲圧力で、N2フローを使用し水平炉中で425℃で1時間の熱
硬化を実施した。
【0035】 実施例5 ポリ(アリーレンエーテル)ポリマーの2枚の薄いフィルムを、スピンコーティ
ング法により200mmのSiウエハー基板上に形成した。付着させた後、一連のホッ
トプレート上、それぞれのホットプレート上で150℃、200℃及び250℃でベーキ
ングした。続いて、一枚の薄いフィルムを周囲圧力下、N2フロー下で、425℃で
1時間水平炉中に設置し、確実に熱架橋させた。
【0036】 このプロセスの後、Woollam分光円二色計(ellipsometer)上で、硬化したも
のと非硬化のポリ(アリーレンエーテル)ポリマーフィルムとの両方についてのフ
ィルム厚さを測定した。硬化フィルムの厚さは6181Å(+/−73Å)であり、非硬
化フィルムは6354Å(+/−190Å)であった。続いて実施例2のポリマーの薄層を
スピンコーティング法により硬化フィルムと非硬化フィルムの両方の上に付着さ
せた。付着させた後、ポリマースタック上の全てのコンポジットスピンを、一連
ホットプレート上、それぞれのホットプレート上で1分間、150℃、200℃と350
℃でベーキングした。続いて全てのフィルムを、周囲圧力下、N2フロー下で、42
5℃の水平炉中に1時間設置して確実に熱架橋させた。ポリ(アリーレンエーテル
)フィルムと重ねた実施例2のポリマーフィルムの両方の厚さを、Woollam分光二
色計で測定した。425℃の2回の熱エクスカーションにかけたポリ(アリーレンエ
ーテル)フィルムの厚さは6182Å(+/−61Å)であったのに対し、425℃の1回の
熱エクスカーションにかけたポリ(アリーレンエーテル)フィルムの厚さは6310Å
(+/−182Å)であった。両方のポリ(アリーレンエーテル)フィルム上の実施例2
ポリマーの厚さはそれぞれ1289Å(+/−11Å)と1315Å(+/−13Å)であった。
【0037】 実施例6 実施例3及び4のコーティング化基板を、Clariant Corporation、Somervill
e、New Jersey製AZ-P4620フォトレジストでスピンコーティングし、ベーキング
した。次いで、このフォトレジストをマスクを通して紫外線照射に画像通りに暴
露した。次いでアルカリ水溶液を使用してフォトレジストを現像して非画像部分
を除去し、ビアマスクを画定した。次いでエッチングによりビアを形成した。次
に典型的なエッチングツールを使用してプラズマチャンバ内プラズマエッチング
により誘電体表面及びビア内壁からフォトレジストを完全に除去した。次いでウ
エハーを溶媒中で濯いでエッチング後の金属残渣を除去した。脱イオン水で濯い
だ。次いでTi/TiNバリヤ金属及びW-CVDビア充填物をスパッタリングすることに
よりビアを形成した。次いで電子ビーム暴露層までWを化学機械的研磨し、金属
パターン化プロセスを繰り返した。
【0038】 実施例7 ポリ(アリーレンエーテル)ポリマーの下層誘電薄フィルムを、慣用のスピンコ
ーティング方法を使用して4"シリコンウエハ上に形成した。スピンコーティング
後、このフィルムを150℃の温度で2分間のホット-プレートベーキングにかけた
。スピンコーティング及びベーキングプロセス後のフィルム厚さは8000〜10000
Åの範囲であった。周囲圧力下、N2フロー下で、水平炉中、425℃で1時間の熱
硬化を実施した。
【0039】 実施例1のポリマーの上層誘電薄フィルムを慣用のスピンコーティング方法を
使用してポリ(アリーレンエーテル)層上に形成した。スピンコーティング後、こ
のフィルムを150℃の温度で2分間のホット-プレートベーキングにかけた。スピ
ンコーティング及びベーキングプロセス後のフィルム厚さは8000〜10000Åの範
囲であった。周囲圧力下、N2フロー下で、水平炉中、425℃で1時間の熱硬化を
実施した。実施例1のフィルムのポリマーをAZ-P4620フォトレジストでスピンコ
ーティングし、ベーキングした。次いでマスクを通して紫外線照射でこのフォト
レジストを画像通りに暴露した。次いでアルカリ性水溶液を使用してこのフォト
レジストを現像し、非画像部分を除去し、トレンチマスクを画定した。次いでト
レンチをエッチングにより形成した。次に、通常のエッチングツールを使用して
プラズマチャンバ内でプラズマエッチングすることにより、誘電表面及びビア内
壁からフォトレジストを完全に除去した。次いでウエハを溶媒中で濯ぎ、エッチ
ング後の金属残渣を除去した。脱イオン水で濯いだ。硬化したポリ(アリーレン
エーテル)はエッチ止めとして作用する。もう1層のフォトレジストを実施例1
のポリマーの薄いフィルム上にスピンコーティングした。次いでこのフォトレジ
ストをマスクを通して紫外線照射に画像通りに暴露して、これにより暴露された
ポリ(アリーレンエーテル)層に至るまで下のビアを通して暴露できた。次いでア
ルカリ性水溶液を使用してフォトレジストを現像し、非画像部分を除去し、ビア
マスクを画定した。次いでエッチングによって暴露されたポリ(アリーレンエー
テル)層にビアを形成した。次に通常のエッチングツールを使用して、プラズマ
チャンバ内でプラズマエッチングすることによりビアの内壁及び誘電表面からフ
ォトレジストを完全に除去した。次いでウエハを溶媒中で濯いでエッチング後の
金属残渣を除去した。脱イオン水で濯いだ。次いでTi/TiNバリヤ金属及びW-CVD
ビア充填物のスパッタリングによってポリ(アリーレンエーテル)層に形成したト
レンチと上部誘電薄フィルムにビアを形成した。次いでWを電子ビーム暴露層ま
で化学機械的に研磨し、パターン化プロセスを繰り返した。
【0040】 実施例8 実施例1のポリマーの代わりに実施例2のポリマーを使用した以外には、実施
例7を繰り返した。同様の結果が得られた。
【0041】 本発明を特記し、好ましい態様を参照して説明してきたが、当業者には本発明
の趣旨及び範囲を逸脱することなく種々の変形及び変更が可能であろう。特に上
記実施例は特定の誘電材料を使用しているが、これらは単なる例示であり他の多
くのものも同様に使用することができる。請求の範囲は、開示の態様、上記記載
の代替物及び全ての等価物を網羅するものである。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),OA(BF,BJ ,CF,CG,CI,CM,GA,GN,GW,ML, MR,NE,SN,TD,TG),AP(GH,GM,K E,LS,MW,MZ,SD,SL,SZ,TZ,UG ,ZW),EA(AM,AZ,BY,KG,KZ,MD, RU,TJ,TM),AL,AM,AT,AU,AZ, BA,BB,BG,BR,BY,CA,CH,CN,C U,CZ,DE,DK,EE,ES,FI,GB,GE ,GH,GM,HU,ID,IL,IN,IS,JP, KE,KG,KP,KR,KZ,LC,LK,LR,L S,LT,LU,LV,MD,MG,MK,MN,MW ,MX,NO,NZ,PL,PT,RO,RU,SD, SE,SG,SI,SK,SL,TJ,TM,TR,T T,UA,UG,UZ,VN,YU,ZW (72)発明者 シ−チン,ワン アメリカ合衆国カリフォルニア州95008, キャンベル,バージニア・アベニュー 495 Fターム(参考) 5F033 GG01 GG02 GG03 HH08 HH09 HH11 HH12 HH18 HH19 HH21 JJ18 JJ19 JJ33 MM01 NN07 PP06 QQ31 QQ48 RR21 RR22 RR24 RR25 SS22 TT03 WW03 WW04 XX24 5F058 AB02 AB05 AB06 AD04 AD05 AD06 AD09 AF04 AG01 AG10 AH06

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 (a)基板上に非-シリコン含有または実質的に非-シリコン含有
    有機ポリマーを含む第一の誘電組成物フィルムと、 (b)前記第一の誘電フィルム上の第二の誘電組成物フィルムと を含む誘電コーティング化基板であって、前記第二の誘電組成物は、I及びII: I: [H-SiO1.5]n[R-SiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m、 [H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m、 [H-SiO1.5]x[R-SiO1.5]y[SiO2]z [式中、nとmとの合計、またはx、yとzとの合計は約8〜約5000であり、m
    及びyは、炭素含有置換基が約40モルパーセント未満の量で存在するように選択
    され;式中、Rは、置換及び非置換の直鎖及び分岐のアルキル基、シクロアルキ
    ル基、置換及び非置換のアリール基、並びにこれらの混合物から選択される]; II: [HSiO1.5]n[RSiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m、 [H0-1.0SiO1.5-2.0]n[RSiO1.5]m [式中、nとmとの合計は約8〜約5000であり、mは炭素含有置換基が約40モル
    パーセント以上の量で存在するように選択される];と [HSiO1.5]x[RSiO1.5]y[SiO2]z [式中、x、yとzとの合計は約8〜約5000であり、yは炭素含有置換基が約40
    モル%以上の量で存在するように選択され;式中、Rは、置換及び非置換の直鎖及
    び分岐のアルキル基、シクロアルキル基、置換及び非置換のアリール基並びにこ
    れらの混合物から選択される] とからなる群から選択される構造をもつポリマーを含む、前記誘電コーティング
    化基板。
  2. 【請求項2】 前記第一の誘電組成物フィルムが、ポリ(アリーレンエーテル)
    、フッ素化ポリ(アリーレンエーテル)、フルオロカーボン類、ベンゾシクロブテ
    ン、ポリイミド類及びフッ素化ポリイミド類からなる群から選択される材料を含
    む、請求項1に記載の誘電コーティング化基板。
  3. 【請求項3】 前記基板が、ヒ化ガリウム、ゲルマニウム、シリコン、シリコ
    ンゲルマニウム、ニオブ酸リチウム、シリコンを含む組成物並びにこれらの組合
    せを含む、請求項1に記載の誘電コーティング化基板。
  4. 【請求項4】 (a)基板上に、非-シリコン含有または実質的に非-シリコン含
    有有機ポリマーを含む第一の誘電組成物フィルムと、 (b)前記第一の誘電フィルム上の第二の誘電組成物フィルムと を含むミクロ電子工学デバイスであって、前記第二の誘電組成物は、I及びII: I: [H-SiO1.5]n[R-SiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m、 [H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m、 [H-SiO1.5]x[R-SiO1.5]y[SiO2]z [式中、nとmとの合計、またはx、yとzとの合計は約8〜約5000であり、m
    及びyは、炭素含有置換基が約40モルパーセント未満の量で存在するように選択
    され;式中、Rは、置換及び非置換の直鎖及び分岐のアルキル基、シクロアルキ
    ル基、置換及び非置換のアリール基、並びにこれらの混合物から選択される]; II: [HSiO1.5]n[RSiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m、 [H0-1.0SiO1.5-2.0]n[RSiO1.5]m [式中、nとmとの合計は約8〜約5000であり、mは炭素含有置換基が約40モル
    パーセント以上の量で存在するように選択される];と [HSiO1.5]x[RSiO1.5]y[SiO2]z [式中、x、yとzとの合計は約8〜約5000であり、yは炭素含有置換基が約40
    モル%以上の量で存在するように選択され;式中、Rは、置換及び非置換の直鎖及
    び分岐のアルキル基、シクロアルキル基、置換及び非置換のアリール基並びにこ
    れらの混合物から選択される] とからなる群から選択される構造をもつポリマーを含む、前記ミクロ電子工学デ
    バイス。
  5. 【請求項5】 前記第一の誘電組成物フィルムが、ポリ(アリーレンエーテル)
    、フッ素化ポリ(アリーレンエーテル)、フルオロカーボン類、ベンゾシクロブテ
    ン、ポリイミド類及びフッ素化ポリイミド類からなる群から選択される材料を含
    む、請求項4に記載のミクロ電子工学デバイス。
  6. 【請求項6】 前記基板が、ヒ化ガリウム、ゲルマニウム、シリコン、シリコ
    ンゲルマニウム、ニオブ酸リチウム、シリコンを含む組成物並びにこれらの組合
    せを含む、請求項4に記載のミクロ電子工学デバイス。
  7. 【請求項7】 (a)基板上に非-シリコン含有または実質的に非-シリコン含有
    有機ポリマーを含む第一の誘電組成物フィルムを形成し、次いで、 (b)前記第一の誘電フィルム上に第二の誘電組成物フィルムを形成することを含
    む、基板に誘電コーティングを形成するプロセスであって、前記第二の誘電組成
    物は、I及びII: I: [H-SiO1.5]n[R-SiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m、 [H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m、 [H-SiO1.5]x[R-SiO1.5]y[SiO2]z [式中、nとmとの合計、またはx、yとzとの合計は約8〜約5000であり、m
    及びyは、炭素含有置換基が約40モルパーセント未満の量で存在するように選択
    され;式中、Rは、置換及び非置換の直鎖及び分岐のアルキル基、シクロアルキ
    ル基、置換及び非置換のアリール基、並びにこれらの混合物から選択される]; II: [HSiO1.5]n[RSiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m、 [H0-1.0SiO1.5-2.0]n[RSiO1.5]m [式中、nとmとの合計は約8〜約5000であり、mは炭素含有置換基が約40モル
    パーセント以上の量で存在するように選択される];と [HSiO1.5]x[RSiO1.5]y[SiO2]z [式中、x、yとzとの合計は約8〜約5000であり、yは炭素含有置換基が約40
    モル%以上の量で存在するように選択され;式中、Rは、置換及び非置換の直鎖及
    び分岐のアルキル基、シクロアルキル基、置換及び非置換のアリール基並びにこ
    れらの混合物から選択される] とからなる群から選択される構造をもつポリマーを含む、前記プロセス。
  8. 【請求項8】 前記第一の誘電組成物フィルムが、ポリ(アリーレンエーテル)
    、フッ素化ポリ(アリーレンエーテル)、フルオロカーボン類、ベンゾシクロブテ
    ン、ポリイミド類及びフッ素化ポリイミド類からなる群から選択される材料を含
    む、請求項7に記載のプロセス。
  9. 【請求項9】 前記基板が、ヒ化ガリウム、ゲルマニウム、ニオブ酸リチウム
    、シリコン含有組成物、金属、セラミック、ポリマー樹脂、第III/V族化合物及
    びこれらの組合せからなる群から選択される材料を含む、請求項7に記載のプロ
    セス。
  10. 【請求項10】 前記第一の誘電組成物フィルムを前記基板に適用し、硬化し
    、次いで硬化させた前記第一の誘電組成物フィルムに第二の誘電組成物フィルム
    を適用し、次いで硬化させる、請求項7に記載のプロセス。
  11. 【請求項11】 前記第一の誘電組成物フィルムを前記基板に適用し、次いで
    前記第一の誘電組成物フィルムに前記第二の誘電組成物フィルムを適用し、次い
    で両方のフィルムを硬化させる、請求項7に記載のプロセス。
  12. 【請求項12】 前記第一及び第二の誘電フィルムを十分な時間及び十分な温
    度で熱を適用して、前記誘電フィルムを硬化させる、請求項7に記載のプロセス
  13. 【請求項13】 約200℃〜約600℃の温度で熱を適用することにより前記第一
    及び第二の誘電フィルムを硬化させる、請求項12に記載のプロセス。
  14. 【請求項14】 ミクロ電子工学デバイスの製造プロセスであって、 (a)基板上に非-シリコン含有または実質的に非-シリコン含有有機ポリマーを含
    む第一の誘電層を形成し、 (b)前記第一の誘電層上に第二の誘電層を形成し、ここで前記第二の誘電組成物
    は、I及びII: I: [H-SiO1.5]n[R-SiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m、 [H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m、 [H-SiO1.5]x[R-SiO1.5]y[SiO2]z [式中、nとmとの合計、またはx、yとzとの合計は約8〜約5000であり、m
    及びyは、炭素含有置換基が約40モルパーセント未満の量で存在するように選択
    され;式中、Rは、置換及び非置換の直鎖及び分岐のアルキル基、シクロアルキ
    ル基、置換及び非置換のアリール基、並びにこれらの混合物から選択される]; II: [HSiO1.5]n[RSiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m、 [H0-1.0SiO1.5-2.0]n[RSiO1.5]m [式中、nとmとの合計は約8〜約5000であり、mは炭素含有置換基が約40モル
    パーセント以上の量で存在するように選択される];と [HSiO1.5]x[RSiO1.5]y[SiO2]z [式中、x、yとzとの合計は約8〜約5000であり、yは炭素含有置換基が約40
    モル%以上の量で存在するように選択され;式中、Rは、置換及び非置換の直鎖及
    び分岐のアルキル基、シクロアルキル基、置換及び非置換のアリール基並びにこ
    れらの混合物から選択される] とからなる群から選択される構造をもつポリマーを含み、 (c)前記誘電層を画像通りに(imagewise)パターン化して前記基板に伸張する前
    記誘電層にビア(vias)を形成し、 (d)前記第二の硬化誘電層の上部表面上及び前記ビア内に金属を付着させ、 (e)前記第二の硬化誘電層の上部表面から前記金属を除去する、 各段階を含む前記プロセス。
  15. 【請求項15】 前記第一の誘電組成物フィルムが、ポリ(アリーレンエーテ
    ル)、フッ素化ポリ(アリーレンエーテル)、フルオロカーボン類、ベンゾシクロ
    ブテン、ポリイミド類及びフッ素化ポリイミド類からなる群から選択される材料
    を含む、請求項14に記載のプロセス。
  16. 【請求項16】 前記第二の誘電層に到達するまで前記上部表面上の金属をエ
    ッチ・バックするかまたは化学機械的に研磨することにより、前記誘電層の上部
    層からの金属の除去を実施する、請求項14に記載のプロセス。
  17. 【請求項17】 前記基板が、ヒ化ガリウム、ゲルマニウム、ニオブ酸リチウ
    ム、シリコン、シリコン含有組成物、金属、セラミック、ポリマー樹脂、第III/
    V族化合物及びこれらの組合せからなる群から選択される材料を含む、請求項1
    4に記載のプロセス。
  18. 【請求項18】 前記金属が、アルミニウム、アルミニウム合金、銅、銅合金
    、タンタル、タングステン及びチタンからなる群から選択される、請求項14に
    記載のプロセス。
  19. 【請求項19】 前記第一の誘電組成物フィルムを前記基板に適用し、硬化さ
    せ、次いで前記第一の誘電組成物フィルムに前記第二の誘電組成物フィルムを適
    用し、次いで硬化させる、請求項14に記載のプロセス。
  20. 【請求項20】 前記第一の誘電組成物フィルムを前記基板に適用し、次いで
    前記第二の誘電組成物フィルムを前記第一の誘電組成物フィルムに適用し、次い
    で両方のフィルムを硬化させる、請求項14に記載のプロセス。
  21. 【請求項21】 前記前記第一及び第二の誘電フィルムを十分な時間及び十分
    な温度で熱を適用して、前記誘電フィルムを硬化させる、請求項14に記載のプ
    ロセス。
  22. 【請求項22】 ミクロ電子工学デバイスの製造プロセスであって、 (a)基板上に非-シリコン含有または実質的に非-シリコン含有有機ポリマーを含
    む第一の誘電層を適用し、 (b)前記第一の誘電層上に第二の誘電層を適用し、ここで前記第二の誘電組成物
    は、I及びII: I: [H-SiO1.5]n[R-SiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0-SiO1.5-1.8]m、 [H0-1.0-SiO1.5-2.0]n[R-SiO1.5]m、 [H-SiO1.5]x[R-SiO1.5]y[SiO2]z [式中、nとmとの合計、またはx、yとzとの合計は約8〜約5000であり、m
    及びyは、炭素含有置換基が約40モルパーセント未満の量で存在するように選択
    され;式中、Rは、置換及び非置換の直鎖及び分岐のアルキル基、シクロアルキ
    ル基、置換及び非置換のアリール基、並びにこれらの混合物から選択される]; II: [HSiO1.5]n[RSiO1.5]m、 [H0.4-1.0SiO1.5-1.8]n[R0.4-1.0SiO1.5-1.8]m、 [H0-1.0SiO1.5-2.0]n[RSiO1.5]m [式中、nとmとの合計は約8〜約5000であり、mは炭素含有置換基が約40モル
    パーセント以上の量で存在するように選択される];と [HSiO1.5]x[RSiO1.5]y[SiO2]z [式中、x、yとzとの合計は約8〜約5000であり、yは炭素含有置換基が約40
    モル%以上の量で存在するように選択され;式中、Rは、置換及び非置換の直鎖及
    び分岐のアルキル基、シクロアルキル基、置換及び非置換のアリール基並びにこ
    れらの混合物から選択される] とからなる群から選択される構造をもつポリマーを含み、 (c)前記第二の誘電層を画像通りパターン化して、前記第一の誘電層に伸張する
    前記誘電層にトレンチを形成し、 (d)前記第一の誘電層を画像通りパターン化して、前記基板に伸張する前記第一
    の誘電層にビアを形成し、 (e)前記第二の硬化有誘電層の上部表面上及び前記ビア、トレンチ内に金属を付
    着させ、 (f)前記第二の誘電層の上部表面から前記金属を除去する、 各段階を含む前記プロセス。
  23. 【請求項23】 前記第一の誘電組成物フィルムが、ポリ(アリーレンエーテ
    ル)、フッ素化ポリ(アリーレンエーテル)、フルオロカーボン類、ベンゾシクロ
    ブテン、ポリイミド類及びフッ素化ポリイミド類からなる群から選択される材料
    を含む、請求項22に記載のプロセス。
  24. 【請求項24】 前記第二の誘電層に到達するまで前記上部表面上の金属をエ
    ッチ・バックするかまたは化学機械的に研磨することにより、前記第二の誘電層
    の上部層からの金属の除去を実施する、請求項22に記載のプロセス。
  25. 【請求項25】 前記基板が、ヒ化ガリウム、ゲルマニウム、ニオブ酸リチウ
    ム、シリコン、シリコン含有組成物、金属、セラミック、ポリマー樹脂、第III/
    V族化合物及びこれらの組合せからなる群から選択される材料を含む、請求項2
    2に記載のプロセス。
  26. 【請求項26】 前記金属が、アルミニウム、アルミニウム合金、銅、銅合金
    、タンタル、タングステン及びチタンからなる群から選択される、請求項22に
    記載のプロセス。
  27. 【請求項27】 前記第一の誘電組成物フィルムを前記基板に適用し、硬化さ
    せ、次いで硬化させた前記第一の誘電組成物フィルムに前記第二の誘電組成物フ
    ィルムを適用し、次いで硬化させる、請求項22に記載のプロセス。
  28. 【請求項28】 前記第一の誘電組成物フィルムを前記基板に適用し、次いで
    前記第一の誘電組成物フィルムに前記第二の誘電組成物フィルムを適用し、次い
    で両方のフィルムを硬化させる、請求項22に記載のプロセス。
  29. 【請求項29】 前記前記第一及び第二の誘電フィルムを十分な時間及び十分
    な温度で熱を適用して、前記誘電フィルムを硬化させる、請求項22に記載のプ
    ロセス。
JP2001502157A 1999-06-09 2000-06-08 シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法 Withdrawn JP2003501832A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/328,648 US6509259B1 (en) 1999-06-09 1999-06-09 Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US09/328,648 1999-06-09
PCT/US2000/015733 WO2000075979A1 (en) 1999-06-09 2000-06-08 Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices

Publications (1)

Publication Number Publication Date
JP2003501832A true JP2003501832A (ja) 2003-01-14

Family

ID=23281834

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001502157A Withdrawn JP2003501832A (ja) 1999-06-09 2000-06-08 シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法

Country Status (7)

Country Link
US (2) US6509259B1 (ja)
EP (1) EP1186016A1 (ja)
JP (1) JP2003501832A (ja)
KR (1) KR20020013913A (ja)
CN (1) CN1369111A (ja)
AU (1) AU5472300A (ja)
WO (1) WO2000075979A1 (ja)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1837902B1 (en) 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US7095460B2 (en) 2001-02-26 2006-08-22 Samsung Electronics Co., Ltd. Thin film transistor array substrate using low dielectric insulating layer and method of fabricating the same
EP1493182B1 (en) 2002-04-02 2013-01-23 Dow Global Technologies LLC Tri-layer masking architecture for patterning dual damascene interconnects
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
TW200505966A (en) 2003-04-02 2005-02-16 Dow Global Technologies Inc Organosilicate resin formulation for use in microelectronic devices
US7112504B2 (en) * 2003-10-28 2006-09-26 Taiwan Semiconductor Manufacturing Company Method of forming metal-insulator-metal (MIM) capacitors at copper process
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7015061B2 (en) * 2004-08-03 2006-03-21 Honeywell International Inc. Low temperature curable materials for optical applications
WO2006065310A2 (en) * 2004-12-17 2006-06-22 Dow Corning Corporation Siloxane resin coating
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8653217B2 (en) * 2007-05-01 2014-02-18 Dow Corning Corporation Method for forming anti-reflective coating
JP5587791B2 (ja) 2008-01-08 2014-09-10 東レ・ダウコーニング株式会社 シルセスキオキサン樹脂
EP2238198A4 (en) * 2008-01-15 2011-11-16 Dow Corning RESINS BASED ON SILSESQUIOXANE
JP5581225B2 (ja) * 2008-03-04 2014-08-27 ダウ・コーニング・コーポレイション シルセスキオキサン樹脂
US8241707B2 (en) * 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
EP2373722A4 (en) * 2008-12-10 2013-01-23 Dow Corning SILSESQUIOXAN RESINS
EP2376584B1 (en) * 2008-12-10 2014-07-16 Dow Corning Corporation Wet-etchable antireflective coatings
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3278567D1 (en) * 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5155175A (en) * 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
US5114780A (en) * 1990-04-17 1992-05-19 Raychem Corporation Electronic articles containing a fluorinated poly(arylene ether) dielectric
US5091289A (en) * 1990-04-30 1992-02-25 International Business Machines Corporation Process for forming multi-level coplanar conductor/insulator films employing photosensitive polyimide polymer compositions
US5651855A (en) * 1992-07-28 1997-07-29 Micron Technology, Inc. Method of making self aligned contacts to silicon substrates during the manufacture of integrated circuits
US5397741A (en) * 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
CN1125481A (zh) 1994-03-11 1996-06-26 川崎制铁株式会社 评价用于形成绝缘膜的硅氧烷的方法、形成绝缘膜的涂布液及其制备方法、半导体器件用绝缘膜成型方法以及采用绝缘膜成膜法制备半导体器件的方法
US5858544A (en) * 1995-12-15 1999-01-12 Univ Michigan Spherosiloxane coatings
JPH09306988A (ja) * 1996-03-13 1997-11-28 Sony Corp 多層配線の形成方法
US5886410A (en) * 1996-06-26 1999-03-23 Intel Corporation Interconnect structure with hard mask and low dielectric constant materials
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6218497B1 (en) 1997-04-21 2001-04-17 Alliedsignal Inc. Organohydridosiloxane resins with low organic content
US6143855A (en) 1997-04-21 2000-11-07 Alliedsignal Inc. Organohydridosiloxane resins with high organic content
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6218317B1 (en) 1999-04-19 2001-04-17 National Semiconductor Corp. Methylated oxide-type dielectric as a replacement for SiO2 hardmasks used in polymeric low K, dual damascene interconnect integration

Also Published As

Publication number Publication date
US20020074625A1 (en) 2002-06-20
AU5472300A (en) 2000-12-28
KR20020013913A (ko) 2002-02-21
US6589862B2 (en) 2003-07-08
EP1186016A1 (en) 2002-03-13
CN1369111A (zh) 2002-09-11
US6509259B1 (en) 2003-01-21
WO2000075979A1 (en) 2000-12-14

Similar Documents

Publication Publication Date Title
JP2003501832A (ja) シロキサン誘電性フィルムを電子装置の有機物誘電性フィルムの集積化に使用する方法
JP3418458B2 (ja) 半導体装置の製造方法
KR100795714B1 (ko) 마이크로일렉트로닉 장치의 제조에 있어서 유기 중합체유전체용 하드마스크로서의 유기 규산염 수지
US6818552B2 (en) Method for eliminating reaction between photoresist and OSG
US6770975B2 (en) Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
US6831005B1 (en) Electron beam process during damascene processing
US6177143B1 (en) Electron beam treatment of siloxane resins
US7504470B2 (en) Polyorganosiloxane dielectric materials
JP2003501833A (ja) 集積回路における自己アラインメントCu拡散バリヤーの成形加工方法
US6489030B1 (en) Low dielectric constant films used as copper diffusion barrier
US6498399B2 (en) Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
JP2008511711A5 (ja)
TW574104B (en) Etch-stop resins
JP2007535177A (ja) 低κ誘電体付与のためのシロキサンエポキシポリマー
JP2006503165A (ja) オルガノシロキサン
JP2000021872A (ja) 低誘電率樹脂組成物、低誘電率絶縁膜形成方法および半導体装置の製造方法
AU2002232816A1 (en) Method for eliminating reaction between photoresist and organosilicate glass

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070904