JP2003288378A - System lsi design support program, system lsi control circuit and integrated circuit for pc - Google Patents

System lsi design support program, system lsi control circuit and integrated circuit for pc

Info

Publication number
JP2003288378A
JP2003288378A JP2002092628A JP2002092628A JP2003288378A JP 2003288378 A JP2003288378 A JP 2003288378A JP 2002092628 A JP2002092628 A JP 2002092628A JP 2002092628 A JP2002092628 A JP 2002092628A JP 2003288378 A JP2003288378 A JP 2003288378A
Authority
JP
Japan
Prior art keywords
module
system lsi
logic circuit
synchronization
function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002092628A
Other languages
Japanese (ja)
Inventor
Koji Asari
康二 浅利
Masahiko Watanabe
政彦 渡辺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Cats Co Ltd
Original Assignee
Cats Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cats Co Ltd filed Critical Cats Co Ltd
Priority to JP2002092628A priority Critical patent/JP2003288378A/en
Publication of JP2003288378A publication Critical patent/JP2003288378A/en
Pending legal-status Critical Current

Links

Abstract

<P>PROBLEM TO BE SOLVED: To improve the development efficiency of a system LSI. <P>SOLUTION: This program is provided with a synchronous analytic part 102 for extracting a reading processing for another module from the content of a logic circuit module constructed on the system LIS or a program module integrated to a ROM, and generating synchronous information showing the synchronous relation between the modules based on the extracted reading processing, a synchronous conversion part 104 for converting, when performing the conversion between the logic circuit module and the program module, the synchronous processing of the modules based on the synchronous information, and an API library 108 for registering an API function for making the logic circuit module execute the function of the logic circuit module. <P>COPYRIGHT: (C)2004,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】この発明は、設計目標である
システムLSIに搭載される論理回路モジュールおよび
システムLSIに搭載されるROM(Read Onl
y Memory)に組み込まれるプログラムモジュー
ルの設計を行うシステムLSI設計支援プログラム、シ
ステムLSI制御回路およびPC用集積回路に関するも
のである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a logic circuit module mounted on a system LSI, which is a design target, and a ROM (Read Onl) mounted on the system LSI.
The present invention relates to a system LSI design support program, a system LSI control circuit, and a PC integrated circuit for designing a program module incorporated in a y Memory).

【0002】[0002]

【従来の技術】従来、携帯電話やPHS(Person
al Handy Phone)等に使用されるFPG
AなどのシステムLSIの設計は、予め機能ごとに論理
回路で実現するか、プログラムで実現するかを定めた上
で、HDL(HardwareDescription
Language:ハードウェア記述言語)等により
論理回路ブロック等のハードウェア開発と、システムL
SIのROMに組み込むため、C言語等によるプログラ
ム等のソフトウェア開発を、それぞれ異なる開発者が別
個に行っていた。そして、ハードウェア開発とソフトウ
ェア開発が完了した後、開発したプログラムや論理回路
ブロックをシステムLSIに実装し、ハードウェアとソ
フトウェアの協調検証(Co−verificatio
n)や性能測定などを行い、性能が低い場合には、ソフ
トウェアとハードウェアの機能分担を再調整し、論理回
路ブロック、組み込みプログラムの修正、追加などの作
業を行っている。
2. Description of the Related Art Conventionally, mobile phones and PHS (Person)
FPG used for al Handy Phone)
The design of the system LSI such as A is determined in advance for each function by a logic circuit or a program, and then HDL (Hardware Description).
(Language: hardware description language) etc. to develop hardware such as a logic circuit block and system L
Since they are incorporated in the ROM of SI, different developers separately develop software such as programs in C language. After the hardware development and the software development are completed, the developed program or logic circuit block is mounted on the system LSI, and the hardware-software co-verification (co-verification) is performed.
n) and performance measurement, etc., and when the performance is low, the function allocation of software and hardware is readjusted, and work such as correction and addition of logic circuit blocks and embedded programs is performed.

【0003】[0003]

【発明が解決しようとする課題】しかしながら、このよ
うなシステムLSIの設計方法では、つぎのような問題
がある。CPUのように逐次実行するプログラムでな
く、各機能単位のモジュールが互いに同期を取りながら
並列に動作している場合がある。このような場合におい
て、各モジュール間の同期関係を分析して正確に把握し
ておく必要がある。しかしながら、通常、ソフトウェア
開発者はハードウェアの知識に乏しく、またハードウェ
ア開発者はソフトウェアの知識に乏しいのが通常であ
る。このため、同期処理の分析に時間を要し、開発効率
が悪化するとう問題がある。
However, such a system LSI designing method has the following problems. There is a case where modules of each functional unit are operating in parallel while synchronizing with each other, instead of a program which is sequentially executed like a CPU. In such a case, it is necessary to analyze and accurately grasp the synchronization relationship between each module. However, software developers usually have little knowledge of hardware, and hardware developers usually have little knowledge of software. Therefore, there is a problem that it takes time to analyze the synchronization processing and the development efficiency deteriorates.

【0004】特に、ソフトウェアとハードウェアの機能
分担を再調整した結果、論理回路ブロックを機能的に等
価な組み込みプログラムに変更した場合、あるいはその
逆の場合に、変更後のモジュールと他のモジュールとの
間で同期処理を変更する作業が必要となり、開発効率が
さらに悪化するという問題がある。
In particular, when the logic circuit block is changed to a functionally equivalent built-in program as a result of readjusting the functional division of software and hardware, or vice versa, the changed module and other modules are There is a problem in that the work of changing the synchronization processing between the two becomes necessary and the development efficiency further deteriorates.

【0005】この発明は上記に鑑みてなされたもので、
ハードウェア、ソフトウェアのいずれか一方の知識があ
れば、システムLSIの設計を容易に行うことができ、
システムLSIの開発効率を向上させることができるシ
ステムLSI設計支援プログラム、システムLSI制御
回路およびPC用集積回路を得ることを目的とする。
The present invention has been made in view of the above,
If you have knowledge of either hardware or software, you can easily design a system LSI.
An object of the present invention is to obtain a system LSI design support program, a system LSI control circuit, and a PC integrated circuit capable of improving the development efficiency of the system LSI.

【0006】[0006]

【課題を解決するための手段】上記目的を達成するた
め、請求項1にかかる発明は、CPUコア内蔵のシステ
ムLSIの設計を行う手段として、コンピュータを機能
させるプログラムであって、前記システムLSI上に構
築される論理回路のモジュール、またはROMに組み込
まれるプログラムのモジュールの内容から他のモジュー
ルの呼び出し処理を抽出し、抽出された呼び出し処理に
基づいて、前記モジュール間の同期関係を示する同期情
報を生成する同期分析手段としてコンピュータを機能さ
せることを特徴とする。
In order to achieve the above object, the invention according to claim 1 is a program for causing a computer to function as a means for designing a system LSI having a built-in CPU core. Synchronization information indicating the synchronization relationship between the modules based on the extracted calling process by extracting the calling process of another module from the contents of the module of the logic circuit constructed in Is characterized by causing a computer to function as a synchronous analysis means for generating.

【0007】この請求項1にかかる発明によれば、同期
分析手段によって、前記システムLSI上に構築される
論理回路のモジュール、またはROMに組み込まれるプ
ログラムのモジュールの内容から他のモジュールの呼び
出し処理を抽出し、抽出された呼び出し処理に基づい
て、モジュール間の同期関係を示する同期情報を生成す
ることで、ハードウェアまたはソフトウェアの一方の知
識しか精通していなくても、各モジュールの同期関係を
容易に把握することができ、システムLSIの開発効率
を向上させることができる。
According to the first aspect of the present invention, the synchronization analysis means performs a process of calling another module from the contents of the module of the logic circuit constructed on the system LSI or the module of the program incorporated in the ROM. By extracting the synchronization information based on the extracted call processing and showing the synchronization relationship between the modules, even if only one of the knowledge of hardware or software is familiar, It can be easily grasped and the development efficiency of the system LSI can be improved.

【0008】また、請求項2にかかる発明は、請求項1
に記載のシステムLSI設計支援プログラムにおいて、
前記モジュールから呼び出され、前記論理回路モジュー
ルに対して前記論理回路モジュールの有する機能を実行
させるAPI関数を登録したAPIライブラリをさらに
備えたことを特徴とする。
The invention according to claim 2 is based on claim 1.
In the system LSI design support program described in
It is characterized by further comprising an API library that is called from the module and registers an API function for causing the logic circuit module to execute the function of the logic circuit module.

【0009】この請求項2にかかる発明によれば、モジ
ュールから呼び出され、前記論理回路モジュールに対し
て前記論理回路モジュールの有する機能を実行させるA
PI関数を登録したAPIライブラリを備えたことで、
IP(Intellectual Property)
などの機能ブロックなどの論理回路モジュールにアクセ
スするときは、API関数呼び出しを行えばよいため、
ハードウェアの知識に乏しくてもシステムLSIの開発
を容易に行うことができ、システムLSIの開発効率を
より向上させることができる。
According to the second aspect of the present invention, the function A is called from the module and causes the logic circuit module to execute the function of the logic circuit module.
By having an API library that registers PI functions,
IP (Intellectual Property)
When accessing a logic circuit module such as a functional block such as
The system LSI can be easily developed even if the hardware knowledge is poor, and the system LSI development efficiency can be further improved.

【0010】また、請求項3にかかる発明は、請求項2
に記載のシステムLSI設計支援プログラムにおいて、
前記API関数は、対応する前記論理回路モジュールの
機能を示す属性情報を戻り値として返すものであること
を特徴とする。
The invention according to claim 3 provides the invention according to claim 2.
In the system LSI design support program described in
The API function returns attribute information indicating a function of the corresponding logic circuit module as a return value.

【0011】この請求項3にかかる発明によれば、AP
I関数は、対応する論理回路モジュールの機能を示す属
性情報を戻り値として返すことで、システムLSIの仕
様設計段階において、属性情報を、システムLSIの機
能のソフトウェア/ハードウェア分割のための指標とし
て用いることができ、システムLSIの開発効率をより
向上させることができる。
According to the invention of claim 3, the AP
The I-function returns attribute information indicating the function of the corresponding logic circuit module as a return value, so that the attribute information is used as an index for software / hardware division of the function of the system LSI at the specification design stage of the system LSI. It can be used, and the development efficiency of the system LSI can be further improved.

【0012】また、請求項4にかかる発明は、請求項3
に記載のシステムLSI設計支援プログラムにおいて、
前記API関数は、消費電力、回路規模、処理時間の中
で少なくとも一つの前記属性情報を、戻り値として返す
ことを特徴とする。
The invention according to claim 4 is the same as claim 3
In the system LSI design support program described in
The API function is characterized in that at least one of the attribute information in power consumption, circuit scale, and processing time is returned as a return value.

【0013】この請求項4にかかる発明によれば、AP
I関数は、消費電力、回路規模、処理時間の中で少なく
とも一つの前記属性情報を、戻り値として返すことで、
システムLSIの仕様設計段階において、システム全体
の処理時間、消費電力、コストなどの見積もりを行っ
て、システムLSIの機能のソフトウェア/ハードウェ
ア分割のための指標として用いることができ、システム
LSIの開発効率をより向上させることができる。
According to the invention of claim 4, the AP
The I function returns at least one of the attribute information among power consumption, circuit scale, and processing time as a return value,
At the system LSI specification design stage, the processing time, power consumption, cost, etc. of the entire system can be estimated and used as an index for software / hardware division of the function of the system LSI. Can be further improved.

【0014】また、請求項5にかかる発明は、請求項2
〜4のいずれか一つに記載のシステムLSI設計支援プ
ログラムにおいて、前記同期分析手段は、前記モジュー
ルから前記API関数の呼び出し処理を抽出し、抽出さ
れた呼び出し処理に基づいて、前記論理回路モジュール
と他のモジュールの前記同期情報を生成することを特徴
とする。
The invention according to claim 5 provides the invention according to claim 2.
In the system LSI design support program according to any one of 1 to 4, the synchronization analysis unit extracts a calling process of the API function from the module, and based on the extracted calling process, the logic circuit module It is characterized in that the synchronization information of another module is generated.

【0015】この請求項5にかかる発明によれば、同期
分析手段によって、モジュールからAPI関数の呼び出
し処理を抽出し、抽出された呼び出し処理に基づいて、
論理回路モジュールと他のモジュールの同期情報を生成
することで、ハードウェアの知識に乏しく論理回路モジ
ュール同士の同期関係、プログラムモジュールと論理回
路モジュールの同期関係を、容易に把握することがで
き、システムLSIの開発効率をより向上させることが
できる。
According to the invention of claim 5, the synchronous analysis means extracts the call processing of the API function from the module, and based on the extracted call processing,
By generating the synchronization information of the logic circuit module and other modules, the knowledge of the hardware is scarce and the synchronization relationship between the logic circuit modules and the synchronization relationship between the program module and the logic circuit module can be easily grasped. The LSI development efficiency can be further improved.

【0016】また、請求項6にかかる発明は、請求項1
〜5のいずれか一つに記載のシステムLSI設計支援プ
ログラムにおいて、ユーザによって指定された論理回路
モジュールを該論理回路モジュールと等価な機能を有す
る前記プログラムモジュールに変換した場合、またはユ
ーザによって指定されたプログラムモジュールを該プロ
グラムモジュールと等価な機能を有する論理回路モジュ
ールに変換した場合に、前記同期情報に基づいて、変換
前のモジュールと他のモジュールの同期処理を、変換後
のモジュールに応じて変換する同期変換手段として、さ
らにコンピュータを機能させることを特徴とする。
The invention according to claim 6 is the same as claim 1.
In the system LSI design support program described in any one of 5 to 5, when a logic circuit module designated by the user is converted into the program module having a function equivalent to the logic circuit module, or designated by the user. When a program module is converted into a logic circuit module having a function equivalent to the program module, the synchronization processing of the module before conversion and the other module is converted according to the module after conversion, based on the synchronization information. It is characterized in that the computer is further made to function as the synchronization conversion means.

【0017】この請求項6にかかる発明によれば、同期
変換手段によって、ユーザから指定された論理回路モジ
ュールを該論理回路モジュールと等価な機能を有する前
記プログラムモジュールに変換した場合、またはユーザ
によって指定されたプログラムモジュールを該プログラ
ムモジュールと等価な機能を有する論理回路モジュール
に変換した場合に、前記同期情報に基づいて、変換前の
モジュールと他のモジュールの同期処理を、変換後のモ
ジュールに応じて変換することで、ソフトウエア/ハー
ドウエア間の機能の分割を行った場合でも、各モジュー
ルの同期関係が維持できるため、同期処理の修正作業が
不要となり、システムLSIの開発効率をより向上させ
ることができる。
According to the sixth aspect of the present invention, when the logic conversion module designated by the user is converted into the program module having a function equivalent to the logic circuit module by the synchronization conversion means, or by the user. When the converted program module is converted into a logic circuit module having a function equivalent to the program module, the synchronization processing of the module before conversion and the other module is performed according to the module after conversion based on the synchronization information. Even if the functions are divided between software / hardware by conversion, the synchronization relationship of each module can be maintained, so the correction work of synchronization processing is unnecessary and the development efficiency of system LSI can be further improved. You can

【0018】また、請求項7にかかる発明は、請求項1
〜6のいずれか一つに記載のシステムLSI設計支援プ
ログラムにおいて、前記論理回路モジュール間の同期関
係を示す静的モデル情報と、前記論理回路モジュールの
状態遷移を含めた前記論理回路モジュール間の同期関係
を示す動的モデル情報とを別個に画面表示する画面表示
手段として、さらにコンピュータを機能させることを特
徴とする。
The invention according to claim 7 is the same as claim 1.
In the system LSI design support program described in any one of 1 to 6, static model information indicating a synchronization relationship between the logic circuit modules and synchronization between the logic circuit modules including state transitions of the logic circuit modules. It is characterized in that the computer is further made to function as a screen display means for separately displaying the dynamic model information indicating the relationship on the screen.

【0019】この請求項7にかかる発明によれば、画面
表示手段によって、論理回路モジュール間の同期関係を
示す静的モデル情報と、論理回路モジュールの状態遷移
を含めた前記論理回路モジュール間の同期関係を示す動
的モデル情報とを別個に画面表示することで、実際のシ
ステムLSIに搭載する各モジュールの情報を設計の初
期の段階から静的モデル情報で表現しておくことがで
き、実装設計時にハードウエアへ処理をマッピングして
いくという従来のプロセスが不必要になる。また、動的
モデル情報を静的モデル情報と別個に画面表示すること
で、機能検証を容易に行うことができる。従って、本発
明によれば、システムLSIの開発効率をより向上させ
ることができる。
According to the invention of claim 7, the screen display means synchronizes the static model information indicating the synchronization relationship between the logic circuit modules and the logic circuit modules including the state transition of the logic circuit modules. By displaying the dynamic model information indicating the relationship separately on the screen, the information of each module mounted on the actual system LSI can be expressed as static model information from the initial design stage. Sometimes the traditional process of mapping processing to hardware becomes unnecessary. Further, by displaying the dynamic model information on the screen separately from the static model information, functional verification can be easily performed. Therefore, according to the present invention, it is possible to further improve the development efficiency of the system LSI.

【0020】また、請求項8にかかる発明は、請求項7
に記載のシステムLSI設計支援プログラムにおいて、
前記画面表示手段は、前記論理回路モジュールの同期タ
イミングを含めた前記論理回路モジュール間の同期関係
を示す時間的モデル情報を、前記静的モデル情報および
前記動的モデル情報と別個に画面表示することを特徴と
する。
The invention according to claim 8 is the invention according to claim 7.
In the system LSI design support program described in
The screen display means screen-displays temporal model information indicating a synchronization relationship between the logic circuit modules including a synchronization timing of the logic circuit module, separately from the static model information and the dynamic model information. Is characterized by.

【0021】この請求項8にかかる発明によれば、画面
表示手段によって、論理回路モジュールの同期タイミン
グを含めた論理回路モジュール間の同期関係を示す時間
的モデル情報を、静的モデル情報および動的モデル情報
と別個に画面表示することで、機能検証、タイミング検
証およびハードウエア実装のすべてを網羅した画面表示
をそれぞれ別個に行って容易に把握することができ、シ
ステムLSIの開発効率をより向上させることができ
る。
According to the eighth aspect of the present invention, the screen display means displays the temporal model information indicating the synchronization relationship between the logic circuit modules including the synchronization timing of the logic circuit modules as static model information and dynamic model information. By displaying the screen separately from the model information, the screen display covering all of the function verification, timing verification, and hardware mounting can be performed separately and easily grasped, and the development efficiency of the system LSI can be further improved. be able to.

【0022】また、請求項9にかかる発明は、論理集積
回路上に構築されるCPUコア内蔵のシステムLSIに
搭載され、前記システムLSIの全体動作を統括するシ
ステムLSI制御回路であって、前記システムLSI上
に構築される論理回路のモジュール、またはROMに組
み込まれるプログラムのモジュールの同期関係を示す同
期情報を保持する記憶手段と、前記同期情報に基づい
て、前記モジュールの動作を制御する制御手段とを備え
たことを特徴とする。
According to a ninth aspect of the present invention, there is provided a system LSI control circuit which is mounted on a system LSI having a built-in CPU core built on a logic integrated circuit and which controls the overall operation of the system LSI. A storage unit that holds synchronization information indicating a synchronization relationship between a module of a logic circuit built on the LSI or a module of a program incorporated in the ROM, and a control unit that controls the operation of the module based on the synchronization information. It is characterized by having.

【0023】この請求項9にかかる発明によれば、記憶
手段に、システムLSI上に構築される論理回路のモジ
ュール、またはROMに組み込まれるプログラムのモジ
ュールの同期関係を示す同期情報を保持し、制御手段に
よって同期情報に基づいてモジュールの動作を制御する
ことで、システムLSI全体を同期関係を含めて容易に
管理することができ、ユーザの便宜となる。
According to the ninth aspect of the present invention, the storage means holds the synchronization information indicating the synchronization relationship between the module of the logic circuit constructed on the system LSI or the module of the program incorporated in the ROM, and controls it. By controlling the operation of the module based on the synchronization information by the means, the entire system LSI can be easily managed including the synchronization relationship, which is convenient for the user.

【0024】また、請求項10にかかる発明は、請求項
9に記載のシステムLSI制御回路において、前記記憶
手段は、さらに、前記モジュールごとに、前記モジュー
ルの処理状態を示す状態情報を保持し、前記制御手段
は、さらに、前記状態情報に基づいて、前記モジュール
の動作を制御することを特徴とする。
According to a tenth aspect of the present invention, in the system LSI control circuit according to the ninth aspect, the storage means further holds, for each of the modules, state information indicating a processing state of the module, The control means further controls the operation of the module based on the state information.

【0025】この請求項10にかかる発明によれば、記
憶手段にモジュールの処理状態を示す状態情報を保持
し、制御手段によって、状態情報に基づいてモジュール
の動作を制御することで、待ち状態等にあるモジュール
に対するクロックを停止するなど、モジュールの制御を
行うことができ、低消費電力を実現することができる。
また、状態情報によって論理回路モジュールの生成、消
去の制御を行うことにより、ハードウエアリソースを節
約することができる。
According to the tenth aspect of the present invention, by holding the status information indicating the processing status of the module in the storage means and controlling the operation of the module based on the status information by the control means, the waiting status etc. It is possible to control the module, such as stopping the clock for the module in, and to realize low power consumption.
Further, hardware resources can be saved by controlling the generation and deletion of the logic circuit module based on the state information.

【0026】また、請求項11にかかる発明は、請求項
9または10に記載のシステムLSI制御回路におい
て、前記記憶手段は、さらに、前記モジュールごとに、
前記モジュールの実行順位を示す優先度情報を保持し、
前記制御手段は、さらに、前記優先度情報に基づいて、
前記モジュールの動作を制御することを特徴とする。
The invention according to claim 11 is the system LSI control circuit according to claim 9 or 10, wherein the storage means further comprises:
Holds priority information indicating the execution order of the modules,
The control means further, based on the priority information,
The operation of the module is controlled.

【0027】この請求項11にかかる発明によれば、記
憶手段に、モジュールの実行順位を示す優先度情報を保
持し、制御手段によって、優先度情報に基づいてモジュ
ールの動作を制御することで、モジュールの優先順位に
基づいた実行制御を行うことができ、システムLSIに
よる処理の効率化を図ることができる。
According to the eleventh aspect of the present invention, the storage unit holds the priority information indicating the execution order of the modules, and the control unit controls the operation of the module based on the priority information. Execution control can be performed based on the module priority order, and the efficiency of processing by the system LSI can be improved.

【0028】また、請求項12にかかる発明は、コンピ
ュータに装着されて、コンピュータによって読み込み可
能なPC用集積回路あって、CPUコアを内蔵し、論理
回路のモジュール、またはROMに組み込まれるプログ
ラムのモジュールを搭載したシステムLSIと、検証用
の集積回路と、を備えたことを特徴とする。
According to a twelfth aspect of the present invention, there is provided an integrated circuit for a PC, which is mounted on a computer and can be read by the computer, which has a CPU core built therein and a module of a logic circuit or a module of a program incorporated in a ROM. It is characterized in that it is provided with a system LSI on which is mounted and an integrated circuit for verification.

【0029】この請求項12にかかる発明によれば、C
PUコアを内蔵し、論理回路のモジュール、またはRO
Mに組み込まれるプログラムのモジュールを搭載したシ
ステムLSIと、検証用の集積回路とを備えたことで、
システムLSIの仕様設計から実装まで全てをコンピュ
ータ上で実現することができる。また、システムLSI
上に搭載するモジュールを直ちにPC用集積回路にダウ
ンロードして検証できるため、正確なシステム性能見積
り、ソフトウェア/ハードウェア分割作業を即座にPC
上で行うことができ、システムLSIの開発効率をより
向上させることができる。
According to the invention of claim 12, C
Built-in PU core, logic circuit module or RO
By providing a system LSI having a program module incorporated in M and an integrated circuit for verification,
It is possible to implement everything from the specification design of the system LSI to the implementation on a computer. Also, system LSI
Since the module mounted on the PC can be immediately downloaded to the integrated circuit for PC and verified, accurate system performance estimation and software / hardware division work can be performed immediately on the PC.
The above can be performed, and the development efficiency of the system LSI can be further improved.

【0030】また、請求項13にかかる発明は、請求項
12に記載のPC用集積回路において、前記システムL
SIによる実行結果を記憶する記憶手段をさらに備えた
ことを特徴とする。
According to a thirteenth aspect of the present invention, in the PC integrated circuit according to the twelfth aspect, the system L is used.
It is characterized by further comprising storage means for storing the execution result by SI.

【0031】この請求項13にかかる発明によれば、シ
ステムLSIによる実行結果を記憶する記憶手段を備え
たことで、実行結果を即座にPC上で確認することがで
き、システムLSIの開発効率をより向上させることが
できる。
According to the thirteenth aspect of the present invention, since the storage means for storing the execution result by the system LSI is provided, the execution result can be immediately confirmed on the PC, and the development efficiency of the system LSI can be improved. It can be further improved.

【0032】[0032]

【発明の実施の形態】以下に添付図面を参照して、この
発明にかかるシステムLSI設計支援プログラム、シス
テムLSI制御回路およびPC用集積回路の好適な実施
の形態を詳細に説明する。
BEST MODE FOR CARRYING OUT THE INVENTION Preferred embodiments of a system LSI design support program, a system LSI control circuit and an integrated circuit for PC according to the present invention will be described in detail below with reference to the accompanying drawings.

【0033】(実施の形態1)本実施形態にかかるシス
テムLSI設計支援プログラムは、CD−ROMなどの
記憶媒体にインストール可能な形式で提供され、PC
(Personal Computer)などの通常の
コンピュータにインストールして実行されるものであ
る。そして、システムLSI設計支援プログラムを実行
したときに、コンピュータがシステムLSI設計支援プ
ログラムで提供される機能を実現するようになってい
る。
(Embodiment 1) A system LSI design support program according to this embodiment is provided in a format that can be installed in a storage medium such as a CD-ROM, and a PC
(Personal Computer) and the like, and is installed and executed in a normal computer. Then, when the system LSI design support program is executed, the computer realizes the function provided by the system LSI design support program.

【0034】(システムLSI設計支援プログラムの機
能的構成)図1は、この発明の実施の形態1であるシス
テムLSI設計支援プログラムをPC上で実行した場合
のPCの機能的構成を示すブロック図である。実施の形
態1にかかるシステムLSI設計支援プログラムは、図
1に示すとおり、入力処理部101と、同期分析部10
2と、ソフトウェア/ハードウェア分割処理部103
と、同期変換部104と、画面表示部105と、オブジ
ェクト生成部109とを備えたコンポーネント構成とな
っており、さらにHD106にインストールされるAP
Iライブラリ108を含んでいる。
(Functional Configuration of System LSI Design Support Program) FIG. 1 is a block diagram showing a functional configuration of a PC when the system LSI design support program according to the first embodiment of the present invention is executed on the PC. is there. As shown in FIG. 1, the system LSI design support program according to the first embodiment includes an input processing unit 101 and a synchronization analysis unit 10.
2 and software / hardware division processing unit 103
The AP having a component configuration including a synchronous conversion unit 104, a screen display unit 105, and an object generation unit 109, and which is further installed in the HD 106.
It includes the I library 108.

【0035】入力処理部101は、PCのキーボードや
マウスから入力された各種キー、イベントを受け付け
て、同期分析部102およびソフトウェア/ハードウェ
ア分割処理部103に受け渡すものである。
The input processing unit 101 receives various keys and events input from the keyboard or mouse of the PC and transfers them to the synchronization analysis unit 102 and the software / hardware division processing unit 103.

【0036】オブジェクト生成部109は、画面上で設
計したプログラムモジュールおよび論理回路モジュール
をオブジェクト107としてハードディスク106に格
納するものである。
The object generator 109 stores the program module and the logic circuit module designed on the screen as an object 107 in the hard disk 106.

【0037】同期分析部102は、設計対象のシステム
LSIを構築する各種論理回路モジュールおよびシステ
ムLSIのROMに組み込まれる各種プログラムモジュ
ールの同期関係を分析して、その分析結果をオブジェク
ト107の同期情報に格納するものである。
The synchronization analysis unit 102 analyzes the synchronization relationship between various logic circuit modules that construct the system LSI to be designed and various program modules incorporated in the ROM of the system LSI, and the analysis result is used as the synchronization information of the object 107. It is something to store.

【0038】ソフトウェア/ハードウェア分割処理部1
03は、あるモジュールをソフトウェアからハードウェ
アに移動した場合、すなわちあるプログラムモジュール
を論理回路モジュールとして変更する旨の指示をユーザ
がPCのディスプレイ画面から行った場合、またはその
逆の場合に、プログラムモジュールのソースコードと論
理回路モジュールのハードウェア設計用コードとの間の
変換を行うものである。
Software / hardware division processing unit 1
Reference numeral 03 denotes a program module when a certain module is moved from software to hardware, that is, when the user gives an instruction to change a certain program module as a logic circuit module from the display screen of the PC, or vice versa. The conversion between the source code of and the code for hardware design of the logic circuit module is performed.

【0039】同期変換部104は、上記ソフトウェア/
ハードウェア分割処理部103によりプログラムモジュ
ールのソースコードと論理回路モジュールのハードウェ
ア設計用コードとの間の変換の際に、オブジェクト10
7の同期情報を参照して、変換前のモジュールが他のモ
ジュールと同期処理を行っている場合には、モジュール
間の同期を維持すするため、同期対象のモジュールとの
同期処理をプログラムモジュールのソースコードから論
理回路モジュールのハードウェア設計用コードに変換、
またはその逆の変換処理を行うものである。
The synchronization conversion unit 104 uses the above software /
When converting between the source code of the program module and the hardware design code of the logic circuit module by the hardware division processing unit 103, the object 10
If the pre-conversion module is performing synchronization processing with another module by referring to the synchronization information of 7, the synchronization processing with the module to be synchronized is performed by the program module in order to maintain synchronization between the modules. Convert from source code to hardware design code of logic circuit module,
Alternatively, the reverse conversion process is performed.

【0040】画面表示部105は、各種画面の表示処理
を行うものであり、実施の形態1のシステムLSI設計
支援プログラムでは、特に論理回路モジュール間の同期
関係を示した静的モデルと、論理回路モジュールの状態
遷移を含めた論理回路モジュール間の同期関係を示した
動的モデルとを別プレーンで別個に画面表示する処理を
行っている。
The screen display section 105 performs display processing of various screens. In the system LSI design support program of the first embodiment, the static model showing the synchronization relationship between the logic circuit modules and the logic circuit are shown. The process of displaying the screen separately from the dynamic model showing the synchronization relationship between the logic circuit modules including the state transition of the module is performed on another plane.

【0041】次に、オブジェクト生成部109によって
生成されるオブジェクト107について説明する。図1
0はオブジェクト107の他、HD106に格納される
オブジェクト間の通信部、ドキュメントの構造を示す説
明図である。図10に示すように、オブジェクト107
は、7層で構成される。
Next, the object 107 generated by the object generator 109 will be described. Figure 1
Reference numeral 0 is an explanatory diagram showing the structure of the document and the communication unit between the objects stored in the HD 106 in addition to the object 107. As shown in FIG. 10, the object 107
Is composed of 7 layers.

【0042】第1層では、各オブジェクトの機能の説明
と、その機能同士の使用によるシステムアプリケーショ
ンを実現方法を把握することができる。これは通常の仕
様書に相当する。第2層は、UMLのクラス(オブジェ
クト)のメンバ関数と関連に相当するものである。第3
層は、同期分析部102によって生成される同期情報で
ある。第4層は、オブジェクトが搭載されるモジュール
を決定するものである。第5層は、各オブジェクトの処
理のスケジューリングを行うものである。第6層は、処
理時間、消費電力、コストなどのハードウェアの属性情
報を格納するものである。第7層は、アセンブラコード
やハードウエアのゲートレベルコードを格納するもので
ある。
In the first layer, it is possible to understand the function of each object and how to realize a system application by using the functions. This corresponds to a normal specification. The second layer is equivalent to a member function of a UML class (object). Third
The layer is the synchronization information generated by the synchronization analysis unit 102. The fourth layer is for determining the module in which the object is mounted. The fifth layer is for scheduling the processing of each object. The sixth layer stores hardware attribute information such as processing time, power consumption, and cost. The seventh layer stores assembler code and hardware gate level code.

【0043】このように、各オブジェクトを階層構造で
管理することにより、システム設計および検証作業を容
易に行うことが可能となる。すなわち、このような階層
構造で各機能を表現することによって、ファイル管理が
容易となり、各機能同士の関係やシステム全体との関係
を容易に把握することができる。また、このような情報
管理を行うことによって、ユーザが設計のプロセスを常
に理解しながら設計を行うことができ、また、各オブジ
ェクトとシステム全体の対比がすぐに出てくるので、設
計効率を上げることができる。
As described above, by managing each object in a hierarchical structure, it becomes possible to easily perform system design and verification work. That is, by expressing each function in such a hierarchical structure, file management becomes easy, and the relationship between each function and the relationship with the entire system can be easily grasped. In addition, by performing such information management, the user can design while always understanding the design process, and since the comparison between each object and the entire system can be immediately found, the design efficiency can be improved. be able to.

【0044】ここで、各オブジェクトの処理のスケジュ
ーリングは、画面表示部105によって、オブジェクト
107の第5層のデータを利用して、例えば、図11に
示すようにPCの画面に表示される。あるいは、図12
に示すように、アクティビティ図を利用してPCの画面
上に表示することも可能である。
Here, the scheduling of the processing of each object is displayed on the screen of the PC as shown in FIG. 11, for example, by using the fifth layer data of the object 107 by the screen display unit 105. Alternatively, FIG.
As shown in, it is also possible to display the activity diagram on the screen of the PC.

【0045】APIライブラリ108は、複数の異なる
API関数が登録されたものである。API関数は、論
理回路モジュール(IPを含む)ごとに設けられ、論理
回路モジュールに対する入出力を行って、論理回路モジ
ュールの動作させるものである。従って、プログラムモ
ジュールのソースコードや論理回路モジュールのハード
ウェア設計用コードでAPI関数呼び出し処理を記述す
ることにより、API関数に対応する論理回路モジュー
ルを動作させることが可能となる。
The API library 108 is one in which a plurality of different API functions are registered. The API function is provided for each logic circuit module (including IP), performs input / output to / from the logic circuit module, and causes the logic circuit module to operate. Therefore, by describing the API function calling process in the source code of the program module or the hardware design code of the logic circuit module, it becomes possible to operate the logic circuit module corresponding to the API function.

【0046】図2は、API関数と例とプログラムモジ
ュールからの論理回路モジュールの呼び出し処理を示す
説明図である。図2に示すように、API関数として、
call_lst1をプログラムモジュールのソースコ
ードに記述することにより、プログラムモジュールを実
行するCPUからAPI関数に対応する論理回路モジュ
ールの呼び出しが行われる。
FIG. 2 is an explanatory diagram showing an API function, an example, and a process of calling a logic circuit module from a program module. As shown in FIG. 2, as an API function,
By writing call_lst1 in the source code of the program module, the CPU that executes the program module calls the logic circuit module corresponding to the API function.

【0047】また、図2に示すように、API関数は、
その戻り値として、論理回路の属性情報である消費電力
(power_consumption)、処理時間
(process_time)、回路規模(cost)
を呼び出し元に返すようになっている。このため、かか
る属性情報を利用することによって、システム全体の処
理時間、消費電力、回路規模の見積もりを把握すること
ができ、これによってソフトウェア/ハードウェアの分
割の指標を得ることが可能となる。
Further, as shown in FIG. 2, the API function is
As the return value, power consumption (power_consumption), processing time (process_time), and circuit scale (cost) that are attribute information of the logic circuit.
Is returned to the caller. Therefore, by using such attribute information, it is possible to grasp the processing time of the entire system, the power consumption, and the estimation of the circuit scale, and thus it is possible to obtain the index of software / hardware division.

【0048】また、このAPI関数を、処理時間、消費
電力、回路規模の他、I/Oピンの情報、処理内容を戻
り値として返すように構成することもできる。また、A
PI関数が戻り値として最大クロック周波数を返すよう
に構成した場合には、クロック周波数によって処理時間
や消費電力が変化するため、各クロック周波数に応じた
情報を返す必要がある。さらに、各API関数内部で使
用する変数は、I/Oを通してアクセスするように構成
することもでき、この場合には、内部情報が誤って外部
から書き換えられることを未然に防止することができ
る。
Further, the API function may be configured to return processing time, power consumption, circuit scale, I / O pin information, and processing contents as return values. Also, A
When the PI function is configured to return the maximum clock frequency as a return value, the processing time and power consumption change depending on the clock frequency, so it is necessary to return information according to each clock frequency. Furthermore, the variables used inside each API function can be configured to be accessed through I / O, and in this case, it is possible to prevent the internal information from being accidentally rewritten from the outside.

【0049】(同期分析処理)次に、以上のように構成
された実施の形態1にかかるシステムLSI設計支援プ
ログラムによる同期分析処理について説明する。
(Synchronization Analysis Processing) Next, the synchronization analysis processing by the system LSI design support program according to the first embodiment configured as described above will be described.

【0050】同期分析部102では、論理回路モジュー
ルの構成を記述したウェア設計用コードを走査して、プ
ログラムモジュールに含まれるシステムコール等の関数
呼び出し処理、およびAPI関数呼び出し処理を抽出す
る。そして、各関数呼び出し処理で呼び出される関数を
有するプログラムモジュールまたはAPI関数に対応す
る論理回路モジュールと、その関数呼び出しをしている
論理回路モジュールとの同期関係を同期情報に記録す
る。
The synchronization analysis unit 102 scans the ware design code describing the configuration of the logic circuit module, and extracts the function call processing such as the system call and the API function call processing included in the program module. Then, the synchronization relationship between the logic circuit module corresponding to the program module or the API function having the function called in each function call process and the logic circuit module calling the function is recorded in the synchronization information.

【0051】また、プログラムモジュールのソースコー
ドを走査して、プログラムモジュールに含まれるシステ
ムコール等の関数呼び出し処理、およびAPI関数呼び
出し処理を抽出し、各関数呼び出し処理で呼び出される
関数を有するプログラムモジュールまたはAPI関数に
対応する論理回路モジュールと、その関数呼び出しをし
ているプログラムモジュールとの同期関係を同期情報に
記録する。
Further, the source code of the program module is scanned to extract the function call processing such as the system call included in the program module and the API function call processing, and the program module having the function called in each function call processing or The synchronization relationship between the logic circuit module corresponding to the API function and the program module calling the function is recorded in the synchronization information.

【0052】図3(a)は、同期分析部102によって
生成される同期情報を各モジュール間の同期関係として
画面上に表示した例を示す説明図であり、 図3(b)
はこの場合の同期情報の内容を示す説明図である。図3
(a)および(b)は、例えば、インスタンスとその関
連図のようなシステムの静的な構成を示している。図3
(a)に示すように、静的モデルとして、静的な機能の
単位であるモジュール(UMLの場合は、インスタンス
に相当する)とその関連の図が画面上に表示される。こ
れによって、各機能単位の抽出とその機能単位の影響を
容易に把握できるようになっている。また、図3(b)
に示すように、同期情報には、各モジュールの関係と各
モジュールの役割が表現されている。
FIG. 3A is an explanatory diagram showing an example in which the synchronization information generated by the synchronization analysis unit 102 is displayed on the screen as the synchronization relationship between the modules, and FIG.
FIG. 6 is an explanatory diagram showing the contents of synchronization information in this case. Figure 3
(A) and (b) have shown the static structure of the system like an instance and its related figure, for example. Figure 3
As shown in (a), as a static model, a module (corresponding to an instance in the case of UML), which is a unit of static functions, and its related diagram are displayed on the screen. As a result, each functional unit can be extracted and the influence of the functional unit can be easily grasped. In addition, FIG.
As shown in, the synchronization information expresses the relationship between the modules and the role of each module.

【0053】図4(a)は、同期分析部102によって
生成される同期情報を各モジュール間の同期関係として
画面上に表示した例を示す説明図であり、 図4(b)
はこの場合の同期情報の内容を示す説明図である。図4
(a)および(b)は、システムの動的な振る舞い、す
なわち状態遷移を含めたモジュール間の同期関係を示し
ている。
FIG. 4A is an explanatory diagram showing an example in which the synchronization information generated by the synchronization analysis unit 102 is displayed on the screen as the synchronization relationship between the modules, and FIG.
FIG. 6 is an explanatory diagram showing the contents of synchronization information in this case. Figure 4
(A) and (b) have shown the dynamic behavior of a system, ie, the synchronous relationship between modules including a state transition.

【0054】図4(a)および(b)に示すように、動
的モデルとして、各コンポーネントの状態遷移図もしく
は状態遷移表とそのモジュール間の同期を表現したも
の、もしくは各モジュールのアクティビティ図に同期の
表現を加えたものが画面に表示され、また同期情報とし
て生成される。これにより、各モジュールがどのように
関連し合ってシステム全体が動作していくかを把握する
ことができる。
As shown in FIGS. 4 (a) and 4 (b), as a dynamic model, a state transition diagram of each component or a representation of the state transition table and the synchronization between the modules, or an activity diagram of each module is used. The expression of synchronization is displayed on the screen and is also generated as synchronization information. As a result, it is possible to understand how the modules relate to each other and the entire system operates.

【0055】図5(a)は、同期分析部102によって
生成される同期情報を各モジュール間の同期関係として
画面上に表示した例を示す説明図であり、 図5(b)
および(c)はこの場合の同期情報の内容を示す説明図
である。図5(a)および(b)は、ソフトウェア/ハ
ードウェア分割を行った後の同期関係を示している。
FIG. 5A is an explanatory diagram showing an example in which the synchronization information generated by the synchronization analysis unit 102 is displayed on the screen as the synchronization relationship between the modules, and FIG.
And (c) are explanatory diagrams showing the contents of the synchronization information in this case. 5 (a) and 5 (b) show the synchronization relationship after software / hardware division.

【0056】図5(a)に示すように、同期によるモジ
ュールの各処理の順番を把握しておくことにより、ソフ
トウエア/ハードウエア分割をし、各モジュールに処理
を割り当てる際のスケジューリングを行うことができ
る。また、図5(b)に示すように、同期情報では、各
同期イベントが、どのモジュールのどの処理から、どの
モジュールのどの処理へ発行されるかという情報を保持
している。また、図5(c)に示すように、処理を開始
するイベントと処理終了後に発行するイベントを記録し
ておくこともできる。
As shown in FIG. 5 (a), by grasping the order of each processing of modules by synchronization, software / hardware division is performed, and scheduling when assigning processing to each module is performed. You can Further, as shown in FIG. 5B, the synchronization information holds information as to which process of which module issues each synchronization event to which process of which module. Further, as shown in FIG. 5C, it is possible to record an event that starts processing and an event that is issued after the processing ends.

【0057】また、実施の形態1にかかるシステムLS
I設計支援プログラムでは、画面表示部105によっ
て、システムの静的モデルと動的モデルと時間的モデル
とを別プレーンに描画し、PCの画面上で別個に表示し
ている。
Further, the system LS according to the first embodiment
In the I design support program, the screen display unit 105 draws the static model, the dynamic model, and the temporal model of the system on different planes and separately displays them on the screen of the PC.

【0058】図6は、画面表示部105によってシステ
ムの静的モデルと動的モデルと時間的モデルとを別プレ
ーンに表示した状態を示す説明図である。図6に示すよ
うに、静的モデルでは、例えば実際のシステムLSIの
論理モジュールの図と、論理モジュール間の配線を反映
して表示する。動的モデルでは、各論理モジュールの処
理内容を状態遷移を含めて表示し、特にCPUの動作に
ついては状態遷移表を用いるなどして、OSを含んだシ
ミュレーションができるように表示する。このように、
システムLSIの情報を設計の初期の段階から静的モデ
ルで表現しておくことによって、実装設計時にハードウ
エアへ処理をマッピングしていくという従来のプロセス
が不必要になり、開発効率の向上が図られる。
FIG. 6 is an explanatory diagram showing a state in which the screen display unit 105 displays the static model, the dynamic model, and the temporal model of the system on different planes. As shown in FIG. 6, in the static model, for example, a diagram of the logic modules of the actual system LSI and the wiring between the logic modules are reflected and displayed. In the dynamic model, the processing content of each logic module is displayed including state transitions, and particularly the CPU operation is displayed using a state transition table so that simulation including the OS can be performed. in this way,
By expressing the information of the system LSI as a static model from the early stage of design, the conventional process of mapping the process to the hardware at the time of implementation design becomes unnecessary and the development efficiency can be improved. To be

【0059】また、動的モデルで表示することにより、
機能検証を行うことができる。また、タイミング検証用
に別プレーンで時間的モデルを表示することによって、
機能検証、タイミング検証、ハードウエア実装をすべて
網羅して、容易に把握することができる。時間的モデル
には、各処理のタイミング情報(処理時間)、概算の消
費電力、論理モジュールの単価(回路規模)、その他最
大クロック周波数などの情報が表示されており、システ
ム全体のタイミング検証や回路規模、消費電力などの見
積もりができるようになっている。
By displaying a dynamic model,
Functional verification can be performed. Also, by displaying the temporal model in another plane for timing verification,
Function verification, timing verification, and hardware implementation are all covered, making it easy to understand. The temporal model displays information such as timing information for each process (processing time), estimated power consumption, unit price of logic module (circuit scale), and other maximum clock frequency. Timing verification of the entire system and circuit It is possible to estimate the scale and power consumption.

【0060】(同期変換処理)次に、実施の形態1にか
かるシステムLSI設計支援プログラムによる同期変換
処理について説明する。図7は、PC上で実施の形態1
にかかるシステムLSI設計支援プログラムを利用し
て、ソフトウェア/ハードウェア分割を行う際の画面例
を示す説明図である。例えば、図7に示すように、ハー
ドウェアの論理回路モジュール701aをソフトウェア
側に移動する、すなわち論理回路モジュール701aを
プログラムモジュールに変換する場合を考える。この場
合、画面上で論理回路モジュール701aをマウスでソ
フトウェア側にドラッグすることにより、プログラムモ
ジュール701bに変換される。
(Synchronous Conversion Processing) Next, the synchronous conversion processing by the system LSI design support program according to the first embodiment will be described. FIG. 7 shows the first embodiment on a PC.
FIG. 7 is an explanatory diagram showing an example of a screen when performing software / hardware division using the system LSI design support program according to the first embodiment. For example, as shown in FIG. 7, consider a case where the hardware logic circuit module 701a is moved to the software side, that is, the logic circuit module 701a is converted into a program module. In this case, the logic circuit module 701a is converted to the program module 701b by dragging the logic circuit module 701a to the software side with the mouse.

【0061】このとき、変換前の論理回路モジュール7
01aが論理回路モジュール702と同期をとる処理を
行っている場合、変換後のプログラムモジュール701
bで論理回路モジュール702と同期をとる必要があ
る。実施の形態1にかかるシステムLSI設計支援プロ
グラムでは、このような場合、あるいはプログラムモジ
ュールから論理回路モジュールに変換した場合における
同期変換処理を行っている。
At this time, the logic circuit module 7 before conversion
01a is performing processing for synchronizing with the logic circuit module 702, the converted program module 701
It is necessary to synchronize with the logic circuit module 702 at b. The system LSI design support program according to the first embodiment performs a synchronous conversion process in such a case or when a program module is converted into a logic circuit module.

【0062】図8は、同期処理の手法の概要を示す説明
図である。図8に示すように、ソフトウエア同士(プロ
グラムモジュール同士)の同期は、リアルタイムOSを
利用している場合、OSのシステムコールを介して行わ
れる(メモリを介した同期)。また、ハードウェア同士
(論理回路モジュール同士)の同期は、バスやレジスタ
を介して行われる。また、ソフトウエア/ハードウエア
間の同期は、割り込みを用いて行われる。
FIG. 8 is an explanatory diagram showing an outline of the synchronization processing method. As shown in FIG. 8, synchronization of software (program modules) is performed via a system call of the OS when a real-time OS is used (synchronization via memory). Further, the synchronization of the hardware (the logic circuit modules) is performed via a bus or a register. Further, the synchronization between the software / hardware is performed using an interrupt.

【0063】ソフトウエア/ハードウエア間の同期変換
の場合は、同期変換部104は、同期分析部102によ
って生成された同期情報を参照し、変換対象のモジュー
ルの同期関係を取得する。そして、取得した同期関係の
データによって、システムコールを用いた同期処理とバ
スおよびレジスタを用いた同期処理の両処理間の変換処
理が行われる。例えば、図9に示すように、プログラム
モジュールを論理回路モジュールに変換した場合におい
て、メッセージを送信するシステムコール(send_
message)を、ハードウエア同士の同期に変換す
る場合は、送信するメッセージ(data[1:0])
をバスを用いて転送するように変更し、イベントを送る
タイミングにあわせてenable信号をアクティブに
することにより、モジュールAからモジュールBへデー
タを転送でき、同期変換が行われたことになる。なお、
ここであげた同期変換の方法は一例を示したものであ
り、これに限定されるものではない。
In the case of software / hardware synchronous conversion, the synchronous conversion unit 104 refers to the synchronous information generated by the synchronous analysis unit 102 and acquires the synchronous relationship of the conversion target modules. Then, the conversion data between the synchronous processing using the system call and the synchronous processing using the bus and the register is performed based on the acquired synchronous relation data. For example, as shown in FIG. 9, a system call (send_send) for sending a message when a program module is converted into a logic circuit module.
message) (message [1: 0]) to be transmitted when converting (message) to synchronization between hardware
Is transferred using the bus, and the enable signal is activated at the timing of sending an event, whereby the data can be transferred from the module A to the module B, and the synchronous conversion is performed. In addition,
The synchronous conversion method described here is an example, and the method is not limited to this.

【0064】このように実施の形態1にかかるシステム
LSI設計支援プログラムでは、同期分析部102によ
って、システムLSI上に構築される論理回路モジュー
ル、またはプログラムモジュールの内容から他のモジュ
ールの呼び出し処理を抽出し、抽出された呼び出し処理
に基づいて、モジュール間の同期関係を示する同期情報
を生成してオブジェクト107に格納しているので、ハ
ードウェアまたはソフトウェアの一方の知識しか精通し
ていなくても、各モジュールの同期関係を容易に把握す
ることができ、システムLSIの開発効率を向上させる
ことができる。
As described above, in the system LSI design support program according to the first embodiment, the synchronization analysis unit 102 extracts the calling processing of another module from the contents of the logic circuit module or the program module constructed on the system LSI. However, since the synchronization information indicating the synchronization relationship between the modules is generated and stored in the object 107 based on the extracted call processing, even if only knowledge of hardware or software is familiar, The synchronization relation of each module can be easily grasped, and the development efficiency of the system LSI can be improved.

【0065】(実施の形態2)実施の形態2は、システ
ムLSIに搭載するシステムコントローラ(システムL
SI制御回路)に関するものである。図13は、実施の
形態2にかかるシステムコントローラ1301の構成を
示すブロック図である。システムコントローラ1301
には制御部1302と記憶部1303が設けられてい
る。記憶部1303には、論理回路のモジュール、プロ
グラムモジュールの同期関係を示す同期情報(処理順
番)と、モジュールの実行順位を示す優先度と、モジュ
ールの処理状態を示す状態情報(Wait、Busy、
Stopなど)が保持されるようになっている。
(Second Embodiment) In the second embodiment, a system controller (system L) mounted on a system LSI is used.
SI control circuit). FIG. 13 is a block diagram showing the configuration of the system controller 1301 according to the second embodiment. System controller 1301
A control unit 1302 and a storage unit 1303 are provided in the. In the storage unit 1303, synchronization information (processing order) indicating the synchronization relationship between the logic circuit module and the program module, priority indicating the execution order of the module, and status information indicating the processing status of the module (Wait, Busy,
Stop etc.) is held.

【0066】制御部1302は、これらの各情報に基づ
いてシステムLSI全体を制御する。例えば、モジュー
ルの状態情報(Wait、Busy、Stopなど)に
関する制御としては、モジュールの処理が待ち状態にあ
る場合に、そのモジュールに対するクロックを停止する
等の制御を行う。これによって、低消費電力を実現する
ことが可能となる。また、システムコントローラ130
1は論理回路モジュールの生成、消去を行うことがで
き、論理回路モジュールが処理を終了して必要がなくな
った場合には、その時点で消去するという制御を行う。
これによって、ハードウエアリソースを節約することが
可能となる。図14は、システムコントローラ1301
による状態情報に基づく制御の概要を示す説明図であ
る。
The control unit 1302 controls the entire system LSI on the basis of these pieces of information. For example, as the control regarding the status information (Wait, Busy, Stop, etc.) of the module, when the processing of the module is in the waiting state, control such as stopping the clock for the module is performed. As a result, low power consumption can be realized. In addition, the system controller 130
1 is capable of generating and erasing the logic circuit module, and when the logic circuit module finishes the processing and becomes unnecessary, the control is performed so that the erasing is performed at that time.
This makes it possible to save hardware resources. FIG. 14 shows a system controller 1301.
FIG. 6 is an explanatory diagram showing an outline of control based on state information according to FIG.

【0067】また、FPGA(Field Progr
ammable Gate Array)などのリコン
フィグラブルLSIを設計する場合には、論理回路モジ
ュールの優先度を利用し、目的とする時間内に絶対に終
わらせなければならないリアルタイム処理を優先するよ
うに制御する。
In addition, FPGA (Field Progr)
When designing a reconfigurable LSI such as an Amable Gate Array), the priority of the logic circuit module is used, and the real-time processing that must be completed within a target time is prioritized.

【0068】また、リアルタイムOSのメモリ管理のよ
うに、図15に示すように、ハードウエアのリソース管
理を行うことで、システム全体の制御を行うことも可能
である。メモリにソフトウエア/ハードウエアすべての
情報が格納されているため、メモリデータのダウンロー
ドのみで動的にシステムを再構成することもできる。
Further, like the memory management of the real-time OS, as shown in FIG. 15, it is possible to control the entire system by managing hardware resources. Since all the software / hardware information is stored in the memory, it is possible to dynamically reconfigure the system simply by downloading the memory data.

【0069】実施の形態2にかかるシステムコントロー
ラ1301の構成も、データとして外部からダウンロー
ドすることができるため、搭載するアプリケーションに
応じてシステムコントローラ1301に必要な機能を選
択することができる。
Since the configuration of the system controller 1301 according to the second embodiment can also be downloaded as data from the outside, the function required for the system controller 1301 can be selected according to the installed application.

【0070】なお、ユーザの便宜のため、制御部130
2を、各モジュールの処理時間を考慮して要求時間に合
うように自動的に回路構成を変更するように構成するこ
とも可能である。
For convenience of the user, the control unit 130
It is also possible to configure No. 2 so that the circuit configuration is automatically changed in consideration of the processing time of each module to meet the required time.

【0071】このように実施の形態2にかかるシステム
コントローラ1301では、コントローラ内部に、各種
情報を保持する記憶部1303を組み込み、同様に組み
込まれた制御部1302によって、記憶部1303に記
憶された情報に基づいてモジュールの制御を行っている
ので、システムLSI全体を同期関係、優先度、状態を
含めて容易に管理することができ、ユーザの便宜とな
る。
As described above, in the system controller 1301 according to the second embodiment, the storage unit 1303 for holding various information is incorporated in the controller, and the information stored in the storage unit 1303 is similarly stored by the control unit 1302 incorporated. Since the module is controlled based on the above, the entire system LSI can be easily managed including the synchronization relationship, the priority, and the state, which is convenient for the user.

【0072】(実施の形態3)実施の形態3は、システ
ムLSIを搭載した検証用PCカードに関するものであ
る。図16は、実施の形態3にかかる検証用PCカード
1600の構成を示すブロック図である。
(Third Embodiment) The third embodiment relates to a verification PC card having a system LSI mounted thereon. FIG. 16 is a block diagram showing the configuration of the verification PC card 1600 according to the third embodiment.

【0073】図16に示すように、システムLSIとし
てのFPGA1601と、テスト用論理回路1605
(検証用んも集積回路)と、RAM1606と、フラッ
シュメモリ1607とがPCカード1600に組み込ま
れている。そして、ROM1606には、FPGA16
01による実行結果が記憶されるようになっている。
As shown in FIG. 16, an FPGA 1601 as a system LSI and a test logic circuit 1605.
A (verification integrated circuit), a RAM 1606, and a flash memory 1607 are incorporated in a PC card 1600. Then, in the ROM 1606, the FPGA 16
The execution result of 01 is stored.

【0074】このようにFPGA1601を搭載したP
Cカード1600を用いて設計検証を行うと、仕様設計
から実装まで全てをPC上で実現することができる。ま
た、システムコントローラ1301、リアルタイムO
S、設計データを直ちにPCカードにダウンロードして
検証することができるため、正確なシステム性能見積り
を行うことができる。
In this way, the P with the FPGA 1601 mounted
When design verification is performed using the C card 1600, everything from specification design to mounting can be realized on the PC. In addition, the system controller 1301, real time O
Since S and design data can be immediately downloaded to the PC card and verified, accurate system performance estimation can be performed.

【0075】また、ソフトウェア/ハードウェア分割を
行った後、直ちに性能見積もりを行うことができ、その
見積もり結果をもとに即座に再びソフトウェア/ハード
ウェア分割を行うことができる。また、ソフトウェア/
ハードウェア分割結果を即座に検証できるので、最適な
システム構成を短期間で実現することができる。
Further, after the software / hardware division, the performance estimation can be performed immediately, and the software / hardware division can be performed again immediately based on the estimation result. Also, software /
Since the result of hardware division can be verified immediately, the optimum system configuration can be realized in a short period of time.

【0076】また実際にPCカード上で実行した結果
を、PCカード内のRAM1606に保存しておくこと
によって、PC画面上で実行結果を確認することができ
る。LSI内部のScanチェーンのような方法で、シ
ステム内部の信号をPC上で観測することもできる。さ
らに、実際のシステムのクロック周波数が速い場合で
も、その周波数を下げてPCカード上に実装することに
よって、機能検証を完全に行うことができる。
By saving the result actually executed on the PC card in the RAM 1606 in the PC card, the execution result can be confirmed on the PC screen. Signals inside the system can also be observed on the PC by a method such as a Scan chain inside the LSI. Further, even if the clock frequency of the actual system is high, the function verification can be completely performed by lowering the frequency and mounting it on the PC card.

【0077】また、このようなプロトタイプ実装の早期
実現だけでなく、実装したアプリケーションをそのまま
実際に使用することもできる。このようなPCカードを
事前に配布しておけば、ネットワークを通したソフトウ
エアのダウンロードのみで、アプリケーションの実現を
行うことができる。
In addition to the early implementation of such prototype implementation, the implemented application can be used as it is. If such a PC card is distributed in advance, the application can be realized only by downloading the software through the network.

【0078】また、実施の形態3にかかるPCカード1
600を使用することにより、場所の離れたエンジニア
がある1つのシステムを設計する場合でも、その設計デ
ータをインターネットなどのネットワークを通して転送
するだけで、相互に検証を行うことができる。
The PC card 1 according to the third embodiment
By using 600, even when an engineer at a remote place designs one system, mutual verification can be performed only by transferring the design data through a network such as the Internet.

【0079】[0079]

【発明の効果】以上説明したように、請求項1にかかる
発明によれば、ハードウェアまたはソフトウェアの一方
の知識しか精通していなくても、各モジュールの同期関
係を容易に把握することができ、システムLSIの開発
効率を向上させることができるという効果を奏する。
As described above, according to the first aspect of the present invention, even if only one of hardware and software is knowledgeable, the synchronization relation of each module can be easily grasped. The effect is that the development efficiency of the system LSI can be improved.

【0080】また、請求項2にかかる発明によれば、I
Pなどの機能ブロックなどの論理回路モジュールにアク
セスするときは、API関数呼び出しを行えばよいた
め、ハードウェアの知識に乏しくてもシステムLSIの
開発を容易に行うことができ、システムLSIの開発効
率をより向上させることができるという効果を奏する。
According to the invention of claim 2, I
When accessing a logic circuit module such as a functional block such as P, it is sufficient to call an API function, so that the development of the system LSI can be easily performed even if the knowledge of the hardware is poor, and the development efficiency of the system LSI can be improved. The effect of being able to further improve.

【0081】また、請求項3にかかる発明によれば、シ
ステムLSIの仕様設計段階において、属性情報を、シ
ステムLSIの機能のソフトウェア/ハードウェア分割
のための指標として用いることができ、システムLSI
の開発効率をより向上させることができるという効果を
奏する。
According to the third aspect of the invention, the attribute information can be used as an index for software / hardware division of the function of the system LSI at the stage of designing the specification of the system LSI.
The effect is that the development efficiency of can be further improved.

【0082】また、請求項4にかかる発明によれば、シ
ステムLSIの仕様設計段階において、システム全体の
処理時間、消費電力、コストなどの見積もり行って、シ
ステムLSIの機能のソフトウェア/ハードウェア分割
のための指標として用いることができ、システムLSI
の開発効率をより向上させることができるという効果を
奏する。
According to the fourth aspect of the invention, at the stage of designing the specifications of the system LSI, the processing time, power consumption, cost, etc. of the entire system are estimated and the software / hardware division of the functions of the system LSI is performed. System LSI that can be used as an index for
The effect is that the development efficiency of can be further improved.

【0083】また、請求項5にかかる発明によれば、ハ
ードウェアの知識に乏しく論理回路モジュール同士の同
期関係、プログラムモジュールと論理回路モジュールの
同期関係を、容易に把握することができ、システムLS
Iの開発効率をより向上させることができるという効果
を奏する。
According to the fifth aspect of the invention, the knowledge of hardware is scarce and the synchronization relationship between logic circuit modules and the synchronization relationship between the program module and the logic circuit module can be easily grasped, and the system LS can be easily understood.
The effect that the development efficiency of I can be improved more is produced.

【0084】また、請求項6にかかる発明によれば、ソ
フトウエア/ハードウエア間の機能の分割を行った場合
でも、各モジュールの同期関係が維持できるため、同期
処理の修正作業が不要となり、システムLSIの開発効
率をより向上させることができるという効果を奏する。
According to the sixth aspect of the invention, even if the functions are divided between software / hardware, the synchronization relationship of each module can be maintained, so that the correction work of the synchronization processing becomes unnecessary, An effect is that the development efficiency of the system LSI can be further improved.

【0085】また、請求項7にかかる発明によれば、実
際のシステムLSIに搭載する各モジュールの情報を設
計の初期の段階から静的モデル情報で表現しておくこと
ができ、また、機能検証を容易に行うことができ、シス
テムLSIの開発効率をより向上させることができると
いう効果を奏する。
Further, according to the invention of claim 7, the information of each module mounted on the actual system LSI can be expressed by the static model information from the initial stage of the design, and the function verification can be performed. And the system LSI development efficiency can be further improved.

【0086】また、請求項8にかかる発明によれば、機
能検証、タイミング検証およびハードウエア実装のすべ
てを網羅した画面表示をそれぞれ別個に行って容易に把
握することができ、システムLSIの開発効率をより向
上させることができるという効果を奏する。
According to the invention of claim 8, the screen display covering all of the function verification, the timing verification and the hardware mounting can be separately performed and easily grasped, and the development efficiency of the system LSI can be improved. The effect of being able to further improve.

【0087】また、請求項9にかかる発明によれば、シ
ステムLSI全体を同期関係を含めて容易に管理するこ
とができ、ユーザの便宜となるという効果を奏する。
According to the ninth aspect of the invention, the entire system LSI can be easily managed including the synchronization relationship, which is convenient for the user.

【0088】また、請求項10にかかる発明によれば、
待ち状態等にあるモジュールに対するクロックを停止す
るなど、モジュールの制御を行うことができ、低消費電
力を実現することができるという効果を奏する。また、
状態情報によって論理回路モジュールの生成、消去の制
御を行うことにより、ハードウエアリソースを節約する
ことができるという効果を奏する。
According to the invention of claim 10,
The module can be controlled by stopping the clock for the module in the waiting state and the like, and low power consumption can be realized. Also,
By controlling the generation and deletion of the logic circuit module based on the state information, it is possible to save hardware resources.

【0089】また、請求項11にかかる発明によれば、
モジュールの優先順位に基づいた実行制御を行うことが
でき、システムLSIによる処理の効率化を図ることが
できるという効果を奏する。
According to the invention of claim 11,
There is an effect that execution control can be performed based on the priority order of modules, and the efficiency of processing by the system LSI can be improved.

【0090】また、請求項12にかかる発明によれば、
システムLSIの仕様設計から実装まで全てをコンピュ
ータ上で実現することができるという効果を奏する。ま
た、システムLSI上に搭載するモジュールを直ちにP
C用集積回路にダウンロードして検証でき、正確なシス
テム性能見積り、ソフトウェア/ハードウェア分割作業
を即座にPC上で行うことができ、システムLSIの開
発効率をより向上させることができるという効果を奏す
る。
According to the invention of claim 12,
It is possible to realize everything from the specification design to the implementation of the system LSI on the computer. Also, immediately load the module mounted on the system LSI.
The effects of being able to download to a C integrated circuit for verification, to accurately estimate system performance, to perform software / hardware division work immediately on a PC, and to improve the development efficiency of system LSI can be obtained. .

【0091】また、請求項13にかかる発明によれば、
実行結果を即座にPC上で確認することができ、システ
ムLSIの開発効率をより向上させることができるとい
う効果を奏する。
According to the thirteenth aspect of the invention,
The execution result can be immediately confirmed on the PC, and the development efficiency of the system LSI can be further improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】実施の形態1のシステムLSI設計支援プログ
ラムをPC上で実行した場合のPCの機能的構成を示す
ブロック図である。
FIG. 1 is a block diagram showing a functional configuration of a PC when a system LSI design support program according to a first embodiment is executed on the PC.

【図2】API関数と例とプログラムモジュールからの
論理回路モジュールの呼び出し処理を示す説明図であ
る。
FIG. 2 is an explanatory diagram showing an API function, an example, and a process of calling a logic circuit module from a program module.

【図3】図3(a)は、同期分析部によって生成される
同期情報を各モジュール間の同期関係として画面上に表
示した例を示す説明図であり、図3(b)はこの場合の
同期情報の内容を示す説明図である。
FIG. 3A is an explanatory diagram showing an example in which the synchronization information generated by the synchronization analysis unit is displayed on the screen as a synchronization relationship between modules, and FIG. It is explanatory drawing which shows the content of synchronization information.

【図4】図4(a)は、同期分析部によって生成される
同期情報を各モジュール間の同期関係として画面上に表
示した例を示す説明図であり、図4(b)はこの場合の
同期情報の内容を示す説明図である。
FIG. 4A is an explanatory diagram showing an example in which the synchronization information generated by the synchronization analysis unit is displayed on the screen as the synchronization relationship between the modules, and FIG. It is explanatory drawing which shows the content of synchronization information.

【図5】図5(a)は、同期分析部によって生成される
同期情報を各モジュール間の同期関係として画面上に表
示した例を示す説明図であり、図5(b)および(c)
はこの場合の同期情報の内容を示す説明図である。
FIG. 5A is an explanatory diagram showing an example in which the synchronization information generated by the synchronization analysis unit is displayed on the screen as the synchronization relationship between the modules, and FIGS.
FIG. 6 is an explanatory diagram showing the contents of synchronization information in this case.

【図6】画面表示部によってシステムの静的モデルと動
的モデルと時間的モデルとを別プレーンに表示した状態
を示す説明図である。
FIG. 6 is an explanatory diagram showing a state in which a static model, a dynamic model, and a temporal model of the system are displayed on different planes by the screen display unit.

【図7】PC上で実施の形態1にかかるシステムLSI
設計支援プログラムを利用して、ソフトウェア/ハード
ウェア分割を行う際の画面例を示す説明図である。
FIG. 7 is a system LSI according to the first embodiment on a PC.
It is explanatory drawing which shows the example of a screen at the time of performing software / hardware division using a design support program.

【図8】同期処理の手法の概要を示す説明図である。FIG. 8 is an explanatory diagram showing an outline of a synchronization processing method.

【図9】同期変換の一例を示す説明図である。FIG. 9 is an explanatory diagram showing an example of synchronous conversion.

【図10】オブジェクト、オブジェクト間の通信部、ド
キュメントの構造を示す説明図である。
FIG. 10 is an explanatory diagram showing structures of objects, a communication unit between the objects, and a document.

【図11】各オブジェクトの処理のスケジューリングの
表示例を示す説明図である。
FIG. 11 is an explanatory diagram showing a display example of scheduling of processing of each object.

【図12】各オブジェクトの処理のスケジューリングを
アクティビティ図で表示する例を示す説明図である。
FIG. 12 is an explanatory diagram showing an example of displaying the scheduling of processing of each object in an activity diagram.

【図13】実施の形態2にかかるシステムコントローラ
の構成を示すブロック図である。
FIG. 13 is a block diagram showing a configuration of a system controller according to a second embodiment.

【図14】実施の形態2にかかるシステムコントローラ
による状態情報に基づく制御の概要を示す説明図であ
る。
FIG. 14 is an explanatory diagram showing an outline of control based on state information by the system controller according to the second embodiment.

【図15】実施の形態2にかかるシステムコントローラ
によるハードウエアのリソース管理の状態を示す説明図
である。
FIG. 15 is an explanatory diagram showing a state of hardware resource management by the system controller according to the second embodiment.

【図16】実施の形態3にかかる検証用PCカードの構
成を示すブロック図である。
FIG. 16 is a block diagram showing a configuration of a verification PC card according to a third embodiment.

【符号の説明】[Explanation of symbols]

101 入力処理部 102 同期分析部 103 ソフトウェア/ハードウェア分割処理部 104 同期変換部 105 画面表示部 106 ハードディスク 107 オブジェクト 108 ライブラリ 109 オブジェクト生成部 701a 論理回路モジュール 701b プログラムモジュール 702 論理回路モジュール 1301 システムコントローラ 1302 制御部 1303 記憶部 1600 PCカード 1605 テスト用論理回路 1607 フラッシュメモリ 101 Input processing unit 102 synchronization analysis unit 103 software / hardware division processing unit 104 Synchronous converter 105 screen display 106 hard disk 107 objects 108 library 109 Object generator 701a Logic circuit module 701b Program module 702 Logic circuit module 1301 System controller 1302 control unit 1303 storage unit 1600 PC card 1605 Test logic circuit 1607 Flash memory

Claims (13)

【特許請求の範囲】[Claims] 【請求項1】 CPUコア内蔵のシステムLSIの設計
を行う手段として、コンピュータを機能させるプログラ
ムであって、 前記システムLSI上に構築される論理回路のモジュー
ル、またはROMに組み込まれるプログラムのモジュー
ルの内容から他のモジュールの呼び出し処理を抽出し、
抽出された呼び出し処理に基づいて、前記モジュール間
の同期関係を示する同期情報を生成する同期分析手段と
してコンピュータを機能させることを特徴とするシステ
ムLSI設計支援プログラム。
1. A program for causing a computer to function as a means for designing a system LSI having a built-in CPU core, the contents of a module of a logic circuit constructed on the system LSI or a module of a program incorporated in a ROM. Extract the calling process of other module from
A system LSI design support program for causing a computer to function as a synchronization analysis unit that generates synchronization information indicating a synchronization relationship between the modules based on the extracted calling process.
【請求項2】 前記モジュールから呼び出され、前記論
理回路モジュールに対して前記論理回路モジュールの有
する機能を実行させるAPI関数を登録したAPIライ
ブラリをさらに備えたことを特徴とする請求項1に記載
のシステムLSI設計支援プログラム。
2. The API library according to claim 1, further comprising an API library that is called from the module and registers an API function that causes the logic circuit module to execute a function of the logic circuit module. System LSI design support program.
【請求項3】 前記API関数は、対応する前記論理回
路モジュールの機能を示す属性情報を戻り値として返す
ものであることを特徴とする請求項2に記載のシステム
LSI設計支援プログラム。
3. The system LSI design support program according to claim 2, wherein the API function returns attribute information indicating the function of the corresponding logic circuit module as a return value.
【請求項4】 前記API関数は、消費電力、回路規
模、処理時間の中で少なくとも一つの前記属性情報を、
戻り値として返すことを特徴とする請求項3に記載のシ
ステムLSI設計支援プログラム。
4. The API function stores at least one of the attribute information in power consumption, circuit scale, and processing time.
The system LSI design support program according to claim 3, which is returned as a return value.
【請求項5】 前記同期分析手段は、前記モジュールか
ら前記API関数の呼び出し処理を抽出し、抽出された
呼び出し処理に基づいて、前記論理回路モジュールと他
のモジュールの前記同期情報を生成することを特徴とす
る請求項2〜4のいずれか一つに記載のシステムLSI
設計支援プログラム。
5. The synchronization analysis means extracts a calling process of the API function from the module, and generates the synchronization information of the logic circuit module and another module based on the extracted calling process. The system LSI according to any one of claims 2 to 4, characterized in that
Design support program.
【請求項6】 ユーザによって指定された論理回路モジ
ュールを該論理回路モジュールと等価な機能を有する前
記プログラムモジュールに変換した場合、またはユーザ
によって指定されたプログラムモジュールを該プログラ
ムモジュールと等価な機能を有する論理回路モジュール
に変換した場合に、前記同期情報に基づいて、変換前の
モジュールと他のモジュールの同期処理を、変換後のモ
ジュールに応じて変換する同期変換手段として、さらに
コンピュータを機能させることを特徴とする請求項1〜
5のいずれか一つに記載のシステムLSI設計支援プロ
グラム。
6. A logic circuit module designated by a user is converted into the program module having a function equivalent to the logic circuit module, or a program module designated by a user has a function equivalent to the program module. When converted into a logic circuit module, the computer may further function as a synchronization conversion unit that converts the synchronization processing of the module before conversion and the other module based on the synchronization information according to the module after conversion. Claims 1 to 1 characterized
5. A system LSI design support program described in any one of 5.
【請求項7】 前記論理回路モジュール間の同期関係を
示す静的モデル情報と、前記論理回路モジュールの状態
遷移を含めた前記論理回路モジュール間の同期関係を示
す動的モデル情報とを別個に画面表示する画面表示手段
として、さらにコンピュータを機能させることを特徴と
する請求項1〜6のいずれか一つに記載のシステムLS
I設計支援プログラム。
7. A screen for separately displaying static model information indicating a synchronization relationship between the logic circuit modules and dynamic model information indicating a synchronization relationship between the logic circuit modules including state transitions of the logic circuit module. 7. The system LS according to claim 1, further comprising causing a computer to function as a screen display unit for displaying.
I design support program.
【請求項8】 前記画面表示手段は、前記論理回路モジ
ュールの同期タイミングを含めた前記論理回路モジュー
ル間の同期関係を示す時間的モデル情報を、前記静的モ
デル情報および前記動的モデル情報と別個に画面表示す
ることを特徴とする請求項7に記載のシステムLSI設
計支援プログラム。
8. The screen display means separates temporal model information indicating a synchronization relationship between the logic circuit modules including a synchronization timing of the logic circuit module from the static model information and the dynamic model information. The system LSI design support program according to claim 7, which is displayed on the screen.
【請求項9】 論理集積回路上に構築されるCPUコア
内蔵のシステムLSIに搭載され、前記システムLSI
の全体動作を統括するシステムLSI制御回路であっ
て、 前記システムLSI上に構築される論理回路のモジュー
ル、またはROMに組み込まれるプログラムのモジュー
ルの同期関係を示す同期情報を保持する記憶手段と、 前記同期情報に基づいて、前記モジュールの動作を制御
する制御手段と、 を備えたことを特徴とするシステムLSI制御回路。
9. The system LSI, which is mounted on a system LSI with a built-in CPU core built on a logic integrated circuit,
A storage means for holding synchronization information indicating a synchronization relationship between a module of a logic circuit constructed on the system LSI or a module of a program installed in a ROM, A system LSI control circuit comprising: control means for controlling the operation of the module based on synchronization information.
【請求項10】 前記記憶手段は、さらに、前記モジュ
ールごとに、前記モジュールの処理状態を示す状態情報
を保持し、 前記制御手段は、さらに、前記状態情報に基づいて、前
記モジュールの動作を制御することを特徴とする請求項
9に記載のシステムLSI制御回路。
10. The storage means further holds, for each module, status information indicating a processing status of the module, and the control means further controls an operation of the module based on the status information. The system LSI control circuit according to claim 9, wherein the system LSI control circuit comprises:
【請求項11】 前記記憶手段は、さらに、前記モジュ
ールごとに、前記モジュールの実行順位を示す優先度情
報を保持し、 前記制御手段は、さらに、前記優先度情報に基づいて、
前記モジュールの動作を制御することを特徴とする請求
項9または10に記載のシステムLSI制御回路。
11. The storage unit further holds, for each of the modules, priority information indicating an execution order of the module, and the control unit further includes, based on the priority information,
The system LSI control circuit according to claim 9, which controls the operation of the module.
【請求項12】 コンピュータに装着されて、コンピュ
ータによって読み込み可能なPC用集積回路あって、 CPUコアを内蔵し、論理回路のモジュール、またはR
OMに組み込まれるプログラムのモジュールを搭載した
システムLSIと、 検証用の集積回路と、 を備えたことを特徴とするPC用集積回路。
12. An integrated circuit for a PC, which is mounted on a computer and readable by a computer, comprising a CPU core, a logic circuit module, or an R.
An integrated circuit for a PC, comprising a system LSI having a program module incorporated in the OM and an integrated circuit for verification.
【請求項13】 前記システムLSIによる実行結果を
記憶する記憶手段をさらに備えたことを特徴とする請求
項12に記載のPC用集積回路。
13. The integrated circuit for PC according to claim 12, further comprising a storage unit that stores an execution result by the system LSI.
JP2002092628A 2002-03-28 2002-03-28 System lsi design support program, system lsi control circuit and integrated circuit for pc Pending JP2003288378A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002092628A JP2003288378A (en) 2002-03-28 2002-03-28 System lsi design support program, system lsi control circuit and integrated circuit for pc

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002092628A JP2003288378A (en) 2002-03-28 2002-03-28 System lsi design support program, system lsi control circuit and integrated circuit for pc

Publications (1)

Publication Number Publication Date
JP2003288378A true JP2003288378A (en) 2003-10-10

Family

ID=29237393

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002092628A Pending JP2003288378A (en) 2002-03-28 2002-03-28 System lsi design support program, system lsi control circuit and integrated circuit for pc

Country Status (1)

Country Link
JP (1) JP2003288378A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006003702A1 (en) * 2004-07-01 2006-01-12 Fujitsu Limited Verification support device, verification support method, verification support program, and recording medium
JP2007305115A (en) * 2006-04-14 2007-11-22 Matsushita Electric Ind Co Ltd Design support system, design support method, and design support program
US8091064B2 (en) 2006-04-14 2012-01-03 Panasonic Corporation Supporting system, design supporting method, and computer-readable recording medium recorded with design supporting program

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006003702A1 (en) * 2004-07-01 2006-01-12 Fujitsu Limited Verification support device, verification support method, verification support program, and recording medium
JPWO2006003702A1 (en) * 2004-07-01 2008-04-17 富士通株式会社 Verification support device, verification support method, and verification support program
US7640521B2 (en) 2004-07-01 2009-12-29 Fujitsu Limited Model verification support method, apparatus, and computer-readable recording medium storing program
JP2007305115A (en) * 2006-04-14 2007-11-22 Matsushita Electric Ind Co Ltd Design support system, design support method, and design support program
US8091064B2 (en) 2006-04-14 2012-01-03 Panasonic Corporation Supporting system, design supporting method, and computer-readable recording medium recorded with design supporting program

Similar Documents

Publication Publication Date Title
So et al. A unified hardware/software runtime environment for FPGA-based reconfigurable computers using BORPH
US8984494B1 (en) Scheduling generated code based on target characteristics
US6865526B1 (en) Method for core-based system-level power modeling using object-oriented techniques
Martin et al. UML for SoC design
CN109947422B (en) Business entity implementation method and system based on domain-driven design
JP2008503011A (en) Architecture device and method for device team recruitment and content rendition for universal device interoperability platform
KR20070112468A (en) Integrating programmable logic into personal computer(pc) architecture
CN111507020A (en) Graphical display method for distributed simulation results of electromechanical systems of multi-electric aircraft
WO2006123547A1 (en) Information processing unit, system and method, and processor
CN107436839B (en) Process load acquisition method, electronic terminal and computer readable storage medium
JP5157534B2 (en) Behavioral synthesis apparatus and program
JP2003288378A (en) System lsi design support program, system lsi control circuit and integrated circuit for pc
WO2021168861A1 (en) Multi-core processor, multi-core processor processing method and related device
JP5510258B2 (en) Simulation device
KR101621841B1 (en) System and method for mixing circuit simulation based on hla/rti
JP2009223661A (en) Verification support program, recording medium with the program recorded therein, verification support apparatus, and verification support method
Huang et al. Model-based platform-specific co-design methodology for dynamically partially reconfigurable systems with hardware virtualization and preemption
CN115964331A (en) Data access method, device and equipment
Aynsley Easier UVM for functional verification by mainstream users
Álvarez et al. Integrating schedulability analysis and sdl in an object-oriented methodology for embedded real-time systems
Ruaro et al. A Framework for Heterogeneous Many-core SoCs Generation
Jaber High-Level soc modeling and performance estimation applied to a multi-core implementation of LTE enodeb physical layer
Zhou et al. Shum-ucos: A rtos using multi-task model to reduce migration cost between sw/hw tasks
JP5056493B2 (en) Virtual software generator
Tranberg-Hansen et al. A service based component model for composing and exploring MPSoC platforms

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040430

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070417

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070904