JP2002093904A - デュアルダマシン配線の形成方法 - Google Patents

デュアルダマシン配線の形成方法

Info

Publication number
JP2002093904A
JP2002093904A JP2001084153A JP2001084153A JP2002093904A JP 2002093904 A JP2002093904 A JP 2002093904A JP 2001084153 A JP2001084153 A JP 2001084153A JP 2001084153 A JP2001084153 A JP 2001084153A JP 2002093904 A JP2002093904 A JP 2002093904A
Authority
JP
Japan
Prior art keywords
film
forming
reflection
via hole
dual damascene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001084153A
Other languages
English (en)
Other versions
JP4532768B2 (ja
Inventor
Eimo Ri
永 模 李
Seiken Boku
正 權 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JP2002093904A publication Critical patent/JP2002093904A/ja
Application granted granted Critical
Publication of JP4532768B2 publication Critical patent/JP4532768B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

(57)【要約】 【課題】 ダマシン工程でビア孔による乱反射を防止
し、後続の工程で凹部形成のためのエッチング工程でビ
ア孔を保護する反射防止膜を塗布する時、該反射防止膜
が十分に埋め込まれない現象を防止する。 【解決手段】 半導体基板31上に多層構造の絶縁膜3
2〜35を形成し、前記絶縁膜上に感光膜を塗布し露光
及び現像して第1の感光膜パターンを形成し、前記第1
の感光膜パターンを利用して下部の前記絶縁膜をエッチ
ングして第1のビア孔を形成し、この第1のビア孔を含
む全面に低粘度の第1の反射防止膜38aを塗布し形成
し、この第1の反射防止膜上に低粘度の第2の反射防止
膜38bを塗布し形成し、この第2の反射防止膜38b上
に第2の感光膜パターン39を形成し、この第2の感光
膜パターン39を利用して前記第1及び第2の反射防止
膜38a,38bと前記絶縁膜をエッチングして第2のビ
ア孔を形成するものである。

Description

【発明の詳細な説明】
【0001】
【発明が属する技術分野】本発明は、半導体素子のデュ
アルダマシン配線の形成方法に関し、特に、デュアルダ
マシン(Dual damascene)工程におけるビアフォト(Trenc
h photo)工程時の反射防止膜(Organic Bottom Anti-Ref
lective Coating)の形成方法に関する。
【0002】
【従来の技術】一般に、ダマシン(Damascene)工程は、
絶縁膜をフォトリソグラフィ(photo-lithography)技術
を利用して形成される配線形状に沿って溝を形成し、前
記溝にタングステン(W)などの導電物質を埋め込んだ
後、前記溝以外の不要な配線物質をエッチバック(Etchb
ack)や化学的機械的研磨(Chemical Mechanical Polishi
ng)などの技術を利用して除去することによって、最初
に形成した溝形状に配線を形成する技術である。
【0003】この技術は、主にDRAMなどのビットライン
(bit line)、またはワードライン(Wordline)の形成に利
用されるが、上述したダマシン工程を適用してDRAMのビ
ットラインを形成する通常の方法は以下の通りである。
すなわち、ビットライン形成のための溝を絶縁膜に形成
する。一方、ビットラインを半導体基板に接続させるた
めのコンタクト孔は、ビットラインの中間の絶縁膜をフ
ォトリソグラフィ技術を利用して形成する。以後、タン
グステン、アルミニウム、または銅などの導電物質を、
前記ビットラインの形成のための溝とコンタクト孔とを
完全に埋め込むように蒸着した後、化学的機械的研磨や
エッチバック工程を進行して絶縁膜上部に位置した不要
な導電物質を除去する。
【0004】上記のようなダマシン工程でビットライン
を形成する場合、ビットラインと下部の半導体基板との
接続を同時に完成し得るだけでなく、ビットラインによ
り発生する段差(topology)をなくすことができるので、
後続の工程が容易となる利点がある。
【0005】そして、半導体素子の製造工程において、
金属層は、二重または多重構造に形成され、アルミニウ
ムのような金属配線形態に形成されるが、金属は表面反
射率が非常に高いために、金属層をパターンニングする
ためのフォトリソグラフィ時に、光の散乱が発生して金
属層にノッチング(Notching)及び薄肉化(Thinning)の問
題が生じる。このような問題は、半導体素子が高集積化
することに伴って金属配線の幅が狭くなるなるためにさ
らに深刻となる。したがって、これを防止するために金
属層の上面に反射防止膜(Anti Reflective Coating)を
形成する。
【0006】図6ないし図9は、従来の技術にかかるダ
マシン工程のうち、最も広く用いられるビアファースト
(Via first)方法を示したものである。
【0007】まず、図6に示すように、所定の製造工程
が完了した半導体基板11上にエッチング停止膜として
第1の窒化ケイ素膜(SiN)12を形成し、この第1の
窒化ケイ素膜12上にメタルレベルの酸化膜として第1
のシリコン酸化膜(SiO2)13を形成する。次いで、前
記第1のシリコン酸化膜13上にエッチング停止膜とし
て、第2の窒化ケイ素膜14を形成し、この第2の窒化
ケイ素膜14上にコンタクトレベルの絶縁膜として第2
のシリコン酸化膜15を形成する。次いで、前記第2の
シリコン酸化膜15上に感光膜を塗布し、感光膜パター
ン16を形成する。
【0008】次に、図7に示すように、前記感光膜パタ
ーン16を利用して、下部の第2のシリコン酸化膜1
5、第2の窒化ケイ素膜14、第1のシリコン酸化膜1
3を同時にエッチングして第1のビア孔17を形成す
る。
【0009】次に、図8に示すように、前記第1のビア
孔17による乱反射でパターン形状が劣化することを防
止するために、前記第1のビア孔17を含む全面に有機
物から成る反射防止膜18を塗布する。この場合、前記
反射防止膜18は、900Å/3000rpmの高い粘度を有し、1
000Å〜1400Åの厚さに前記第1のビア孔17の底まで
塗布される。しかし、前記第1のビア孔17の大きさが
小さくなると、反射防止膜18がよく埋め込まれない。
【0010】また、前記反射防止膜18は、スピンナ(S
pinner)を利用して露光装置の1つであるトラック(Trac
k)で塗布されることとなる。
【0011】次いで、前記反射防止膜18上に感光膜を
塗布し、露光及び現像によりパターンニングして前記第
1のビア孔17周囲の反射防止膜18を所定の幅だけ露
出させる感光膜パターン19を形成する。
【0012】次に、図9に示すように、前記感光膜パタ
ーン19を利用してその下部に露出された反射防止膜1
8及び第2のシリコン酸化膜15をエッチングすること
によって、図7に示す第1のビア孔17を含む2段形状
の最終的な第2のビア孔20を形成する。この場合、第
2のビア孔20の形成時、前記反射防止膜18は、ビア
孔による乱反射だけでなく、ビア孔の損失を防止する。
【0013】なお、図面に示さなかったが、後続の工程
として前記第2のビア孔20に導電層を形成した後、エ
ッチバックや化学的機械的研磨を実施して該第2のビア
孔20に埋め込まれる所定の導電層パターン、例えば、
ビットライン、金属配線、または、ワードラインを形成
するとともにビアを形成する。
【0014】上述したように、上層の配線が形成される
最終的な第2のビア孔20と、この上層の配線を下層の
配線または基板11に接続するビア孔、またはコンタク
ト孔(以下、これらをまとめて総称的に「ビア孔」とい
う)とを絶縁層に形成した後、金属膜を前記ビア孔に同
時に埋め込んで配線とビア孔とを同時に形成する。
【0015】
【発明が解決しようとする課題】しかし、上述した従来
の技術は、露光工程技術の向上及びチップの大きさの縮
小などの理由で、ビア孔の大きさが減少することによっ
て、高い粘度の反射防止膜18を利用する場合、ビア孔
に反射防止膜18がよく埋め込まれない問題点が発生す
る。
【0016】そこで、本発明は、上記従来のデュアルダ
マシン配線の形成方法における問題点に鑑みてなされた
ものであって、ダマシン工程においてビア孔による乱反
射を防止し、後続の工程で凹部(recess)形成のためのエ
ッチング工程でビア孔を保護する反射防止膜を塗布する
時、該反射防止膜が十分に埋め込まれない現象を防止す
るのに好適なデュアルダマシン配線の形成方法を提供す
ることを目的とする。
【0017】
【課題を解決するための手段】上記目的を達成するため
に、本発明によるデュアルダマシン配線の形成方法は、
半導体素子のデュアルダマシン配線の形成方法におい
て、半導体基板上に多層構造の絶縁膜を形成するステッ
プと、前記絶縁膜上に感光膜を塗布し露光及び現像して
第1の感光膜パターンを形成するステップと、前記第1
の感光膜パターンを利用して下部の前記絶縁膜をエッチ
ングして第1のビア孔を形成するステップと、前記第1
のビア孔を含む全面に低粘度の第1の反射防止膜を塗布
し形成するステップと、前記第1の反射防止膜上に低粘
度の第2の反射防止膜を塗布し形成するステップと、前
記第2の反射防止膜上に第2の感光膜パターンを形成す
るステップと、前記第2の感光膜パターンを利用して前
記第1及び第2の反射防止膜と前記絶縁膜をエッチング
して第2のビア孔を形成するステップと、を行うもので
ある。
【0018】また、前記多層構造の絶縁膜を形成するス
テップは、最上層にシリコン酸化膜(SiO2)が形成され
るように、窒化ケイ素膜(SiN)とシリコン酸化膜(SiO
2)を交互に繰り返して形成するものである。
【0019】さらに、前記第1の反射防止膜を形成する
ステップは、450Å/3000rpmの粘度を有する反射防止膜
を500Å〜700Åの厚さに2回に亘って塗布して形成する
ものである。
【0020】さらにまた、前記第2の反射防止膜を形成
するステップは、450Å/3000rpmの粘度を有する反射防
止膜を500Å〜700Åの厚さに2回に亘って塗布して形成
するものである。
【0021】また、前記第1並びに第2の反射防止膜
は、有機物から成るものである。
【0022】さらに、前記第1の反射防止膜を形成する
ステップ及び第2の反射防止膜を形成するステップによ
り第1及び第2の反射防止膜を各々形成した後、前記半
導体基板を冷却させるステップをさらに行うものであ
る。
【0023】さらにまた、前記第2のビア孔を形成する
ステップは、該第2のビア孔の損失を防止するために最
下層の窒化ケイ素膜(SiN)上に前記第2の反射防止膜
を残留させるステップを含むものである。
【0024】
【発明の実施の形態】以下、当業者が本発明を実施でき
るように、本発明の実施形態を添付図面を参照しながら
説明する。
【0025】図1ないし図5は、本発明によるデュアル
ダマシン配線の形成方法の工程を示す図面であって、ビ
アファースト(Via first)方法を示したものである。
【0026】まず、図1に示すように、所定の製造工程
が完了した半導体基板31上にエッチング停止膜として
第1の窒化ケイ素膜32を形成し、この第1の窒化ケイ
素膜32上にメタルレベルの酸化膜として第1のシリコ
ン酸化膜33を形成する。次いで、前記第1のシリコン
酸化膜33上にエッチング停止膜として第2の窒化ケイ
素膜34を形成し、この第2の窒化ケイ素膜34上にコ
ンタクトレベルの絶縁膜として第2のシリコン酸化膜3
5を形成する。
【0027】次いで、前記第2のシリコン酸化膜35上
に感光膜を塗布し、第1の感光膜パターン36を形成す
る。
【0028】次に、図2に示すように、前記第1の感光
膜パターン36を利用して、下部の第2のシリコン酸化
膜35と、第2の窒化ケイ素膜34と、第1のシリコン
酸化膜33とを同時にエッチングして第1のビア孔37
を形成する。
【0029】次に、図3に示すように、前記第1のビア
孔37を含む全面に、後続のビアエッチング時に、下部
の第1のビア孔37による乱反射でパターン形状が劣化
することを防止するために、450Å/3000rpmの低粘度を
有し有機物から成る第1の反射防止膜38aを500Å〜70
0Åの厚さに2回に亘って塗布し、前記第1のビア孔3
7の底まで塗布する。次いで、同じく450Å/3000rpmの
低粘度を有し有機物から成る第2の反射防止膜38bを5
00Å〜700Åの厚さに2回に亘って塗布して、所望のタ
ーゲット厚さの有機反射防止膜を形成する。
【0030】前記した第1及び第2の反射防止膜38
a、38bを塗布する方法は、半導体基板31を冷却させ
た後、有機反射防止膜をスピン塗布及びベーキングし、
また半導体基板31を冷却させる。次いで、有機反射防
止膜をスピン塗布及びベーキングした後、前記半導体基
板31を冷却させる。
【0031】上述したように、低粘度の有機物から成る
第1及び第2の反射防止膜38a、38bをスピン塗布法
によりそれぞれ2回に亘って塗布することによって、所
望の厚さのターゲットにビア孔に十分に埋め込まれる有
機反射防止膜を形成する。一方、有機反射防止膜の粘度
が高い場合には、2回に亘って塗布する工程が不可能で
ある。
【0032】次に、図4に示すように、前記第1及び第
2の反射防止膜38a、38b上に感光膜をスピン塗布及
びベーキングした後、半導体基板31を冷却させ、後続
の工程である露光及び現像工程を実施して、下部の前記
第1のビア孔37を含む第1及び第2の反射防止膜38
a、38bを所定の幅だけ露出させる第2の感光膜パター
ン39を形成する。
【0033】次に、図5に示すように、前記第2の感光
膜パターン39を利用して下部に露出された第1及び第
2の反射防止膜38a、38b及び第2のシリコン酸化膜
35をエッチングすることによって、前記第1のビア孔
37を含む2段形状の第2のビア孔40を形成する。こ
の場合、前記第2のビア孔40形成時、前記第1及び第
2の反射防止膜38a、38bは、前記第1のビア孔37
の乱反射を防止し、前記低粘度の第1及び第2の反射防
止膜38a、38bは、シリコン酸化膜(SiO2)よりエッ
チング速度が遅いために、第1のビア孔37の底に所定
の厚さの有機物から成る第1及び第2の反射防止膜38
a、38bが残留するので、第2のビア孔40の損失を防
止することとなる。
【0034】なお、図面に示さなかったが、後続の工程
として、前記第2のビア孔40に導電層を形成した後、
エッチバックや化学的機械的研磨を実施して、該第2の
ビア孔40に埋め込まれる所定の導電層パターン、例え
ば、ビットライン、金属配線、ワードライン、またはゲ
ート電極を形成する。
【0035】なお、上述の実施例は、本発明の技術思想
の説明のためのものであって、その制限のためのもので
はない。また、本発明の技術分野の通常の専門家である
ならば、本発明の技術思想の範囲内で種々の変形例が実
施可能である。
【0036】
【発明の効果】上述したように、本発明によるデュアル
ダマシン配線の形成方法は、ダマシン工程のビアエッチ
ング時、低粘度の反射防止膜を2回に亘って塗布するこ
とによって、所望の厚さのターゲットを確保することが
でき、半導体素子の集積度が高くなってビア孔の大きさ
が微細化してもビア孔での乱反射及びビア孔を保護する
役割を効率的に行うことができる効果がある。
【図面の簡単な説明】
【図1】 本発明によるデュアルダマシン配線の形成方
法の工程を示す図面であり、半導体基板上に多層構造の
絶縁膜を形成し、第1の感光膜パターンを形成する工程
を示す図である。
【図2】 同じくデュアルダマシン配線の形成方法の工
程を示す図面であり、第1の感光膜パターンを利用して
前記絶縁膜をエッチングして第1のビア孔を形成する工
程を示す図である。
【図3】 同じくデュアルダマシン配線の形成方法の工
程を示す図面であり、第1及び第2の反射防止膜を形成
する工程を示す図である。
【図4】 同じくデュアルダマシン配線の形成方法の工
程を示す図面であり、上記第2の反射防止膜上に第2の
感光膜パターンを形成する工程を示す図である。
【図5】 同じくデュアルダマシン配線の形成方法の工
程を示す図面であり、上記第2の感光膜パターンを利用
して第2のビア孔を形成する工程を示す図である。
【図6】 従来のデュアルダマシン配線の形成方法の工
程を示す図面である。
【図7】 従来のデュアルダマシン配線の形成方法の工
程を示す図面である。
【図8】 従来のデュアルダマシン配線の形成方法の工
程を示す図面である。
【図9】 従来のデュアルダマシン配線の形成方法の工
程を示す図面である。
【符号の説明】
31 半導体基板 32 第1の窒化ケイ素膜 33 第1のシリコン酸化膜 34 第2の窒化ケイ素膜 35 第2のシリコン酸化膜 36 第1の感光膜パターン 37 第1のビア孔 38a 第1の反射防止膜 38b 第2の反射防止膜 39 第2の感光膜パターン 40 第2のビア
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/3065 H01L 21/302 J 21/3205 21/88 K Fターム(参考) 2H025 AA00 AB16 DA11 DA34 DA40 FA03 FA14 FA28 FA41 2H096 AA25 CA05 EA12 HA23 HA30 JA04 KA08 5F004 DB03 DB07 DB23 EA22 EB02 5F033 HH08 HH11 HH19 JJ08 JJ11 JJ19 KK01 MM02 QQ04 QQ25 QQ31 QQ48 RR04 RR06 VV06 VV16 XX34 5F046 PA07

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】半導体素子のデュアルダマシン配線の形成
    方法において、 半導体基板上に多層構造の絶縁膜を形成するステップ
    と、 前記絶縁膜上に感光膜を塗布し露光及び現像して第1の
    感光膜パターンを形成するステップと、 前記第1の感光膜パターンを利用して下部の前記絶縁膜
    をエッチングして第1のビア孔を形成するステップと、 前記第1のビア孔を含む全面に低粘度の第1の反射防止
    膜を塗布し形成するステップと、 前記第1の反射防止膜上に低粘度の第2の反射防止膜を
    塗布し形成するステップと、 前記第2の反射防止膜上に第2の感光膜パターンを形成
    するステップと、 前記第2の感光膜パターンを利用して前記第1及び第2
    の反射防止膜と前記絶縁膜をエッチングして第2のビア
    孔を形成するステップと、を行うことを特徴とするデュ
    アルダマシン配線の形成方法。
  2. 【請求項2】前記多層構造の絶縁膜を形成するステップ
    は、最上層にシリコン酸化膜(SiO2)が形成されるよう
    に、窒化ケイ素膜(SiN)とシリコン酸化膜(SiO2)を
    交互に繰り返して形成することを特徴とする請求項1に
    記載のデュアルダマシン配線の形成方法。
  3. 【請求項3】前記第1の反射防止膜を形成するステップ
    は、450Å/3000rpmの粘度を有する反射防止膜を500Å〜
    700Åの厚さに2回に亘って塗布して形成することを特
    徴とする請求項1に記載のデュアルダマシン配線の形成
    方法。
  4. 【請求項4】前記第2の反射防止膜を形成するステップ
    は、450Å/3000rpmの粘度を有する反射防止膜を500Å〜
    700Åの厚さに2回に亘って塗布して形成することを特
    徴とする請求項1に記載のデュアルダマシン配線の形成
    方法。
  5. 【請求項5】前記第1並びに第2の反射防止膜は、有機
    物から成ることを特徴とする請求項1、3又は4に記載
    のデュアルダマシン配線の形成方法。
  6. 【請求項6】前記第1の反射防止膜を形成するステップ
    及び第2の反射防止膜を形成するステップにより第1及
    び第2の反射防止膜を各々形成した後、前記半導体基板
    を冷却させるステップをさらに行うことを特徴とする請
    求項1に記載のデュアルダマシン配線の形成方法。
  7. 【請求項7】前記第2のビア孔を形成するステップは、
    該第2のビア孔の損失を防止するために最下層の窒化ケ
    イ素膜(SiN)上に前記第2の反射防止膜を残留させる
    ステップを含むことを特徴とする請求項1又は2に記載
    のデュアルダマシン配線の形成方法。
JP2001084153A 2000-08-31 2001-03-23 デュアルダマシン配線の形成方法 Expired - Fee Related JP4532768B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020000051330A KR100349680B1 (ko) 2000-08-31 2000-08-31 듀얼 다마신 배선의 형성 방법
KR51330/2000 2000-08-31

Publications (2)

Publication Number Publication Date
JP2002093904A true JP2002093904A (ja) 2002-03-29
JP4532768B2 JP4532768B2 (ja) 2010-08-25

Family

ID=19686567

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001084153A Expired - Fee Related JP4532768B2 (ja) 2000-08-31 2001-03-23 デュアルダマシン配線の形成方法

Country Status (4)

Country Link
US (1) US6764944B2 (ja)
JP (1) JP4532768B2 (ja)
KR (1) KR100349680B1 (ja)
TW (1) TW544850B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6667530B2 (en) * 2001-01-17 2003-12-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6835652B2 (en) 2002-04-17 2004-12-28 Nec Electronics Corporation Method of fabricating patterns with a dual damascene process
KR100518895B1 (ko) * 2003-11-13 2005-09-30 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US7238462B2 (en) 2002-11-27 2007-07-03 Tokyo Ohka Kogyo Co., Ltd. Undercoating material for wiring, embedded material, and wiring formation method
JP2008532059A (ja) * 2005-02-10 2008-08-14 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション 多重反射防止膜を有するフォトレジストの像形成方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100364812B1 (ko) * 2000-12-30 2002-12-16 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20040009751A (ko) * 2002-07-25 2004-01-31 동부전자 주식회사 반도체 소자의 다마신 패턴 형성방법
CN1293603C (zh) * 2003-07-25 2007-01-03 旺宏电子股份有限公司 半导体图案化光致抗蚀剂层的重作工艺
KR100974868B1 (ko) * 2008-01-10 2010-08-11 김창경 개선된 여재를 이용한 오폐수 처리부재
US8105947B2 (en) * 2008-11-07 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Post etch dielectric film re-capping layer
CN102097361B (zh) * 2009-12-15 2013-09-11 中芯国际集成电路制造(上海)有限公司 双镶嵌结构的形成方法
CN106811752B (zh) * 2015-12-02 2019-10-25 中微半导体设备(上海)股份有限公司 形成双大马士革结构的方法、等离子体刻蚀方法
CN112289191A (zh) * 2020-10-29 2021-01-29 维沃移动通信有限公司 显示屏、显示屏的制作方法及电子设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6365630A (ja) * 1986-09-05 1988-03-24 Hitachi Ltd 半導体装置の製造方法
JP2000150644A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 半導体デバイスの製造方法
JP2000228374A (ja) * 1998-12-02 2000-08-15 Ulvac Japan Ltd 金属薄膜の形成方法、および金属微粒子分散液の作製方法
JP2001257261A (ja) * 2000-03-09 2001-09-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2001332621A (ja) * 2000-03-13 2001-11-30 Toshiba Corp 半導体装置及びその製造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000208620A (ja) * 1999-01-11 2000-07-28 Mitsubishi Electric Corp 半導体装置の製造方法
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6365630A (ja) * 1986-09-05 1988-03-24 Hitachi Ltd 半導体装置の製造方法
JP2000150644A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 半導体デバイスの製造方法
JP2000228374A (ja) * 1998-12-02 2000-08-15 Ulvac Japan Ltd 金属薄膜の形成方法、および金属微粒子分散液の作製方法
JP2001257261A (ja) * 2000-03-09 2001-09-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2001332621A (ja) * 2000-03-13 2001-11-30 Toshiba Corp 半導体装置及びその製造方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6667530B2 (en) * 2001-01-17 2003-12-23 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6835652B2 (en) 2002-04-17 2004-12-28 Nec Electronics Corporation Method of fabricating patterns with a dual damascene process
US7238462B2 (en) 2002-11-27 2007-07-03 Tokyo Ohka Kogyo Co., Ltd. Undercoating material for wiring, embedded material, and wiring formation method
KR100518895B1 (ko) * 2003-11-13 2005-09-30 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
JP2008532059A (ja) * 2005-02-10 2008-08-14 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション 多重反射防止膜を有するフォトレジストの像形成方法

Also Published As

Publication number Publication date
US6764944B2 (en) 2004-07-20
KR20020017816A (ko) 2002-03-07
JP4532768B2 (ja) 2010-08-25
KR100349680B1 (ko) 2002-08-24
US20020058370A1 (en) 2002-05-16
TW544850B (en) 2003-08-01

Similar Documents

Publication Publication Date Title
US6319821B1 (en) Dual damascene approach for small geometry dimension
US20070166648A1 (en) Integrated lithography and etch for dual damascene structures
JP2000150644A (ja) 半導体デバイスの製造方法
JPS62235758A (ja) 導電性相互接続結線の形成方法
JPH08191047A (ja) 絶縁体層の金属フィーチャを作成する方法
JP2002093904A (ja) デュアルダマシン配線の形成方法
US6465157B1 (en) Dual layer pattern formation method for dual damascene interconnect
JP2004096105A (ja) 半導体装置の製造でコンタクト形成方法
JP4082812B2 (ja) 半導体装置の製造方法および多層配線構造の形成方法
JPH0669351A (ja) 多層金属配線構造のコンタクトの製造方法
US7556916B2 (en) Method for burying resist and method for manufacturing semiconductor device
JP2003309172A (ja) デュアルダマシンプロセスにおけるパターン形成方法
US5872060A (en) Semiconductor device manufacturing method
KR0124144B1 (ko) 반도체 장치 및 그의 제조방법
KR100384876B1 (ko) 반도체소자에서의 개선된 듀얼 대머신 공정
KR20070034294A (ko) 듀얼 다마신 공정을 이용한 비아홀 형성방법
KR20030038521A (ko) 반도체 장치의 제조 방법
KR100393966B1 (ko) 반도체 소자의 이중 다마신 형성방법
KR100470390B1 (ko) 에스램소자 제조시 다마신을 이용한 국부배선 스페이스최소화방법
JP3166912B2 (ja) 半導体装置の製造方法
JP3308714B2 (ja) 半導体装置の製造方法
KR100393970B1 (ko) 반도체 소자의 금속 콘택 형성방법
JPS6134956A (ja) 配線層の形成方法
JP2002353309A (ja) 半導体装置の製造方法
JP2001144178A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080229

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100210

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100525

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100611

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees