JP2002083870A - Semiconductor device and production method therefor - Google Patents

Semiconductor device and production method therefor

Info

Publication number
JP2002083870A
JP2002083870A JP2000274427A JP2000274427A JP2002083870A JP 2002083870 A JP2002083870 A JP 2002083870A JP 2000274427 A JP2000274427 A JP 2000274427A JP 2000274427 A JP2000274427 A JP 2000274427A JP 2002083870 A JP2002083870 A JP 2002083870A
Authority
JP
Japan
Prior art keywords
insulating layer
film
semiconductor device
forming
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000274427A
Other languages
Japanese (ja)
Inventor
Takashi Akahori
孝 赤堀
Motoichi Tei
基市 鄭
Gohei Kawamura
剛平 川村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000274427A priority Critical patent/JP2002083870A/en
Priority to EP01965571A priority patent/EP1320884A2/en
Priority to US10/380,038 priority patent/US6949829B2/en
Priority to EP07001638A priority patent/EP1777739A3/en
Priority to PCT/JP2001/007880 priority patent/WO2002023625A2/en
Priority to KR10-2003-7003543A priority patent/KR100479796B1/en
Priority to TW090122485A priority patent/TW530380B/en
Publication of JP2002083870A publication Critical patent/JP2002083870A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a highly reliable semiconductor device of low dielectric constant and low Cu diffusibility suitable for a damascene method and a production method therefor. SOLUTION: A wiring layer HL of a top layer having a Cu layer 107 embedded in a trench hole 108 and a via hole 109 is formed on a wiring layer LL of a lower layer by the damascene method. As an etching stopper film 110 to be used for the damascene method, a film (SiCN film) containing Si, C and B as main elements is used. This SiCN etching stopper film is formed to have CHn radicals for 1021-1022 in the film and shows a low dielectric constant (<=6) and low Cu diffusibility. Therefore, the semiconductor device of small inter- wiring capacitance and high reliability is produced.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置及び半
導体装置の製造方法に関し、特に、配線間容量が小さ
い、信頼性の高い半導体装置及びその製造方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing a semiconductor device, and more particularly, to a highly reliable semiconductor device having a small wiring capacitance and a method of manufacturing the same.

【0002】[0002]

【従来の技術】大規模集積回路(LSI)の高性能化の
ために、信号処理の一層の高速化が必要とされている。
信号処理の高速化は、回路の微細化及び配線の信号遅延
の低減によって図ることができる。近年、微細化が進
み、LSIの設計ルールはサブクォータミクロンに達
し、このようなLSIでは配線遅延の低減が特に重要で
ある。
2. Description of the Related Art In order to improve the performance of large-scale integrated circuits (LSIs), it is necessary to further increase the speed of signal processing.
High-speed signal processing can be achieved by miniaturization of a circuit and reduction in signal delay of wiring. In recent years, miniaturization has progressed, and LSI design rules have reached sub-quarter micron. In such an LSI, reduction of wiring delay is particularly important.

【0003】上記した配線遅延の低減には、配線抵抗の
低減が有効な手段である。配線抵抗を低減させるため、
従来のAl(抵抗率2.7μΩ・cm)を主成分とする
合金に代わり、エレクトロマイグレーション耐性に優
れ、低抵抗なCu(1.9μΩ・cm)が用いられるよ
うになっている。
[0003] To reduce the above-mentioned wiring delay, reduction of wiring resistance is an effective means. To reduce wiring resistance,
Instead of the conventional alloy mainly composed of Al (resistivity of 2.7 μΩ · cm), Cu (1.9 μΩ · cm) having excellent electromigration resistance and low resistance has been used.

【0004】Cu配線を使用する場合、従来のエッチン
グプロセスによる加工が困難であるため、Cuをエッチ
ングせずにCuの多層配線を実現する方法として、所謂
ダマシン法が用いられている。以下、図10(a)〜
(f)を参照して、ダマシン法を説明する。
When a Cu wiring is used, processing by a conventional etching process is difficult. Therefore, a so-called damascene method is used as a method for realizing a multilayer wiring of Cu without etching Cu. Hereinafter, FIG.
The damascene method will be described with reference to FIG.

【0005】まず、基板又は下層配線層601上に、例
えば、SiOFから構成される層間絶縁膜(下地膜)6
02、エッチングストッパ膜603を順に形成する(図
10(a))。次いで、基板表面上に開口604aを有
するレジストパターン604を設け(図10(b))、
これをマスクとしてプラズマエッチング等により、エッ
チングストッパ膜603にスルーホール603aを形成
する(図10(c))。さらに、スルーホール603a
の形成されたエッチングストッパ膜603をマスクとし
たパターニングにより配線溝605を形成する(図10
(d))。続いて、金属膜606の密着層であるバリヤ
メタル膜606aをスパッタリング等によって形成した
後、金属膜606をめっき等により形成する(図10
(e))。その後、化学的機械的研磨(Chemical Mecha
nical Polishing:CMP)により、エッチングストッ
パ膜603をストッパとして不用なバリヤメタル膜及び
金属膜の除去を行うとともに、表面を平坦化する(図1
0(f))。以上のような工程によって、配線層が形成
され、この工程を繰り返して多層配線層が形成される。
First, an interlayer insulating film (base film) 6 made of, for example, SiOF is formed on a substrate or a lower wiring layer 601.
02, an etching stopper film 603 is formed in order (FIG. 10A). Next, a resist pattern 604 having an opening 604a is provided on the substrate surface (FIG. 10B).
Using this as a mask, a through hole 603a is formed in the etching stopper film 603 by plasma etching or the like (FIG. 10C). Furthermore, through-hole 603a
A wiring groove 605 is formed by patterning using the etching stopper film 603 formed with the mask as a mask.
(D)). Subsequently, after forming a barrier metal film 606a as an adhesion layer of the metal film 606 by sputtering or the like, the metal film 606 is formed by plating or the like (FIG. 10).
(E)). Then, the chemical mechanical polishing (Chemical Mecha
Unnecessary barrier metal film and metal film are removed by nical polishing (CMP) using the etching stopper film 603 as a stopper, and the surface is planarized (FIG. 1).
0 (f)). A wiring layer is formed by the above steps, and this step is repeated to form a multilayer wiring layer.

【0006】上記エッチングストッパ膜として、Si
と、C(H)、N、Oなどの少なくとも1つを主要元素
として含んで形成された膜が開発されている。これらの
膜はSiO膜等との高いエッチング選択比を有する
が、これらの膜の比誘電率はSiO膜と比べて高く、
層間絶縁膜として作用するときには配線間容量を増大さ
せてしまう。これは配線遅延の増大をもたらすので、こ
れらのエッチングストッパ膜の比誘電率を下げる必要が
ある。
As the etching stopper film, Si
And a film formed by containing at least one of C (H), N, O and the like as a main element has been developed. These films have a high etching selectivity with SiO 2 films and the like, but the relative dielectric constant of these films is higher than that of SiO 2 films,
When acting as an interlayer insulating film, the capacitance between wirings is increased. This leads to an increase in wiring delay, and it is necessary to lower the relative permittivity of these etching stopper films.

【0007】一般に、絶縁膜の比誘電率を低下させるに
は膜を多孔質化(低密度化)することが有効な手段であ
る。上記Si含有エッチングストッパ膜を多孔質化させ
る1つの方法は、膜中のC(H)含有量を増大させるこ
とである。膜中のC(H)含有量の増大は、すなわち、
有機基(特に炭化水素基)が膜中により多く存在し、膜
がより多孔質化していることの1つの指標である。ここ
で、膜中のC(H)含有量を増大させるためには、例え
ば、C(H)含有量の高い有機ケイ素化合物を前駆体と
して用いればよい。
In general, it is effective means to lower the dielectric constant of an insulating film by making the film porous (lower density). One method for making the Si-containing etching stopper film porous is to increase the C (H) content in the film. The increase in the C (H) content in the film means that:
Organic groups (particularly hydrocarbon groups) are more present in the film, which is one indicator that the film is more porous. Here, in order to increase the C (H) content in the film, for example, an organosilicon compound having a high C (H) content may be used as a precursor.

【0008】上記のようにして低密度化されたSi含有
エッチングストッパ膜のC(H)含有量、特に、C
、CH、CHといったCH結合含有基(CH
基:nは1、2又は3)の含有量を知ることは重要で
ある。というのは、SiC結合やSiN結合といった結
合と違って、CH結合はSi原子間の架橋にはほとんど
寄与せず、逆に架橋を妨げて膜の多孔質化に寄与するか
らである。一方、膜があまりに多孔質化すると、膜の金
属(特にCu)の拡散性が高くなり、過度の多孔質化は
膜の特性の低下につながる。
The C (H) content of the Si-containing etching stopper film reduced in density as described above,
CH bond-containing groups such as H 3 , CH 2 and CH (CH
It is important to know the content of n group: n is 1, 2 or 3). This is because, unlike a bond such as a SiC bond or a SiN bond, a CH bond hardly contributes to cross-linking between Si atoms and conversely prevents cross-linking and contributes to making the film porous. On the other hand, if the film is too porous, the metal (particularly Cu) in the film will have a high diffusivity, and excessive porosity will lead to a deterioration in the characteristics of the film.

【0009】[0009]

【発明が解決しようとする課題】従来、膜中のC(H)
含有量は、重量比やRBS(Rutherford Backscatterin
g Spectroscopy)によって検出されるC/Si比などか
ら判断されていた。しかしながら、このような手法は、
原子の結合状態を判断するものではない。また、膜中の
原子間の結合の数を見る方法として、フーリエ変換赤外
分光法(FT−IR)がある。しかし、FT−IRによ
れば、CH基の含有量をある程度判断することができ
るが、SiC結合とCH結合のスペクトルピークが重
なるなど、CH基の正確な膜中含有量を知ることはで
きない。
Conventionally, C (H) in a film
The content is determined by weight ratio or RBS (Rutherford Backscatterin).
g Spectroscopy) from the C / Si ratio detected. However, such an approach
It does not judge the bonding state of atoms. As a method of checking the number of bonds between atoms in a film, there is Fourier transform infrared spectroscopy (FT-IR). However, according to the FT-IR, but the content of CH n group can be to some extent determined, such as spectral peaks of SiC bonds and CH 2 bond overlap, to know the exact membrane content of CH n group Can not.

【0010】このように、従来のSi含有エッチングス
トッパ膜は、膜中のCH基の正確な含有量を制御して
形成されたものではなかった。さらに、微細化、高速化
に伴い、膜の比誘電率を低下させ、かつ、金属拡散性を
低減させるため、より高精度に膜中のCH基の含有量
を制御することが必要となる。上記事情を鑑みて、本発
明は、配線遅延の小さい、信頼性の高い半導体装置及び
その製造方法の提供を目的とする。
[0010] Thus, the conventional Si-containing etching stopper film, was not formed by controlling the exact amount of CH n groups in the film. Furthermore, miniaturization, with the speed, to lower the dielectric constant of the film, and to reduce the metal diffusion property, it is necessary to control the content of CH n groups in the film more accurately . In view of the above circumstances, an object of the present invention is to provide a highly reliable semiconductor device with a small wiring delay and a method for manufacturing the same.

【0011】[0011]

【課題を解決するための手段】上記目的を達成するた
め、本発明の第1の観点にかかる半導体装置は、複数の
溝又は穴を有する低誘電率の第1の絶縁層と、前記第1
の絶縁層上に形成され、前記複数の溝又は穴と重なる複
数の開口を有し、SiとCとHとを主要元素として含む
第2の絶縁層と、前記複数の溝又は穴と前記複数の開口
とから形成される複数の配線溝又は穴に埋め込まれた導
体層と、備えた半導体装置において、前記第2の絶縁層
は、炭素−水素結合含有基(CH基(nは1乃至3の
整数))を1021〜1022(個/cm)含むこと
を特徴とする。
In order to achieve the above object, a semiconductor device according to a first aspect of the present invention comprises: a first insulating layer having a plurality of grooves or holes, having a low dielectric constant;
A second insulating layer that is formed on the insulating layer and has a plurality of openings overlapping the plurality of grooves or holes, and includes Si, C, and H as main elements, the plurality of grooves or holes, and the plurality of holes. And a conductor layer buried in a plurality of wiring grooves or holes formed from the opening of the semiconductor device, the second insulating layer is formed of a carbon-hydrogen bond-containing group (CH n group (n is 1 to 1) (An integer of 3)) is included in the range of 10 21 to 10 22 (pieces / cm 3 ).

【0012】上記構成によれば、膜中のCH基の含有
量が高精度に制御されたSiC系膜を第2の絶縁層(エ
ッチングストッパ膜)として用いるので、下地膜(第1
の絶縁層)とのエッチング選択性が高いとともに、低い
誘電率を有する。従って、配線間容量が小さく、配線遅
延を低く抑えることができる。さらに、Cu拡散性も低
いので、半導体装置の信頼性を向上させることができ
る。
With the above arrangement, since used as the SiC-based film content is controlled with high accuracy of CH n groups in the film a second insulating layer (etching stopper film), the base film (the first
And has a low dielectric constant as well as high etching selectivity with the insulating layer. Therefore, the capacitance between wirings is small, and wiring delay can be suppressed low. Furthermore, since the Cu diffusivity is low, the reliability of the semiconductor device can be improved.

【0013】上記構成の半導体装置において、前記第2
の絶縁層はさらにNを主要元素として含むことが好まし
い。これにより、導体層(Cu等)と接する第2の絶縁
層(エッチングストッパ膜)の金属拡散性を抑えること
ができる。
In the semiconductor device having the above structure, the second
It is preferable that the insulating layer further contains N as a main element. This makes it possible to suppress metal diffusion of the second insulating layer (etching stopper film) in contact with the conductor layer (Cu or the like).

【0014】上記構成の半導体装置において、前記導体
層は、Cuから構成されることが好ましい。抵抗率の低
いCuを配線に用いることにより、配線遅延を低減させ
ることができる。
In the semiconductor device having the above structure, it is preferable that the conductor layer is made of Cu. By using Cu having low resistivity for the wiring, wiring delay can be reduced.

【0015】上記構成の半導体装置において、前記第2
の絶縁層は、6以下の比誘電率を有する。第2の絶縁層
にSiCN系膜を用いることにより、一般的なエッチン
グストッパ膜であるSiC系膜、SiN系膜の比誘電率
(7〜8)よりも低い誘電率とすることができる。
In the semiconductor device having the above structure, the second
Has a relative permittivity of 6 or less. By using a SiCN-based film for the second insulating layer, a dielectric constant lower than the relative dielectric constant (7 to 8) of a SiC-based film and a SiN-based film, which are general etching stopper films, can be obtained.

【0016】上記目的を達成するため、本発明の第2の
観点にかかる半導体装置の製造方法は、第1の絶縁層を
形成する工程と、前記第1の絶縁層上に、SiとCとH
とを主要元素として含む第2の絶縁層を形成する工程
と、前記第1の絶縁層の表面が部分的に露出するよう、
前記第2の絶縁層を選択的にエッチングして開口を形成
する工程と、前記選択的にエッチングされた第2の絶縁
層をマスクとして前記第1の絶縁層をエッチングして、
配線溝又は穴を形成する工程と、前記開口及び前記配線
溝又は穴を埋めて導体層を形成する工程と、前記導体層
を、前記第2の絶縁層をストッパとして研磨する工程
と、備えた半導体装置の製造方法において、前記第2の
絶縁層を形成する際に、成膜原料及び成膜反応を制御し
て、該第2の絶縁層に炭素−水素結合含有基(CH
(nは1乃至3の整数))を1021〜1022(個/
cm)含ませることを特徴とする。
In order to achieve the above object, a method for manufacturing a semiconductor device according to a second aspect of the present invention includes a step of forming a first insulating layer, and a step of forming Si and C on the first insulating layer. H
Forming a second insulating layer containing, as a main element, a step of: exposing a surface of the first insulating layer to be partially exposed;
Selectively etching the second insulating layer to form an opening; and etching the first insulating layer using the selectively etched second insulating layer as a mask;
Forming a wiring groove or hole, filling the opening and the wiring groove or hole to form a conductor layer, and polishing the conductor layer using the second insulating layer as a stopper. In the method for manufacturing a semiconductor device, when forming the second insulating layer, a film-forming material and a film-forming reaction are controlled so that a carbon-hydrogen bond-containing group (CH n group (n Is an integer of 1 to 3)) 10 21 to 10 22 (pieces /
cm 3 ).

【0017】上記構成によれば、膜中のCH基の含有
量が高精度に制御されたSiC系膜を第2の絶縁層(エ
ッチングストッパ膜)として用いるので、下地膜(第1
の絶縁層)とのエッチング選択性が高いとともに、低い
誘電率を有する。従って、配線間容量が小さく、配線遅
延を低く抑えることができる。さらに、Cu拡散性も低
いので、半導体装置の信頼性を向上させることができ
る。
According to the above arrangement, since used as the SiC-based film content is controlled with high accuracy of CH n groups in the film a second insulating layer (etching stopper film), the base film (the first
And has a low dielectric constant as well as high etching selectivity with the insulating layer. Therefore, the capacitance between wirings is small, and wiring delay can be suppressed low. Furthermore, since the Cu diffusivity is low, the reliability of the semiconductor device can be improved.

【0018】上記構成の半導体装置の製造方法におい
て、前記第2の絶縁層はさらにNを主要元素として含む
ことが好ましい。これにより、導体層(Cu等)と接す
る第2の絶縁層(エッチングストッパ膜)の金属拡散性
を抑えることができる。
In the method of manufacturing a semiconductor device having the above structure, it is preferable that the second insulating layer further contains N as a main element. This makes it possible to suppress metal diffusion of the second insulating layer (etching stopper film) in contact with the conductor layer (Cu or the like).

【0019】上記構成の半導体装置の製造方法におい
て、前記導体層は、Cuから構成されることが好まし
い。抵抗率の低いCuを配線に用いることにより、配線
遅延を低減させることができる。
In the method of manufacturing a semiconductor device having the above structure, the conductor layer is preferably made of Cu. By using Cu having low resistivity for the wiring, wiring delay can be reduced.

【0020】上記構成の半導体装置の製造方法におい
て、前記第2の絶縁層は、6以下の比誘電率を有する。
第2の絶縁層にSiCN系膜を用いることにより、一般
的なエッチングストッパ膜であるSiC系膜、SiN系
膜の比誘電率(7〜8)よりも低い誘電率とすることが
できる。
In the method of manufacturing a semiconductor device having the above-described structure, the second insulating layer has a relative dielectric constant of 6 or less.
By using a SiCN-based film for the second insulating layer, a dielectric constant lower than the relative dielectric constant (7 to 8) of a SiC-based film and a SiN-based film, which are general etching stopper films, can be obtained.

【0021】上記構成の半導体装置の製造方法におい
て、前記第2の絶縁層は、有機シラザン化合物を含む原
料ガスを用いて、電子サイクロトロン共鳴プラズマを用
いた化学的気相成長法により形成されてもよい。
In the method of manufacturing a semiconductor device having the above structure, the second insulating layer may be formed by a chemical vapor deposition method using electron cyclotron resonance plasma using a source gas containing an organic silazane compound. Good.

【0022】上記構成において、さらに、前記第2の絶
縁層及び前記導体層の上に、前記第2の絶縁層と同一の
構成を有する第3の絶縁層を形成する工程を備えること
が好ましい。これにより、Cu等の導体層からの金属の
拡散を抑えることができる。
Preferably, the above structure further includes a step of forming a third insulating layer having the same structure as the second insulating layer on the second insulating layer and the conductor layer. Thereby, diffusion of metal from a conductor layer such as Cu can be suppressed.

【0023】[0023]

【発明の実施の形態】本発明の実施の形態にかかる半導
体装置について、以下図面を参照して説明する。図1
は、本実施の形態の半導体装置の構成を示す部分断面図
である。この半導体装置は、Si等の基板上に形成され
たMOSトランジスタ等の素子を覆う絶縁膜(図示せ
ず)上に多層配線層を形成したものであり、図1は、基
板表面に形成された配線層を示している。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A semiconductor device according to an embodiment of the present invention will be described below with reference to the drawings. FIG.
FIG. 1 is a partial cross-sectional view showing a configuration of a semiconductor device of the present embodiment. In this semiconductor device, a multilayer wiring layer is formed on an insulating film (not shown) covering elements such as MOS transistors formed on a substrate such as Si. FIG. 3 shows a wiring layer.

【0024】図1に示すように、最上層の配線層HLの
下に、第1の下地膜(層間絶縁膜)101、第1の導体
層102、第1のエッチングストッパ膜105、から構
成される下層配線層LLが形成されている。
As shown in FIG. 1, a first underlying film (interlayer insulating film) 101, a first conductor layer 102, and a first etching stopper film 105 are provided below the uppermost wiring layer HL. Lower wiring layer LL is formed.

【0025】第1の下地膜101は、フッ化酸化ケイ素
(SiOF)膜、フッ素含有カーボン膜等から構成さ
れ、第1のエッチングストッパ膜105とともに、第1
のトレンチホール103及び第1のビアホール104を
形成している。形成された第1のトレンチホール103
及び第1のビアホール104には第1の導体層102が
形成されている。第1の導体層102は、Cu等の導体
から構成される。第1の下地膜101と第1の導体層1
02との間には、第1のバリアメタル膜102aが形成
される。第1のバリアメタル膜102aは、Ta/Ta
N、W/WN、Ti/TiN等の高融点金属又はその金
属の合金の多層膜から構成され、Cu等の金属の拡散を
防ぐとともに、下地膜101と導体層102との密着性
を高める機能を持つ。第1の導体層102は、さらに下
の配線層(図示せず)又はSi基板に接続されている。
The first underlayer 101 is composed of a silicon fluoride oxide (SiOF) film, a fluorine-containing carbon film, or the like.
Trench hole 103 and a first via hole 104 are formed. First trench hole 103 formed
The first conductive layer 102 is formed in the first via hole 104. The first conductor layer 102 is made of a conductor such as Cu. First base film 101 and first conductor layer 1
02, a first barrier metal film 102a is formed. The first barrier metal film 102a is formed of Ta / Ta
It is composed of a multilayer film of a high melting point metal such as N, W / WN, Ti / TiN or an alloy of the metal, and functions to prevent diffusion of a metal such as Cu and to enhance adhesion between the base film 101 and the conductor layer 102. have. The first conductor layer 102 is connected to a lower wiring layer (not shown) or a Si substrate.

【0026】第1のエッチングストッパ膜105は、S
iとCとNとを主要元素として構成された、下地膜(S
iOF膜)とのエッチング選択性の高いSiCN系の絶
縁膜である。SiCN系膜は、SiC系膜にさらにNを
加えたものであり、これによりSiC系膜よりもCuの
拡散性を低下させたものである。ここで、このSiCN
系膜は、SiHとCとNを前駆体として形成
されたものであり、膜中のCH結合含有基(CH:n
は1乃至3の整数)の含有率(個/cm)は1021
〜1022とされ、このとき、比誘電率は6以下であ
る。
The first etching stopper film 105 is made of S
A base film (S) composed of i, C, and N as main elements
This is a SiCN-based insulating film having high etching selectivity with respect to the iOF film. The SiCN-based film is obtained by further adding N to the SiC-based film, and thus has a lower Cu diffusivity than the SiC-based film. Here, this SiCN
The base film is formed using SiH 4 , C 2 H 4, and N 2 as precursors, and contains a CH bond-containing group (CH n : n) in the film.
Is an integer of 1 to 3), and the content (pieces / cm 3 ) is 10 21.
Is a 10 22, this time, the dielectric constant of 6 or less.

【0027】上述の下層配線層LLの上には、第2の下
地膜106及び第2のエッチングストッパ膜110が形
成されている。下層配線層LLと同様に、第2の下地膜
106及び第2のエッチングストッパ膜110は、第2
のトレンチホール108及び第2のビアホール109を
形成し、これらの内部には、第2のバリアメタル膜10
7aを介して、第2の導体層107が埋め込まれてい
る。
On the lower wiring layer LL, a second base film 106 and a second etching stopper film 110 are formed. Similarly to the lower wiring layer LL, the second base film 106 and the second etching stopper film 110
Is formed, and a second barrier metal film 10 is formed inside these.
The second conductor layer 107 is buried via 7a.

【0028】最上層の配線層HLの上には、第3のエッ
チングストッパ膜111が形成され、これは、SiとC
とNとを主要元素として構成された上記第1及び第2の
エッチングストッパ膜と同じ構成を有し、Cu等からな
る導体層からの金属の拡散を抑える機能を有する。さら
に第3のエッチングストッパ膜111の上には、第3の
下地膜112及びパッシベーション膜113(例えば、
SiO膜、SiON膜)が順に形成されている。これ
らは、酸化等されやすい基板表面の保護層である。
A third etching stopper film 111 is formed on the uppermost wiring layer HL.
And N have the same structure as the first and second etching stopper films formed as main elements, and have a function of suppressing diffusion of metal from a conductor layer made of Cu or the like. Further, on the third etching stopper film 111, a third base film 112 and a passivation film 113 (for example,
An SiO 2 film and a SiON film) are sequentially formed. These are protective layers on the substrate surface which are easily oxidized.

【0029】次に、上述した半導体装置の製造方法を説
明する。本実施の形態では、半導体装置を、ダマシン法
の変形である、トレンチホールとビアホールを形成す
る、デュアルダマシン法を用いて製造する。
Next, a method of manufacturing the above-described semiconductor device will be described. In this embodiment mode, a semiconductor device is manufactured by using a dual damascene method in which a trench hole and a via hole are formed, which is a modification of the damascene method.

【0030】図2〜図6は、デュアルダマシン法による
Cu配線の形成工程を順に示す図である。以下、図を参
照して順次説明を行う。
FIGS. 2 to 6 are diagrams sequentially showing the steps of forming the Cu wiring by the dual damascene method. Hereinafter, description will be made sequentially with reference to the drawings.

【0031】まず、図2(a)に示すように、第1の下
地膜101、第1の導体層102、第1のエッチングス
トッパ膜105等から構成される下層配線層LL上に、
第2の下地膜106、第2のエッチングストッパ膜11
0を順次成膜する。第2の下地膜106は、SiOF膜
であり、電子サイクロトロン共鳴(Electron Cyclotron
Resonance:ECR)プラズマを用いる化学的気相成長
法(Chemical Vapor Deposition:CVD)により、例
えば、SiH/SiF/O(流量比:50/50
/200)という条件で、0.8μm(8000Å)程
度に形成する。
First, as shown in FIG. 2A, a lower wiring layer LL composed of a first base film 101, a first conductor layer 102, a first etching stopper film 105, and the like is formed.
Second base film 106, second etching stopper film 11
0 are sequentially formed. The second base film 106 is a SiOF film, and is provided with an electron cyclotron resonance (Electron Cyclotron).
For example, SiH 4 / SiF 4 / O 2 (flow ratio: 50/50) by chemical vapor deposition (CVD) using Resonance (ECR) plasma.
/ 200) under the condition of about 0.8 μm (8000 °).

【0032】第2のエッチングストッパ膜110は、S
iCN系膜であり、ECRプラズマCVD法により0.
05μm程度に成膜する。成膜には、例えば、SiH
/C /N(流量比:10/15/15)の混合
ガスが用いられる。
The second etching stopper film 110 is made of S
It is an iCN-based film.
A film is formed to a thickness of about 05 μm. For film formation, for example, SiH4
/ C 2H4/ N2(Flow rate ratio: 10/15/15)
Gas is used.

【0033】次に、図2(b)に示すように、有機材料
等から構成される第1のレジスト膜201を第2のエッ
チングストッパ膜110上に形成し、フォトリソグラフ
ィ技術によりビアホールのパターン201aを形成す
る。
Next, as shown in FIG. 2B, a first resist film 201 made of an organic material or the like is formed on the second etching stopper film 110, and a via hole pattern 201a is formed by photolithography. To form

【0034】続いて、図3(a)に示すように、ビアホ
ールパターン201aがパターニングされた第1のレジ
スト膜201をマスクとして、例えば、CFのプラズ
マガスで第2のエッチングストッパ膜110をエッチン
グし、ビアホール形成用の開口部110aを形成する。
Subsequently, as shown in FIG. 3A, using the first resist film 201 on which the via hole pattern 201a is patterned as a mask, the second etching stopper film 110 is etched with, for example, a CF 4 plasma gas. Then, an opening 110a for forming a via hole is formed.

【0035】次に、図3(b)に示すように、第2のエ
ッチングストッパ膜110をマスクとした異方性エッチ
ングを行い、第2の下地膜106にホール106aを形
成する。ここで、第2の下地膜(SiOF膜)106の
エッチングは、例えば、O/CFプラズマガスを用
いた反応性イオンエッチング(Reactive Ion Etching:
RIE)により行えばよい。また、Oプラズマガスが
添加されているので、第1のレジスト膜201も同時に
除去することができる。
Next, as shown in FIG. 3B, anisotropic etching is performed using the second etching stopper film 110 as a mask to form a hole 106a in the second base film 106. Here, the etching of the second base film (SiOF film) 106 is performed, for example, by reactive ion etching (Reactive Ion Etching: O 2 / CF 4 plasma gas).
RIE). Further, since the O 2 plasma gas is added, the first resist film 201 can be removed at the same time.

【0036】続いて、図4(a)に示すように、第2の
レジスト膜202を第2のエッチングストッパ膜110
上に塗布し、公知のリソグラフィ技術によりトレンチホ
ールのパターン202aを形成する。この第2のレジス
ト膜202をマスクとして第2のエッチングストッパ膜
110を異方性エッチングして、トレンチホール形成用
の開口部110bを形成する。
Subsequently, as shown in FIG. 4A, a second resist film 202 is formed on the second etching stopper film 110.
Then, a trench hole pattern 202a is formed by a known lithography technique. Using the second resist film 202 as a mask, the second etching stopper film 110 is anisotropically etched to form an opening 110b for forming a trench hole.

【0037】次に、図4(b)に示すように、トレンチ
ホール形成用の開口部110bが形成された第2のエッ
チングストッパ膜110をマスクとして第2の下地膜1
06をエッチングする。このとき、エッチング条件を適
当に調節することにより、第2の下地膜106の表面か
ら所定の深さまでエッチングする。これにより、第2の
下地膜106に、第2のトレンチホール108、第2の
ビアホール109が形成される。ここで、第2のトレン
チホール108及び第2のビアホール109の形成は、
上述したホール106aの形成と同様に、例えば、O
/CFプラズマガスを用いたRIEにより行われ、こ
のとき、第2のレジスト膜202も同時にエッチングす
ることができる。
Next, as shown in FIG. 4B, using the second etching stopper film 110 in which the opening 110b for forming the trench hole is formed as a mask, the second base film 1 is formed.
06 is etched. At this time, the etching is performed to a predetermined depth from the surface of the second base film 106 by appropriately adjusting the etching conditions. As a result, a second trench hole 108 and a second via hole 109 are formed in the second base film 106. Here, the formation of the second trench hole 108 and the second via hole 109 is as follows.
As in the formation of the hole 106a described above, for example, O 2
This is performed by RIE using / CF 4 plasma gas, and at this time, the second resist film 202 can be simultaneously etched.

【0038】続いて、図5(a)に示すように、基板表
面全体に、第2のバリアメタル膜107a及び第2の導
体層107を順に形成する。第2のバリアメタル膜10
7aは、例えば、TaN層とTa層から構成される膜
(Ta/TaN)であり、例えば、スパッタリングによ
り形成される。また、第2の導体層107は、例えば、
Cu膜であり、スパッタリングによりCuシード層を形
成した後、無電解めっき法等により形成される。その
後、図5(b)に示すように、化学的機械的研磨(Chem
ical Mechanical Polishing:CMP)により、余分な
バリアメタル及びCuを研磨して除去する。
Subsequently, as shown in FIG. 5A, a second barrier metal film 107a and a second conductor layer 107 are sequentially formed on the entire surface of the substrate. Second barrier metal film 10
7a is, for example, a film (Ta / TaN) composed of a TaN layer and a Ta layer, and is formed by, for example, sputtering. In addition, the second conductor layer 107 is, for example,
This is a Cu film, which is formed by an electroless plating method or the like after forming a Cu seed layer by sputtering. Thereafter, as shown in FIG.
Excess barrier metal and Cu are polished and removed by ical mechanical polishing (CMP).

【0039】最後に、図6に示すように、基板表面上に
第3のエッチングストッパ膜111を0.05μm、上
記第2のエッチングストッパ膜110と同一の成膜条件
で成膜する。さらに、第3の下地膜112を0.05μ
m、そして、パッシベーション膜(SiO膜)113
を0.8μmで順に形成する。ここで、この3層の膜の
形成はECRプラズマCVD法で、同一のチャンバ内で
連続的に行われる。このように、デュアルダマシン法を
用いて、本実施の形態の半導体装置を製造することがで
きる。
Finally, as shown in FIG. 6, a third etching stopper film 111 having a thickness of 0.05 μm is formed on the substrate surface under the same film forming conditions as the second etching stopper film 110. Further, the thickness of the third underlayer 112 is set to 0.05 μm.
m, and a passivation film (SiO 2 film) 113
Are sequentially formed at 0.8 μm. Here, the formation of these three layers is performed continuously in the same chamber by the ECR plasma CVD method. Thus, the semiconductor device of the present embodiment can be manufactured by using the dual damascene method.

【0040】ここで、上述した半導体装置の製造工程
で、第2の下地膜106のエッチングのマスクとして用
いたSiCN系膜について説明する。図7は、種々の前
駆体から、原料ガス流量等の成膜条件を変化させて形成
したSiCN系膜における、CH結合含有基(CH
基)の単位体積当たりの個数と比誘電率との関係を示
す。ここで、CH基の個数は、X線光電子分光法(X-
ray Photoelectron Spectroscopy:XPS)により深さ
方向で測定した。
Here, a description will be given of a SiCN-based film used as a mask for etching the second base film 106 in the above-described semiconductor device manufacturing process. FIG. 7 shows a CH bond-containing group (CH) in an SiCN-based film formed from various precursors by changing film forming conditions such as a source gas flow rate.
The relationship between the number per unit volume of ( n groups) and the relative permittivity is shown. Here, the number of CH n groups is determined by X-ray photoelectron spectroscopy (X-
The measurement was performed in the depth direction by ray photoelectron spectroscopy (XPS).

【0041】図中、Iは、上記実施の形態のSiH
/N/Ar系の成膜系から、IIは、トリメ
チルシラン(SiH(CH)/N/Ar系、I
IIは、トリメチルシラン/NH/Ar系、IVは、
ヘキサメチルシクロトリシラザン((Si(CH
−NH))/Ar/系、Vは、ヘキサメチルシクロト
リシラザン/N/Ar系、VIは、ヘキサメチルシク
ロトリシラザン/NH /Ar系、の成膜系からそれぞ
れ形成されたSiCN系膜を示す。
In the figure, I is the SiH of the above embodiment.4/
C2H4/ N2From the film formation system of / Ar system, II
Cylsilane (SiH (CH3)3) / N2/ Ar system, I
II is trimethylsilane / NH3/ Ar system, IV
Hexamethylcyclotrisilazane ((Si (CH3)2
-NH)3) / Ar / system, V is hexamethylcyclo
Lysilazane / N2/ Ar system, VI is hexamethylcycline
Lotrisilazane / NH 3/ Ar-based film deposition systems
3 shows a formed SiCN-based film.

【0042】図7より、上記I〜VIのいずれの成膜系
においても、膜中のCH基の数を増加させることによ
り比誘電率が4〜5まで低下したSiCN系絶縁膜を形
成することができることがわかる。特に、1021〜1
22(個/cm)でCH 基を含む実施の形態のS
iCN系膜は6以下の比誘電率を有し、従来エッチング
ストッパ膜として用いられているSiC膜やSiN膜の
比誘電率(7〜8)と比べて低い。
FIG. 7 shows that any of the film forming systems I to VI
Also, CH in the filmnBy increasing the number of radicals
Forming a SiCN-based insulating film whose relative dielectric constant has dropped to 4-5
It can be seen that this can be achieved. In particular, 1021~ 1
022(Pcs / cm3) In CH nS of the embodiment containing a group
The iCN-based film has a relative dielectric constant of 6 or less, and is
Of SiC film or SiN film used as a stopper film
It is lower than the relative dielectric constant (7-8).

【0043】また、シラン(I)、トリメチルシラン
(II、III)、ヘキサメチルシクロトリシラザン
(IV〜VI)を前駆体として用いた場合をそれぞれ比
較して、形成される膜の比誘電率を低下させるには、前
駆体の分子サイズを大きくすればよく、また、同様の理
由で、N(II、V)よりもNH(III、VI)
を用いた方がより低い誘電率を得られることがわかる。
The relative dielectric constant of the formed film was compared with the case where silane (I), trimethylsilane (II, III) and hexamethylcyclotrisilazane (IV to VI) were used as precursors. The reduction can be achieved by increasing the molecular size of the precursor, and for the same reason, NH 3 (III, VI) over N 2 (II, V).
It can be seen that a lower dielectric constant can be obtained by using.

【0044】図8(a)は、SiCN系膜中のCH
の数とCuの拡散性の関係を示す図である。ここで、膜
中のCH基の数は上述したのと同様に、XPSにより
測定した。また、Cuの拡散性は、Cu層の上にSiC
N系膜が500Å(0.05μm)形成され、その上に
SiO膜が1000Å(0.1μm)形成された基板
を400℃で3時間熱処理した後に、図8(b)に示す
ような、CuのSiCN系膜への拡散の様子をSIMS
(Secondary Ion Mass Spectroscopy)により測定し
た。
[0044] FIG. 8 (a) is a diagram showing the diffusion of the relationship number and Cu of CH n group SiCN based film. Here, the number of CH n groups in the membrane in the same manner as described above, were measured by XPS. In addition, the diffusivity of Cu is determined by forming SiC on the Cu layer.
After a substrate on which an N-based film is formed at 500 ° (0.05 μm) and a SiO 2 film is formed thereon at 1000 ° (0.1 μm) is heat-treated at 400 ° C. for 3 hours, as shown in FIG. SIMS shows how Cu diffuses into SiCN-based films
(Secondary Ion Mass Spectroscopy).

【0045】図8(a)よりわかるように、CH基の
単位体積当たりの個数が1021〜1022(個/cm
)である本実施の形態のSiCN系膜については、C
uの拡散はSiCN系膜の膜厚(1000Å)を超える
ことはなく、良好なCuバリア性、すなわち低いCu拡
散性を示している。
As can be seen from FIG. 8A, the number of CH n groups per unit volume is 10 21 to 10 22 (pieces / cm).
3 ) The SiCN-based film of this embodiment, which is
The diffusion of u does not exceed the thickness (1000 °) of the SiCN-based film, indicating a good Cu barrier property, that is, a low Cu diffusion property.

【0046】上記したように、本実施の形態の、CH
基の単位体積当たりの個数が10 〜1022(個/
cm)である本実施の形態のSiCN系膜は、低い比
誘電率、かつ、低いCu拡散性を有している。
As described above, in the present embodiment, CH n
Number per unit volume of the group 10 2 1-10 22 (pieces /
cm 3 ), the SiCN-based film of this embodiment has a low relative dielectric constant and a low Cu diffusivity.

【0047】以上説明したように、本発明によれば、膜
中のCH基の数に基づいて、比誘電率、Cu拡散性と
いった膜の特性が調整された、信頼性の高い半導体装置
及びその製造方法が提供される。
[0047] As described above, according to the present invention, based on the number of CH n groups in the film, the relative dielectric constant, film properties such as the Cu diffusion resistance has been adjusted, a highly reliable semiconductor device and A manufacturing method is provided.

【0048】本発明は、上記の実施の形態に限られず、
種々の変形、応用が可能である。以下、本発明に適用可
能な上記の実施の形態の変形態様について、説明する。
The present invention is not limited to the above embodiment,
Various modifications and applications are possible. Hereinafter, modifications of the above-described embodiment applicable to the present invention will be described.

【0049】上記実施の形態では、エッチングストッパ
膜であるSiCN系膜は、ECRプラズマCVDにより
成膜した。が、成膜方法はこれに限られず、誘導結合型
(Inductive Coupled Plasma:ICP)、ヘリコン波
型、平行平板型等のプラズマCVDであってもよい。
In the above embodiment, the SiCN-based film serving as the etching stopper film is formed by ECR plasma CVD. However, the film forming method is not limited to this, and plasma CVD such as inductively coupled plasma (ICP), helicon wave type, or parallel plate type may be used.

【0050】上記実施の形態では、配線を構成する導体
層はCuから構成されるとしたが、Cuに限らず、Al
或いはAl含有合金等であってもよい。
In the above embodiment, the conductor layer constituting the wiring is made of Cu, but is not limited to Cu.
Alternatively, an Al-containing alloy or the like may be used.

【0051】上記実施の形態では、下地膜のエッチング
ガスとしてO/CFガスを用いるものとした。しか
しながら、O/CFガスの代わりにHガスとAr
ガスとNガスとの混合ガスなどのプラズマを用いるこ
とも可能である。また、CF ガスは、C(m、
nは0以上の整数)のクロロカーボン系のガスを使用す
ることができる。
In the above embodiment, the etching of the base film is performed.
O as gas2/ CF4Gas was used. Only
While O2/ CF4H instead of gas2Gas and Ar
Gas and N2Use of plasma such as gas mixture with gas
Both are possible. Also, CF 4Gas is CmFn(M,
(n is an integer of 0 or more) chlorocarbon-based gas
Can be

【0052】上記実施の形態では、エッチングストッパ
膜111、SiOF膜112、パッシベーション膜11
3から構成される保護膜のECRプラズマCVD法によ
る成膜は、同一のチャンバ内で行った。しかし、これに
限られず、エッチングストッパ膜111を1つのチャン
バ内で形成し、SiOF膜112とパッシベーション膜
113を別のチャンバ内で形成する、或いは、全ての成
膜を個別のチャンバで行い、さらに、別々のプラズマ処
理方法を用いるものとしてもよい。しかし、一般に、半
導体材料は酸化又は水分吸着し易いので、高真空かつ清
浄空気条件下の同一のチャンバ内で全ての処理を行うこ
とが好ましい。
In the above embodiment, the etching stopper film 111, the SiOF film 112, the passivation film 11
The formation of the protective film composed of No. 3 by ECR plasma CVD was performed in the same chamber. However, the present invention is not limited to this, and the etching stopper film 111 is formed in one chamber, and the SiOF film 112 and the passivation film 113 are formed in another chamber. Alternatively, different plasma processing methods may be used. However, in general, since semiconductor materials are easily oxidized or adsorbed with moisture, it is preferable to perform all processes in the same chamber under high vacuum and clean air conditions.

【0053】上記実施の形態では、SiCN系膜は、S
iHとCとNを原料ガス化合物として形成し
た。しかし、原料化合物としては、Si、C、Nを含む
化合物であって、単体で、又は、これらを適当に組み合
わせた反応によりSiCN系膜が形成されるものならい
かなるものでもよい。
In the above embodiment, the SiCN-based film is made of S
iH 4 , C 2 H 4 and N 2 were formed as source gas compounds. However, the raw material compound is a compound containing Si, C, and N, and may be any compound that can form a SiCN-based film by itself or by a reaction in which these are appropriately combined.

【0054】例えば、本実施の形態のように、Si、
C、Nをそれぞれ含む3種の原料ガス化合物を用いる場
合には、Si含有化合物としてSiHを、C含有化合
物としてC、CH、C、C、C
等を、N含有化合物としてN、NF、NO、
、NO、N等を適当に組み合わせればよ
い。
For example, as in this embodiment, Si,
When three kinds of source gas compounds containing C and N are used, SiH 4 is used as the Si-containing compound, and C 2 H 4 , CH 4 , C 2 H 6 , C 3 H 8 , and C 2 are used as the C-containing compounds.
Of H 2 and the like, N 2, NF 3 as an N-containing compounds, N 2 O,
N 2 O 4 , NO, N 3 H 8 and the like may be appropriately combined.

【0055】また、Si及びCを含む原料化合物と、N
を含む原料化合物の2種のガスを混合して成膜してもよ
い。この場合、N含有化合物としては上記したものを用
い、Si及びCを含む化合物としてアルキルシラン、ア
ルコキシシラン等の有機シランを用いて、これらを適当
に組み合わせればよい。アルキルシランとしては、例え
ば、メチルシラン(SiH(CH))、ジメチルシ
ラン(SiH(CH )、トリメチルシラン(S
iH(CH)、テトラメチルシラン(Si(CH
)といったメチル化シランが挙げられ、アルコキ
シシランとしては、例えば、トリメトキシメチルシラン
(Si(CH)(OCH)といったメトキシ化
シランが挙げられる。また、これとは逆に、Si及びN
を含む原料ガスとCを含む原料ガスを混合するようして
もよい。この場合、C含有化合物としては、上記のもの
から選択し、Si及びNを含む化合物としては、例え
ば、ジシラザン(SiH−NH−SiH)を用い
て、これらを適当に組み合わせればよい。
Further, a raw material compound containing Si and C and N
May be formed by mixing two kinds of gases of a raw material compound containing
No. In this case, the above-mentioned N-containing compound is used.
Alkyl silanes, a
Using an organosilane such as alkoxysilane,
Can be combined. As an alkylsilane, for example
For example, methylsilane (SiH3(CH3)), Dimethylsi
Run (SiH2(CH 3)2), Trimethylsilane (S
iH (CH3)3), Tetramethylsilane (Si (CH
3)4Methylated silanes such as
As silane, for example, trimethoxymethylsilane
(Si (CH3) (OCH3)3))
Silane. Conversely, Si and N
The source gas containing C and the source gas containing C
Is also good. In this case, the C-containing compound is as described above.
And the compounds containing Si and N include, for example,
For example, disilazane (SiH3-NH-SiH3)
These may be appropriately combined.

【0056】さらには、Si、C、Nを全て含む化合物
を原料ガスとして用いることも可能である。このような
化合物としては、シラザン結合(−Si−N−)を有す
る有機シラザン化合物を用いることができる。有機シラ
ザン化合物を用いる場合、例えば、プラズマCVD法に
より熱重合させて成膜することができる。使用可能な有
機シラザン化合物としては、例えば、トリエチルシラザ
ン(SiEtNH)、トリプロピルシラザン(Si
PrNH)、トリフェニルシラザン(SiPh
)、テトラメチルジシラザン(SiMeH−NH
−SiMeH)、ヘキサメチルジシラザン(SiMe
−NH−SiMe)、ヘキサエチルジシラザン(S
iEt−NH−SiEt)、ヘキサフェニルジシラ
ザン(SiPh−NH−SiPh)、ヘプタメチル
ジシラザン(SiMe−NMe−SiMe)、ジプ
ロピル−テトラメチルジシラザン(SiPrMe−N
H−SiPrMe)、ジ−n−ブチル−テトラメチル
ジシラザン(SiBuMe −NH−SiBuM
)、ジ−n−オクチル−テトラメチルジシラザン
(SiOcMe−NH−SiOcMe)、トリエチ
ル−トリメチルシクロトリシラザン((SiEtH−N
Me))、ヘキサメチルシクロトリシラザン((Si
Me−NH))、ヘキサエチルシクロトリシラザン
((SiEt−NH))、ヘキサフェニルシクロト
リシラザン((SiPh−NH))、オクタメチル
シクロテトラシラザン((SiMe−NH))、オ
クタエチルシクロテトラシラザン((SiEt−N
H))、テトラエチル−テトラメチルシクロテトラシ
ラザン((SiHEt−NMe))、シアノプロピル
メチルシクロシラザン(SiMeNC(CH−N
H)、テトラフェニルジメチルジシラザン(SiMeP
−NH−SiMePh)、ジフェニル−テトラメ
チルジシラザン((SiMePh)−NH)、トリ
ビニル−トリメチルシクロトリシラザン((CH=C
H−SiMe−NH))、テトラビニル−テトラメチ
ルシクロテトラシラザン(CH=CH−SiMe−N
H)、ジビニル−テトラメチルジシラザン(CH
CH−SiMe−NH−SiMe−CH=CH
が挙げられる。上記式中、Meはメチル基(CH)、
Etはエチル基(C)、Prはプロピル基(C
)、Ocはn−オクチル基(n−C 17)、P
hはフェニル基(C)を示す。
Further, a compound containing all of Si, C and N
Can be used as a source gas. like this
The compound has a silazane bond (-Si-N-)
Organic silazane compounds can be used. Organic sila
When using a cyanide compound, for example,
A film can be formed by more thermal polymerization. Available Available
Examples of the silazane compound include triethylsilaza
(SiEt3NH2), Tripropylsilazane (Si
Pr3NH2), Triphenylsilazane (SiPh)3N
H2), Tetramethyldisilazane (SiMe)2H-NH
-SiMe2H), hexamethyldisilazane (SiMe
3-NH-SiMe3), Hexaethyldisilazane (S
iEt3-NH-SiEt3), Hexaphenyldisila
Zan (SiPh)3-NH-SiPh3), Heptamethyl
Disilazane (SiMe3-NMe-SiMe3), Zip
Ropyl-tetramethyldisilazane (SiPrMe2-N
H-SiPrMe2), Di-n-butyl-tetramethyl
Disilazane (SiBuMe 2-NH-SiBuM
e2), Di-n-octyl-tetramethyldisilazane
(SiOcMe2-NH-SiOcMe2), Trieti
Ru-trimethylcyclotrisilazane ((SiEtH-N
Me)3), Hexamethylcyclotrisilazane ((Si
Me2-NH)3), Hexaethylcyclotrisilazane
((SiEt2-NH)3), Hexaphenylcyclot
Lysilazane ((SiPh2-NH)3), Octamethyl
Cyclotetrasilazane ((SiMe2-NH)4), Oh
Kutaethylcyclotetrasilazane ((SiEt2-N
H)4), Tetraethyl-tetramethylcyclotetracy
Razan ((SiHEt-NMe)4), Cyanopropyl
Methylcyclosilazane (SiMeNC (CH2)3-N
H), tetraphenyldimethyldisilazane (SiMeP)
h2-NH-SiMePh2), Diphenyl-tetrame
Tildisilazane ((SiMe2Ph)2-NH), bird
Vinyl-trimethylcyclotrisilazane ((CH2= C
H-SiMe-NH)3), Tetravinyl-tetramethyl
Rucyclotetrasilazane (CH2= CH-SiMe-N
H)4, Divinyl-tetramethyldisilazane (CH2=
CH-SiMe2-NH-SiMe2-CH = CH2)
Is mentioned. In the above formula, Me is a methyl group (CH3),
Et is an ethyl group (C2H5) And Pr are propyl groups (C3
H7) And Oc are n-octyl groups (nC 8H17), P
h is a phenyl group (C6H5).

【0057】また、上記の例では、Si、C、Nを含む
原料ガスが各1種類あればよいものとしたが、これに限
らず、例えば、有機シランとNの他にCを加え
たガスや、有機シラザンの他にNを加えたガスを用い
てもよい。
In the above example, one kind of source gas containing Si, C, and N is required. However, the present invention is not limited to this. For example, in addition to organic silane and N 2 , C 2 H 2 Or a gas to which N 2 is added in addition to the organic silazane.

【0058】上記実施の形態では、エッチングストッパ
膜として、Si、C(H)、Nを主要元素として含むS
iCN系膜を用いた。しかし、エッチングストッパ膜と
しては、これに限られず、CH基を含むものであれ
ば、SiCH系膜、SiOC系膜等、いかなる膜であっ
ても構わない。
In the above-described embodiment, as an etching stopper film, S containing Si, C (H) and N as main elements is used.
An iCN-based film was used. However, as the etching stopper film is not limited thereto, as long as it contains a CH n group, SiCH based film, SiOC-based film or the like, may be any film.

【0059】上記実施の形態では、CH基を含むエッ
チングストッパ膜に関して、そのCH基含有量に基づ
いて、比誘電率、Cu拡散性等の特性を調整するものと
した。しかし、このようなCH基の含有量に基づいて
膜の特性を制御する手法は、エッチングストッパ膜に限
られず、主要元素としてC及びHを含むいかなる絶縁膜
に対しても適用することが可能である。
[0059] In the above embodiment, with respect to the etching stopper film comprising CH n group, on the basis of the CH n group content, dielectric constant, and it shall be adjusted to the characteristics of the Cu diffusion resistance. However, a technique for controlling the characteristics of the film based on the content of such CH n group is not limited to the etching stopper film, also can be applied to any insulating film containing C and H as the main element It is.

【0060】上記実施の形態では、SiOF等からなる
1層の層間絶縁膜上にSi、C、Nからなるエッチング
ストッパ膜を形成し、このエッチングストッパ膜をマス
クとしてビアホール及びトレンチホールを形成した。し
かし、本実施の形態のエッチングストッパ膜を用いた配
線層の形成工程は上記工程に限られない。例えば、ダマ
シン法の、図10(a)〜(d)に示す工程を2回用い
て、ビアホール、トレンチホールを順に形成して、図9
に示す構成としてもよい。この場合、まず、上述したS
i、C、Nを主要元素として含むビアホール形成用エッ
チングストッパ膜502をマスクとして用い、下層絶縁
層501を選択的にエッチングしてビアホール504を
形成する。続いて、上層絶縁層503を形成し、レジス
ト膜等をマスクとしたエッチングによりトレンチホール
505を形成する。
In the above embodiment, an etching stopper film made of Si, C, and N was formed on a single-layered interlayer insulating film made of SiOF or the like, and via holes and trench holes were formed using this etching stopper film as a mask. However, the step of forming a wiring layer using the etching stopper film according to the present embodiment is not limited to the above step. For example, a via hole and a trench hole are sequentially formed by using the damascene process shown in FIGS.
The configuration shown in FIG. In this case, first, S
Using the etching stopper film 502 for forming a via hole containing i, C, and N as main elements as a mask, the lower insulating layer 501 is selectively etched to form a via hole 504. Subsequently, an upper insulating layer 503 is formed, and a trench hole 505 is formed by etching using a resist film or the like as a mask.

【0061】上記したような、絶縁層501、503の
間にエッチングストッパ膜502を挟み込むような構成
として配線層を形成することにより、トレンチホールを
所定の深さにエッチングする際に問題となる、トレンチ
ホール505の底部が平坦とならない、或いは、被処理
ウェハの中心部と端部に形成されるトレンチホール50
5の深さが異なる、等のエッチング形状のばらつきを抑
えることができる。また、図9に示す構成においても、
上記実施の形態に示したように、Si、C、Nを主要元
素として含むエッチングストッパ膜は、低い比誘電率を
有するので絶縁膜として十分に機能する。
By forming the wiring layer so as to sandwich the etching stopper film 502 between the insulating layers 501 and 503 as described above, a problem arises when the trench hole is etched to a predetermined depth. The bottom of the trench hole 505 is not flat, or the trench hole 50 formed at the center and the end of the wafer to be processed.
It is possible to suppress variations in the etching shape such as a difference in the depth of the etching 5. Also, in the configuration shown in FIG.
As described in the above embodiment, the etching stopper film containing Si, C, and N as main elements has a low relative dielectric constant and thus functions sufficiently as an insulating film.

【0062】[0062]

【発明の効果】以上説明したように、本発明によれば、
比誘電率が低く、Cu拡散性の低い層間絶縁膜を備える
ことにより、配線間容量が低減された、信頼性の高い半
導体装置及びその製造方法が提供される。
As described above, according to the present invention,
By providing an interlayer insulating film having a low relative dielectric constant and a low Cu diffusivity, a highly reliable semiconductor device with reduced wiring capacitance and a method for manufacturing the same are provided.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態にかかる半導体装置の部分
断面図である。
FIG. 1 is a partial cross-sectional view of a semiconductor device according to an embodiment of the present invention.

【図2】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIGS. 2A and 2B are diagrams sequentially illustrating a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図3】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 3 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図4】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 4 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図5】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 5 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図6】本発明の実施の形態にかかる半導体装置の製造
工程を順に示す図である。
FIG. 6 is a view sequentially showing a manufacturing process of the semiconductor device according to the embodiment of the present invention;

【図7】膜中のCH基の数と膜の比誘電率との関係を
示す図である。
FIG. 7 is a diagram showing the relationship between the number of CH n groups in a film and the relative dielectric constant of the film.

【図8】SIMSによりSiCN系膜へのCuの拡散性
を調べた図である。
FIG. 8 is a view showing the diffusivity of Cu into a SiCN-based film by SIMS.

【図9】本発明の他の実施の形態にかかる半導体装置の
部分断面図である。
FIG. 9 is a partial cross-sectional view of a semiconductor device according to another embodiment of the present invention.

【図10】ダマシン法を用いた配線層の形成工程を順に
示す図である。
FIG. 10 is a diagram sequentially showing a wiring layer forming process using a damascene method.

【符号の説明】 101 第1の下地膜 102 第1の導体層 102a 第1のバリアメタル膜 103 第1のトレンチホール 104 第1のビアホール 105 第1のエッチングストッパ膜 106 第2の下地膜 107 第2の導体層 107a 第2のバリアメタル膜 108 第2のトレンチホール 109 第2のビアホール 110 第2のエッチングストッパ膜 111 第3のエッチングストッパ膜 112 第3の下地膜 113 パッシベーション膜 201 第1のレジスト膜 202 第2のレジスト膜DESCRIPTION OF SYMBOLS 101 First underlayer 102 First conductor layer 102 a First barrier metal film 103 First trench hole 104 First via hole 105 First etching stopper film 106 Second underlayer 107 2nd conductor layer 107a 2nd barrier metal film 108 2nd trench hole 109 2nd via hole 110 2nd etching stopper film 111 3rd etching stopper film 112 3rd base film 113 passivation film 201 1st resist Film 202 second resist film

───────────────────────────────────────────────────── フロントページの続き (72)発明者 川村 剛平 山梨県韮崎市穂坂町三ツ沢650 東京エレ クトロン株式会社内 Fターム(参考) 5F033 HH08 HH09 HH11 HH18 HH19 HH21 HH32 HH33 HH34 JJ08 JJ09 JJ11 JJ18 JJ19 JJ21 JJ32 JJ33 JJ34 KK01 KK08 KK09 KK11 KK18 KK19 KK21 KK32 KK33 KK34 MM02 MM12 MM13 NN06 NN07 PP15 PP28 PP33 QQ09 QQ12 QQ13 QQ16 QQ25 QQ28 QQ30 QQ37 QQ48 RR01 RR04 RR05 RR08 RR11 RR12 RR20 SS02 SS03 SS15 TT02 XX24 XX28 5F058 BA05 BA20 BD03 BD06 BD09 BF09 BF23 BF26 BF27 BF29 BF30 BJ02  ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Gohei Kawamura 650 Mitsuzawa, Hosakacho, Nirasaki City, Yamanashi Prefecture F-term (reference) 5F033 HH08 HH09 HH11 HH18 HH19 HH21 HH32 HH33 HH34 JJ08 JJ09 JJ11 JJ18 JJ19 JJ21 JJ32 JJ33 JJ34 KK01 KK08 KK09 KK11 KK18 KK19 KK21 KK32. BF26 BF27 BF29 BF30 BJ02

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】複数の溝又は穴を有する低誘電率の第1の
絶縁層と、 前記第1の絶縁層上に形成され、前記複数の溝又は穴と
重なる複数の開口を有し、SiとCとHとを主要元素と
して含む第2の絶縁層と、 前記複数の溝又は穴と前記複数の開口とから形成される
複数の配線溝又は穴に埋め込まれた導体層と、を備えた
半導体装置において、 前記第2の絶縁層は、炭素−水素結合含有基(CH
(nは1乃至3の整数))を1021〜1022(個/
cm)含むことを特徴とする半導体装置。
A first insulating layer having a low dielectric constant having a plurality of grooves or holes; a plurality of openings formed on the first insulating layer and overlapping the plurality of grooves or holes; And a second insulating layer containing C and H as main elements; and a conductor layer embedded in a plurality of wiring grooves or holes formed from the plurality of grooves or holes and the plurality of openings. In the semiconductor device, the second insulating layer includes a carbon-hydrogen bond-containing group (CH n group (n is an integer of 1 to 3)) of 10 21 to 10 22 (pieces / piece).
cm 3 ).
【請求項2】前記第2の絶縁層はさらにNを主要元素と
して含むことを特徴とする請求項1に記載の半導体装
置。
2. The semiconductor device according to claim 1, wherein said second insulating layer further contains N as a main element.
【請求項3】前記導体層は、Cuから構成されることを
特徴とする請求項1又は2に記載の半導体装置。
3. The semiconductor device according to claim 1, wherein said conductor layer is made of Cu.
【請求項4】前記第2の絶縁層は、6以下の比誘電率を
有することを特徴とする請求項1乃至3のいずれか1項
に記載の半導体装置。
4. The semiconductor device according to claim 1, wherein said second insulating layer has a relative dielectric constant of 6 or less.
【請求項5】第1の絶縁層を形成する工程と、 前記第1の絶縁層上に、SiとCとHとを主要元素とし
て含む第2の絶縁層を形成する工程と、 前記第1の絶縁層の表面が部分的に露出するよう、前記
第2の絶縁層を選択的にエッチングして開口を形成する
工程と、 前記選択的にエッチングされた第2の絶縁層をマスクと
して前記第1の絶縁層をエッチングして、配線溝又は穴
を形成する工程と、 前記開口及び前記配線溝又は穴を埋めて導体層を形成す
る工程と、 前記導体層を、前記第2の絶縁層をストッパとして研磨
する工程と、を備えた半導体装置の製造方法において、 前記第2の絶縁層を形成する際に、成膜原料及び成膜反
応を制御して、該第2の絶縁層に炭素−水素結合含有基
(CH基(nは1乃至3の整数))を10 〜10
22(個/cm)含ませることを特徴とする半導体装
置の製造方法。
5. A step of forming a first insulating layer; a step of forming a second insulating layer containing Si, C and H as main elements on the first insulating layer; Selectively etching the second insulating layer to form an opening such that the surface of the insulating layer is partially exposed; and forming the opening using the selectively etched second insulating layer as a mask. A step of forming a wiring groove or a hole by etching the first insulating layer; a step of forming a conductor layer by filling the opening and the wiring groove or the hole; and forming the second insulating layer with the conductive layer. A step of polishing as a stopper, the method comprising the steps of: controlling a film forming material and a film forming reaction when forming the second insulating layer; hydrogen bond-containing group (CH n groups (n is an integer of 1 to 3)) 10 2 1 - 10
22 (pieces / cm 3 ).
【請求項6】前記第2の絶縁層はさらにNを主要元素と
して含むことを特徴とする請求項5に記載の半導体装置
の製造方法。
6. The method according to claim 5, wherein the second insulating layer further contains N as a main element.
【請求項7】前記導体層は、Cuから構成されることを
特徴とする請求項5又は6に記載の半導体装置の製造方
法。
7. The method according to claim 5, wherein said conductor layer is made of Cu.
【請求項8】前記第2の絶縁層は、6以下の比誘電率を
有することを特徴とする請求項5乃至7のいずれか1項
に記載の半導体装置の製造方法。
8. The method according to claim 5, wherein the second insulating layer has a relative dielectric constant of 6 or less.
【請求項9】前記第2の絶縁層は、有機シラザン化合物
を含む原料ガスを用いて、電子サイクロトロン共鳴プラ
ズマを用いた化学的気相成長法により形成されることを
特徴とする請求項5乃至8のいずれか1項に記載の半導
体装置の製造方法。
9. The method according to claim 5, wherein the second insulating layer is formed by a chemical vapor deposition method using electron cyclotron resonance plasma using a source gas containing an organic silazane compound. 9. The method for manufacturing a semiconductor device according to claim 8.
【請求項10】さらに、前記第2の絶縁層及び前記導体
層の上に、前記第2の絶縁層と同一の構成を有する第3
の絶縁層を形成する工程を備えることを特徴とする請求
項5乃至9に記載の半導体装置の製造方法。
10. A third semiconductor device having the same structure as the second insulating layer on the second insulating layer and the conductor layer.
10. The method of manufacturing a semiconductor device according to claim 5, further comprising the step of forming an insulating layer.
JP2000274427A 2000-09-11 2000-09-11 Semiconductor device and production method therefor Pending JP2002083870A (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2000274427A JP2002083870A (en) 2000-09-11 2000-09-11 Semiconductor device and production method therefor
EP01965571A EP1320884A2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
US10/380,038 US6949829B2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
EP07001638A EP1777739A3 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
PCT/JP2001/007880 WO2002023625A2 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
KR10-2003-7003543A KR100479796B1 (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor
TW090122485A TW530380B (en) 2000-09-11 2001-09-11 Semiconductor device and fabrication method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000274427A JP2002083870A (en) 2000-09-11 2000-09-11 Semiconductor device and production method therefor

Publications (1)

Publication Number Publication Date
JP2002083870A true JP2002083870A (en) 2002-03-22

Family

ID=18760187

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000274427A Pending JP2002083870A (en) 2000-09-11 2000-09-11 Semiconductor device and production method therefor

Country Status (1)

Country Link
JP (1) JP2002083870A (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004289105A (en) * 2003-01-31 2004-10-14 Nec Electronics Corp Semiconductor device and its manufacturing method
WO2004107434A1 (en) * 2003-05-29 2004-12-09 Nec Corporation Wiring structure and method for producing same
JP2005026244A (en) * 2003-06-30 2005-01-27 Hiroshi Nakayama Method of forming film, film, and element
JP2005310861A (en) * 2004-04-19 2005-11-04 Mitsui Chemicals Inc Sintered silicon nitride film forming method
JP2007221165A (en) * 2001-08-30 2007-08-30 Tokyo Electron Ltd Plasma-cvd film forming method and apparatus
US7391115B2 (en) 2003-02-04 2008-06-24 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
JP2009088548A (en) * 2008-12-01 2009-04-23 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
JP2009152544A (en) * 2007-10-09 2009-07-09 Applied Materials Inc Method of forming void in multilevel interconnection structure
US7763979B2 (en) 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
JP5022900B2 (en) * 2005-08-15 2012-09-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP2012190995A (en) * 2011-03-10 2012-10-04 Renesas Electronics Corp Semiconductor device and manufacturing method therefor

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09186153A (en) * 1995-10-30 1997-07-15 Dow Corning Corp Method of vapor depositing amorphous sinc film
JPH09237838A (en) * 1996-02-28 1997-09-09 Lg Semicon Co Ltd Metal wiring structure and its formation
JPH09275138A (en) * 1996-04-03 1997-10-21 Toshiba Corp Semiconductor device and its manufacture
JPH10223758A (en) * 1996-12-06 1998-08-21 Sony Corp Semiconductor device
JPH10229122A (en) * 1997-02-17 1998-08-25 Sony Corp Manufacture of semiconductor device
JPH10289952A (en) * 1997-04-16 1998-10-27 Sony Corp Method of manufacturing semiconductor device
JPH11220025A (en) * 1998-02-03 1999-08-10 Rohm Co Ltd Semiconductor device and its manufacture
WO1999054934A1 (en) * 1998-04-22 1999-10-28 Cvc Products, Inc. Ultra high-speed chip interconnect using free-space dielectrics
JP2000068274A (en) * 1998-08-21 2000-03-03 Fujitsu Ltd Wiring structure and forming method thereof
JP2000200787A (en) * 1999-01-06 2000-07-18 Nec Corp Semiconductor device and its manufacture

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09186153A (en) * 1995-10-30 1997-07-15 Dow Corning Corp Method of vapor depositing amorphous sinc film
JPH09237838A (en) * 1996-02-28 1997-09-09 Lg Semicon Co Ltd Metal wiring structure and its formation
JPH09275138A (en) * 1996-04-03 1997-10-21 Toshiba Corp Semiconductor device and its manufacture
JPH10223758A (en) * 1996-12-06 1998-08-21 Sony Corp Semiconductor device
JPH10229122A (en) * 1997-02-17 1998-08-25 Sony Corp Manufacture of semiconductor device
JPH10289952A (en) * 1997-04-16 1998-10-27 Sony Corp Method of manufacturing semiconductor device
JPH11220025A (en) * 1998-02-03 1999-08-10 Rohm Co Ltd Semiconductor device and its manufacture
WO1999054934A1 (en) * 1998-04-22 1999-10-28 Cvc Products, Inc. Ultra high-speed chip interconnect using free-space dielectrics
JP2000068274A (en) * 1998-08-21 2000-03-03 Fujitsu Ltd Wiring structure and forming method thereof
JP2000200787A (en) * 1999-01-06 2000-07-18 Nec Corp Semiconductor device and its manufacture

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007221165A (en) * 2001-08-30 2007-08-30 Tokyo Electron Ltd Plasma-cvd film forming method and apparatus
US7763979B2 (en) 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US7045895B2 (en) 2003-01-31 2006-05-16 Nec Electronics Corporation Semiconductor device and method for manufacturing the same
JP2004289105A (en) * 2003-01-31 2004-10-14 Nec Electronics Corp Semiconductor device and its manufacturing method
US7910474B2 (en) 2003-02-04 2011-03-22 Nec Corporation Method of manufacturing a semiconductor device
US7391115B2 (en) 2003-02-04 2008-06-24 Nec Electronics Corporation Semiconductor device and manufacturing method thereof
CN100407400C (en) * 2003-05-29 2008-07-30 日本电气株式会社 Wiring structure and method for producing same
US7701060B2 (en) 2003-05-29 2010-04-20 Nec Corporation Wiring structure and method for manufacturing the same
WO2004107434A1 (en) * 2003-05-29 2004-12-09 Nec Corporation Wiring structure and method for producing same
US8592303B2 (en) 2003-05-29 2013-11-26 Renesas Electronics Corporation Wiring structure and method for manufacturing the same
JP2005026244A (en) * 2003-06-30 2005-01-27 Hiroshi Nakayama Method of forming film, film, and element
JP2005310861A (en) * 2004-04-19 2005-11-04 Mitsui Chemicals Inc Sintered silicon nitride film forming method
JP5022900B2 (en) * 2005-08-15 2012-09-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP2009152544A (en) * 2007-10-09 2009-07-09 Applied Materials Inc Method of forming void in multilevel interconnection structure
JP2009088548A (en) * 2008-12-01 2009-04-23 Renesas Technology Corp Semiconductor integrated circuit device and its manufacturing method
JP2012190995A (en) * 2011-03-10 2012-10-04 Renesas Electronics Corp Semiconductor device and manufacturing method therefor

Similar Documents

Publication Publication Date Title
JP4484345B2 (en) Semiconductor device and manufacturing method thereof
US8927442B1 (en) SiCOH hardmask with graded transition layers
US6541842B2 (en) Metal barrier behavior by SiC:H deposition on porous materials
US6245665B1 (en) Semiconductor device and method of fabricating the same
KR100479796B1 (en) Semiconductor device and fabrication method therefor
US7439174B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7088003B2 (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP3898133B2 (en) A method of forming a SiCHN film.
KR102263321B1 (en) Low-k dielectric and processes for forming same
US6383907B1 (en) Process for fabricating a semiconductor device
JP2007318141A (en) Interconnection structure with improved adhesion between noble metal liner and adjacent dielectric material, and its manufacturing method (improvement in adhesion for metal/dielectric interface)
JP2002083870A (en) Semiconductor device and production method therefor
JP4260764B2 (en) Manufacturing method of semiconductor device
US6451687B1 (en) Intermetal dielectric layer for integrated circuits
US20070222076A1 (en) Single or dual damascene structure reducing or eliminating the formation of micro-trenches arising from lithographic misalignment
CN104425444A (en) Semiconductor Devices and Methods of Manufacture Thereof
US6774053B1 (en) Method and structure for low-k dielectric constant applications
JP2007088495A (en) Organic insulating film and method of manufacturing same, and semiconductor device using organic insulating film and method of manufacturing same
US6753607B1 (en) Structure for improving interlevel conductor connections
JP2002134610A (en) Method for manufacturing semiconductor device
US20050263901A1 (en) Semiconductor device formed by in-situ modification of dielectric layer and related methods
JPH11307629A (en) Manufacture of semiconductor device
JP2005252199A (en) Semiconductor device and manufacturing method of semiconductor device
JP2004193453A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100112

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101207