JP2001526463A - 基板から有機反射防止皮膜をエッチングするためのシステムと方法 - Google Patents

基板から有機反射防止皮膜をエッチングするためのシステムと方法

Info

Publication number
JP2001526463A
JP2001526463A JP2000524817A JP2000524817A JP2001526463A JP 2001526463 A JP2001526463 A JP 2001526463A JP 2000524817 A JP2000524817 A JP 2000524817A JP 2000524817 A JP2000524817 A JP 2000524817A JP 2001526463 A JP2001526463 A JP 2001526463A
Authority
JP
Japan
Prior art keywords
gas
substrate
chlorine
layer
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000524817A
Other languages
English (en)
Inventor
チュン ヤン,
イー,ヤン
ダイアナ マー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001526463A publication Critical patent/JP2001526463A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 本発明は、処理チャンバ内に配置された基板上へ堆積されたチタン窒化物反射防止皮膜(Tin ARC)層と、有機反射防止皮膜(OARC)層とをエッチングするための方法と装置で実現され、被処理基板が定位置に置かれている処理チャンバからその基板を取り外すことなく、そしてチャンバ洗浄操作のような介在処理ステップを必要とすることもない。基板は、ベース、ベース上の下地酸化物層、その下地層の上側層、中間導体層、Tin ARC層、及びTin ARCの上表面に紡がれたOARC最上層を有する。

Description

【発明の詳細な説明】
【0001】
【発明の背景】 【発明の分野】
本発明は、一般には、半導体基板のための装置、及び半導体基板の処理に関す
る。詳細には、本発明は、基板処理中における反射防止皮膜のエッチングに関す
る。
【0002】
【関連技術】
半導体デバイスの限界寸法 (CD's) と限界ジオメトリは、それが数十年前に初
めて紹介されて以来、大きさを劇的に減らしてきた。現在、ほとんどの半導体デ
バイスは、約0.5ミクロンのフィーチャサイズで製造されているが、0.35
ミクロン以下のようなより小さなフィーチャサイズで、半導体デバイス、例えば
半導体集積回路チップを製造することが望ましい。
【0003】 そのような半導体デバイスの重要な微小ジオメトリの一つが、気体の化学反応
による、デバイスのベース基板上への、パターンニングされた薄膜の形成である
。薄膜をパターンニングするとき、線幅及び他の限界寸法の変動は最小化される
ことが望ましい。これら寸法の誤差は、デバイス特性の変動や、回路が開放/短
絡されたデバイスを結果として生じ、それによりデバイスの歩留まりに悪影響を
及ぼす。従って、フィーチャサイズが減少するとともに、構造は、より精確に製
作されなければならない。その結果、今ではメーカーによっては、パターン操作
の寸法精度の変動が、設計者が指定する寸法の5%以内に維持するよう要求して
いる。
【0004】 基板処理システムには、パターン層に対してフォトグラフィ技法を使用するも
のがある。この技法は、ウェーハ上に堆積されるフォトレジスト或いは他の感光
材料を使用する。透明領域と不透明領域とを有するフォトマスクがフォトレジス
ト上に位置決めされる。マスクが露光されると、透明領域はその透明領域内のフ
ォトレジストの露光を許容するが、マスクが不透明な領域内では許容しない。光
は、フォトレジストでできた被露光部分内で化学反応を生じさせる。(光可溶化
又は重合化)次に、適切な化学プロセス、化学的気相プロセス、又はイオン衝撃
プロセスを使用して、フォトレジストの反応部分と非反応部分のとちらかを選択
的にアタックする。マスクとして働く残留フォトレジストパターンにより、今度
は下地層が更に処理を受ける。例えば、層をドープしたりエッチングされてもよ
く、さもなければその他の処理を行うこともできる。
【0005】 フォトグラフィ技法の幾つかは、フォトレジスト層をマスクして露光するのに
使用されるステッパとして知られる設備の使用を含むことが多い。ステッパは単
色光(単一波長)を使用することが多く、微細ジオメトリデバイスの製造におい
て要求される詳細パターンをステッパが生成することを可能にする。しかしなが
ら、基板が処理されるとともに、基板の上面のトポロジは次第に平坦ではなくな
っていく。この一様ではないトポロジは、単色光の反射と屈折を引き起こし、結
果として、マスクの不透明部分の下にあるフォトレジストのいくらかが露光をさ
れる。その結果、この一様ではない表面トポロジは、フォトレジスト層へ転写さ
れるマスクパターンを変えてしまうことになり、それにより、逐次製作される構
造の所望寸法を変えてしまう。
【0006】 これら反射の結果として生ずる一つの現象がスタンディングウエーブ(standi
ng wave)である。フォトレジスト層が反射性下地層上へ堆積されて、単色光照 射(例えば、遠紫外(UV)線にさらされるとき、フォトレジスト層中にスタン
ディングウエーブが生成される可能性がある。その様な状況では、反射光が入射
光と干渉して、フォトレジスト層中の垂直方向における光強度の周期的な変動を
生じさせる。スタンディングウエーブ効果は、酸化物、窒化物、及びポリシリコ
ンのような材料の表面が遠UV波長においてより反射性があるので、最新のステ
ッパで使用される遠UV波長の方が、より長い波長の場合よりも、普通は顕著で
ある。露光中にフォトレジスト層内にスタンディングウエーブがあると、フォト
レジスト層の部分がパターンニング中に除去されるときに形成される垂直壁の粗
さの原因となり、それが線幅、スペース、そして他の限界寸法の変動に転化する
【0007】 要求寸法精度を達成しつつ、このスタンディングウエーブ及びこれらウエーブ
に伴う問題を減らし、及び/又は解消することを助けるのに現行で使用されてい
る幾つかの技法がある。反射防止皮膜(ARC)の使用は、そのような一つの技
法である。ARCの光学的特性は、層間インタフェースで発生する反射が最少化
されるというような特性である。ARCの吸収指数は、(各方向へ)伝送される
単色光の量が最少化されるような指標であり、従って、伝送される入射光と、そ
の反射光の両方が減衰される。ARCの反射及び吸収指数は、依然として無効化
される可能性があるどのような反射も引き起こさない値に固定される。
【0008】 ARCの1種類は窒化チタンの反射防止皮膜(Tin ARC)である。Ti n ARCの反射防止皮膜は、典型的には導体フィ−チャを有する半導体基板と ともに使用される。導体フィーチャを使用して、半導体基板上へ形成されたデバ
イスを電気的に接続する。典型的には、導体フィーチャは、ボトムバリア層、ア
ルミニウム合金のような導電性金属含有層、及び窒化チタン反射防止トップ皮膜
を有する。窒化チタンはかつて、満足する反射防止皮膜であるとされていたが、
最近では、相対的にあまり良好な反射防止皮膜ではないことが判明している。そ
の結果、有機反射防止皮膜(OARC)やボトム反射防止皮膜(BARC)のよ
うな他の反射防止層が、TiN ARCのの上部に紡がれる。
【0009】 次に、追加のOARC層を備えた基板は、基板上の各部分を選択的にエッチン
グするために、反応性イオンエッチング処理により処理される。エッチングは、
選択されたエッチングガスをエッチングチャンバへ導入すること、そしてプロセ
スガスからプラズマを生成する。プラズマは、基板を選択的にエッチングし、エ
ッチングチャンバからは除去される揮発性エッチング副生化合物を生成する。O
ARCとTiN ARCをエッチングするために使用されるプロセスガスは、普 通は、混合ガス、例えばCl2と、N2,Ar又はBCl3である。
【0010】 エッチングプロセス中、幾つかの因子、例えばCDコントロール、エッチング
レートの不均一、フォトレジスト損失、及びエッチング選択性、を考慮しなけれ
ばならない。良好なCDコントロールとエッチングレート均一性は、より小さな
フィーチャサイズを持つ半導体デバイスの製造を可能にする。更に、フォトレジ
スト損失とエッチング選択性に関し、与えられたエッチャントに関係する或る材
料の、他の材料に対する反応性は、材料のエッチング選択性として知られている
。エッチング選択性は、除去されるべき材料のエッチングレートの、他の材料の
エッチングレートに対する比で表されるのが普通である。理想的には、エッチャ
ントが、エッチングされる層の意図された領域だけをエッチングして、処理され
ている基板上に既に存在するかもしれない他の構造を腐食しないのがよいので、
高いエッチング選択性が望ましいことが多い。言換えると、高いエッチング選択
性を持つ材料は、他の材料の意図されたエッチング中、意図されていないエッチ
ングに実質的に抗する。異なるパターンが第1と第2層にエッチングされねばな
らない場合、積層する第2層に比べて高いエッチング選択性を持つ第1層が望ま
しい。そのような状況では、第1層のエッチング選択性が低いと、第2層を完全
にエッチング除去する領域では下地層が有意に腐食されないので、高いエッチン
グ選択性が望ましいのである。このエッチング操作は、第2層の意図された領域
だけでなく、それら領域の下地の第1層の部分も除去する。そのような状況では
少量の第1層が常時は除去されるが、きわめて低いエッチング選択性が第1層の
実質的なエッチングを可能にする。
【0011】 しかし、OARCとTiN ARCをエッチングするために使用されるプロセ スガスの現行の組み合わせでは、CDコントロールが比較的劣り、エッチングレ
ートは不均一になる。また、典型的なエッチング選択性は比較的低く、フォトレ
ジスト損失は比較的高い。フォトレジスト層の過剰なエッチングは、基板上、及
びエッチング装置の壁上へ重合レジストエッチャント副生物の過剰堆積を引き起
こす。過剰な量のそのような堆積物は除去が困難である。従って、OARCとT
iN ARCをエッチングするために使用される典型的なエッチングプロセスは 、増加したフォトレジスト損失と劣ったCDコントロールとに起因する不適切な
結果を生ずる。その結果、現在では、半導体基板上のTiN ARCへのOAR Cの添加が、エッチングプロセスをより困難に、且つ複雑にする。
【0012】 従って、必要とされるのは、良好なDCコントロールを有し、エッチングレー
ト非均一性を制限するOARC及びTiN ARC層を効果的にエッチングする ためのエッチングシステムである。同じく必要とされるのは、被パターニング層
の下地層の望ましくないエッチングを避けるシステムである。同じく必要とされ
るのは、最も少ない数の処理ステップを用いてそのような層を生成するためのシ
ステムである。追加で必要とされるのは精確なエッチングプロセスである。追加
で必要とされるのは、高いエッチング選択性を提供するエッチングシステムであ
る。更に必要とされるのは、従来のエッチング装置での回路チップの大量生産に
順応するエッチングシステムである。
【0013】 上記システムと方法の利点がどのようなものであろうが、それらが本発明の便
益を達成することはない。
【0014】
【発明の概要】
上記先行技術における制限を克服するために、そして本明細書を読んで理解し
て上で明らかになる他の制限を克服するために、本発明は、基板処理中に、Ti
n ARC層の上表面に紡いだTin ARC層とOARC層とをエッチングする
ための方法と装置で実現される。本発明は、処理チャンバ内に配置された基板上
へ堆積されたTin ARCとOARCとをエッチングするためのプロセスで実 現される。このプロセスは、中に被処理基板が定位置に置かれている処理チャン
バからその基板を取り外すことなく、そしてチャンバ洗浄操作のような介在処理
ステップを必要とすることなく実行されることができる。従って、このプロセス
は本明細書中で in situ プロセスと称される。基板は、ベース、ベース上の下 地酸化物層、その下地層の上側層、中間導体層、Tin ARC層、及びTin
ARCの表面に紡がれたOARC最上層を有する。ベースは好ましくは半導体ウ
ェーハであり、下地層は好ましくは酸化ケイ素絶縁層であり、上側層は好ましく
はベース拡散バリヤであり、中間層は好ましくは、AlCuのような導電層であ
る。
【0015】 好ましい実施の形態において、基板はマルチステージで処理される。最初に、
基板上のOARC層とTin ARC層とが第1の単ステージステップでエッチ ングされる。これは、塩素含有ガスと炭素−フッ化物ガスとを含むエッチャント
ガス、つまり炭素−塩素−フッ素含有ガス又は炭素−フッ化物−水素ガスを処理
ゾーンへ導入して、エッチャントガスからプラズマを生成することにより行われ
る。塩素含有ガスによって意味されることは、例えばCl2やHClのような気 体核種が存在するということである。炭素−フッ化物ガスによって意味されるこ
とは、例えば、CF4,C24,C26,及びそれらの化合物であり得るCxy
ような炭素とフッ素を含有する気体核種が存在するということである。炭素−塩
素−フッ素含有ガスによって意味されることは、例えば、CF3Clのような、 炭素、塩素、フッ素を含有する気体核種が存在するということである。炭素−フ
ッ化物−水素ガスによって意味されることは、例えば、CHF3であり得る、Cxyzのような、炭素、フッ素、水素を含有する気体核種が存在するということ
である。
【0016】 プラズマは、基板上のOARC層とTin ARC層の両方をエッチングする 。次に、例えば、Cl2ガス、BCl3ガス、及びCHF3ガスとの組み合わせを 含むプロセスガスで導体層をエッチングするために主エッチングステップが行わ
れる。次に、例えば、Cl2ガス及びBCl3ガスとの組み合わせを含むプロセス
ガスでベース拡散バリヤと酸化物層とをエッチングするためにオーバーエッチン
グステップが行われる。最後に、基板100を開放するために5回目のデチャッ
キングステップを行うことができる。加えて、第1ステップ後、得られて結果を
改善するために、即ちノッチをなくすために2次のステップが行われる。例えば
、Cl2ガス及びBCl3ガスの組み合わせを含むプロセスガスは、この2次のス
テップで利用されることができる。
【0017】 プロセス全体を通して、基板は処理チャンバ内にある。つまり全てのステップ
が、in situ プロセスとして行われることができる。このように、本発明は、チ
ャンバ洗浄及びその類のために、異なる層のエッチング間で処理チャンバから基
板を取り外す必要をなくすことができる。これは、恩恵の中でもとりわけスルー
プットを向上させ、休止時間を減らし、汚染を減らす。
【0018】 本発明の上記の、そして更なる特徴と利点は、それらのより完全な理解と同じ
く、添付図面及び付帯する請求の範囲とともに、本発明の以下の詳細な説明を検
討することにより明らかにされることができるだろう。
【0019】
【好ましい実施の形態の詳細な説明】
好ましい実施の形態の下記説明においては、本明細書の一部を形成し、本発明
が実施可能な特定の実施の形態を図解して示す添付図面を参照する。承知される
べきは、その他の実施の形態も利用されることができ、構造上の変更も、本発明
の範囲から逸脱することなく行えるということである。
【0020】 I.構成要素: 図1は、窒化チタン反射防止皮膜(TiN ARC)及び有機反射防止皮膜( OARC)を有する基板の垂直断面図である。本発明は、プラズマリアクターチ
ャンバでケイ素のような基板をエッチングするシステムと方法で具現化される。
エッチングは、in situ で行うことができる(基板を、それが置かれたプロセス
チャンバから基板を除去することなく)。
【0021】 本発明による方法は、ベース110を備える基板100に施されるが、ベース
110は、その上にパターンニングされた導電性フィーチャ112の水平層を有
する。ベース110は、半導体、ガラス、セラミック、金属、或いは、ポリマー
といった材料で作製できる。ベース110は、ケイ素のような半導体ウェーハが
好ましい。ベース110上のフィーチャ112は、例えば、ベース110上の下
地層114、下地層114上の上に重なる層116、中間層117、窒化チタン
反射防止皮膜(TiN ARC)層118、及びTiN ARC118の頂部上にス ピンコーティングされ、炭素含有材料のような有機反射防止皮膜(OARC)で
あるトップ層120といった複数の層を備える。炭素含有材料はポリマー材料と
することができる。
【0022】 下地層114は、誘電性酸化物が好ましく、上に重なる層116は、ベースの
拡散バリヤであることが好ましく、中間層117は、電気的に中間の導体層であ
ることが好ましい。誘電性酸化物層114は、酸化ケイ素層などが可能である。
ベース拡散バリヤ層116は、チタン、タングステン、チタン−タングステン、
窒化チタン、或いは、これらの組み合わせを含むことができる。電気的に中間の
導体層116は、アルミニウム、ケイ素、銅の合金であってよい。TIN AR C層118の厚さは約200オングストロームから約1600オングストローム
が好ましく、更に典型的には、約250オングストロームの厚みがよい。層11
6、117、118,120は、物理的堆積(PVD)によって堆積するのが好
ましい。誘電性酸化物層114は、化学的気相成長(CVD)により堆積するこ
とが可能である。
【0023】 図2は、窒化チタン反射防止皮膜及び有機反射防止皮膜の、本発明(以下に詳
述する)に従うエッチング後の、図1の基板の垂直断面図である。フォトレジス
トのような、エッチングに耐えるレジスト層122は、エッチングプロセス中に
基板の部分を保護するために所定のフォトレジストパターンとして適用される。
フォトレジストパターンは、トレンチと穴124及び立ち上がりフィーチャ12
2で決まる。立ち上がりフィーチャ122は、典型的には、約0.5μm未満の
幅wと、約1.0μm未満の高さHを有する。フィーチャ122は、OARC層
120の上側表面に対して、典型的には、約85°から約90°のプロファイル
角αを形成する。エッチングされるのは上に重なる層116が普通である。下記
のエッチングプロセスで、バイアがトレンチと穴124の底部にエッチングされ
、エッチングされた穴内に導体層間接続を形成する。
【0024】II. プロセスチャンバ : 幾つかのリアクタチャンバが本発明のシステムと方法で使われる。図3は、本
発明のプロセスを実行するのに適した装置の垂直断面図である。反応装置300
は、エッチング領域314を有するエッチングチャンバ312を備えている。典
型的なエッチング(本発明の特別のエッチング処理を以下に述べる)に対して、
プロセスガスは、ガス入力318を通じてエッチングチャンバ312へ導入され
る。その後、プロセスガスは、エッチング領域314にプロセスガスを分配する
「シャワーヘッド」拡散プレート320を通る。周囲の焦点リング322は、発
生したプラズマをエッチング領域314に実質的に維持する。運転においては、
基板100が、カソード324上に載置され、プロセスガス(エッチングされる
層にもよるが)は、ガス入力18を通じエッチングチャンバ312に導入される
。プラズマは、プロセスガスから生成され、基板100の層を選択的にエッチン
グすることになる。
【0025】 バリヤ又は圧送プレート326は、これを貫通する複数の掃気孔328を有す
る。圧送プレート326は、エッチングチャンバ312を2つの領域、即ち、エ
ッチング領域314と非エッチング領域330に分離する。掃気孔328は、掃
気ポート332を通じて真空ポンプ(図示せず)に流体連通しているが、これは
、費やしたプロセスガスと揮発性のエッチング副成物をエッチングチャンバ31
2から掃気するためである。反応装置10は磁気的に励起される。磁気コイル3
34を、エッチングチャンバ312の周りに備えることができ、それでエッチン
グ領域314でプロセスガスから生成したプラズマを磁気的に励起する。プロセ
スガスのプラズマは、エッチング領域314で生成される。プラズマの流れを矢
印336で示す。
【0026】 同様に、図4は、本発明の処理を実行するのに適当な装置の垂直断面図である
。本発明のエッチング処理を実行するにの適したエッチング装置400は、図4
に概略的に示され、更に詳細には、いずれも引用されて本明細書中に組み入れら
れた、Rice他により1993年10月15日出願の米国特許出願第08/138
,060号、及び、Collins他へ1992年12月30日に付与されたヨーロッ パ特許公開特許第0,520,519A1号に記載されている。
【0027】 一般的に、エッチング装置400は、処理領域404を有するプロセスチャン
バ402を備える。プロセスガスは、ウェーハの周囲のガスマニフォルド406
を使って処理領域404に分配される。典型的には、プロセスガスは、一つ以上
の加圧ガスソースを使い、コンピュータ制御の流量制御装置(図示せず)を介し
てマニフォルド406へ供給される。
【0028】 円筒形アンテナコイル408は、プロセスチャンバ400の側壁410の周り
に巻かれている。コイル408は、RF電流ソース412に接続される。RF電流
が、コイル408を通じて加えられると、コイル408は、処理領域404のプ
ロセスガスからプラズマを生成するために、プロセスチャンバ40へ誘導結合エ
ネルギを与える。プロセスチャンバ400の側壁410は、石英或いはセラミッ
クのような誘電体材料でできていて、側壁410とコイル408間の導電性結合
を防止している。側壁410近傍の従来の加熱素子(図示せず)は、側壁410
へ、凝縮したエッチャント副成物の堆積を防ぐのに十分に高い温度になるまで側
壁410を加熱するために備える。
【0029】 結晶シリコン材料414のスラブが、処理領域404の頂部に備えられる。ケ
イ素のスラブ414を、従来の加熱素子(図示せず)を使って加熱する時には、
スラブの表面で反応性のケイ素原子が、処理領域404内でガス状の核種と反応
する。例えば、炭素−フッ化物ガスが、プロセスチャンバ400に導入され、そ
れからプラズマが発生すると、ケイ素原子は掃気されるか、或いはプラズマのフ
ッ素核種と結合してSiF4のようなガスを形成する。掃気処理は、処理領域4 04のフッ素の量を減らし、それによりプラズマ中の炭素の、フッ素に対する比
を高める。基板100の新たにエッチングされた部分に堆積してこれを不活性化
する炭素リッチポリマーを形成するには、より高い炭素比が望ましい。掃気処理
の詳細な説明は、Rice他による前記米国特許出願に開示されている。
【0030】 基板100は、プロセスチャンバ400内でカソード420上に定置され、電
気的に接地された陽極422は、プロセスチャンバ400の頂部を形成する。基
板100の温度を制御するためにヘリウムのような冷却ガスが保持されている溝
426を有する機械的チャック424を使って、エッチング処理中に基板100
を適当な位置に保持することが可能である。代替として、Collins他により19 93年10月14日に出願された米国特許出願第08/137,279号に記載
されたチャックのような静電チャックも使用できる。カソード420を、陽極4
22に対してRF電源68を使って電気的にバイアスすると、プロセスチャンバ4
00内のプラズマは基板に打ち当たり、その結果、プラズマ活性の反応が基板1
00をエッチングする。オプションとして、磁界(図示せず)が、プラズマの密
度又は均一性を高めるために使用できる。
【0031】 消費されたプロセスガスとエッチャント副成物は、排気装置430を通じてプ
ロセスチャンバ400から排気されるが、排気装置430は、プロセスチャンバ
432に約10〜3mTorrの圧力を達成可能な排気システム432に接続さ
れる。スロットル弁434は、プロセスチャンバ400内の圧力を制御するため
に排気装置430内に備えられる。
【0032】 図5は、本発明の処理を実行するのに適する他の装置、特に好ましい装置の垂
直断面図である。誘導結合したRFプラズマ反応装置は、接地した導電性円筒側
壁501と誘電体シーリング502を有するリアクタチャンバ500と、プロセ
スチャンバの中央に半導体ウェーハ506を支持するウェーハペデスタル504
を含むリアクタと、プロセスチャンバの上部を囲み、ウェーハ或いはウェーハペ
デスタル504の頂部面近傍で始まっていて、そこからプロセスチャンバの頂部
510に向け上に広がった円筒形誘導コイル508と、内部のプロセスチャンバ
へプロセスガスを提供するためのプロセスガスソース512とガス入口514及
び、プロセスチャンバ圧力を制御するポンプ516とを含んでいる。
【0033】 コイルインダクタ508は、従来のアクティブRF整合回路520を通じてプ
ラズマソース電源又はRF電力発生器518によりエネルギを与えられ、コイル
インダクタ508の頂部巻線は「ホット」であり、底部巻線は接地されている。
ウェーハペデスタル504は、バイアスRF電源又は発生装置524と外側接地
導体526(内側導体部522から絶縁されている)に接続された内側導体部5
22を含む。導電性の接地RFシールド530が、コイルインダクタ508を囲
んでいる。
【0034】 III. 詳細なプロセス 図5とともに、図1と図2を参照すると、処理を有効にするために、プロセス
チャンバ500は、約1mTorr未満まで真空引きされ、基板100は、プロ
セスチャンバ500に移送される。エッチャントプロセスガス512は、プロセ
スチャンバに導入され、プロセスチャンバ500は、約6から約20mTorr
の間の圧力に維持される。エッチャントガス512は、ガス入口514を通って
プロセスチャンバ500に導入される。エッチャントガス512は、塩素含有ガ
スと炭素−フッ化物ガス、炭素−フッ化物−水素ガス、炭素−塩素−フッ素含有
ガス或いはその組み合わせを含んでいる。その後プラズマは、エッチャントガス
から生成する。
【0035】 塩素含有ガスによって、例えばCl2のような気体核種ができることになる。 炭素−フッ化物ガスによって、例えば、CF4、C24、C24とその組み合わ せが有り得るCxyのような炭素とフッ素を含む気体核種ができることになる。
炭素−塩素−フッ素含有ガスによって、例えばCF3Clのような炭素、塩素、 フッ素を含む気体核種ができることになる。炭素−フッ化物−水素ガスによって
,例えば、CHF3が有り得るが、Cxyzのような炭素、フッ素、水素を含む
気体核種ができることになる。炭素−フッ化物−水素ガスは、C、F、及びH以
外の元素を含まないのが好ましい。
【0036】 本発明の処理は、マルチステージで効果を発揮する。処理の第1ステップでは
、TIN ARC層118とOARC層120の両方が、エッチングされる。レ ジストのエッチングレートに対するTiN ARC118とOARC120の層 の組み合わせのエッチングレートの比として定義するエッチングの選択性比が少
なくとも約2、更に好ましくは少なくとも約3になるように組成を選ぶことが好
ましい。その後、主たるエッチング段階は、導体層117をエッチングするため
に実行される。次に、オーバーエッチングステップが、ベース拡散バリヤ116
と酸化物層114をエッチングするために実行される。最後に、5番目の、チャ
ックを外すステップが実行され、基板100を開放する。更に、第1ステップ後
、第2ステップが実行され、結果を改善する、即ちノッチを除去する。これらの
ステップは in situ プロセスとして行われることができる。このプロセスを以 下詳細に説明する。
【0037】マルチステージプロセス: ARCエッチング: このプロセス第1ステージを有効化するための好適な組み合わせガスは、体積
流量比が約1:7から略1:5、好ましくは1:6のCHF3とCl2とを含むこ
とができる。ガスのこの組み合わせは、少なくとも略2のエッチング選択比を提
供する。20リットルのプロセスチャンバについては、Cl2流量は、好ましく は略90から100sccmであり、CHF3流量は、好ましくは略15scc mである。
【0038】 エッチングプロセス中、チャンバ500は、略6から20mTorr、より好
ましくは略10mTorrの範囲の圧力に維持される。プラズマは、約2MHz
の周波数を持つRF電流を誘導コイル508へ印加する。典型的には、コイルに
印加されるRF電流は、パワーレベルが略1200ワットである。RFバイアス
電流は、約13.56MHzの周波数で、且つ、誘導コイル508によリ生成さ
れるプラズマが基板100に衝突して、それをエッチングするようにさせるのに
十分なパワーレベルで、カソードへも印加される。適切なカソードRFバイアス
電流パワーレベルは略30ワットである。 メインエッチング: 第3ステージを有効化するための好適な組み合わせガスは、体積流量比が好ま
しくは1:2.5:16であるCl2,BCl3及びCHF3を含むことができる 。20リットルのプロセスチャンバについては、Cl2の流量は、好ましくは略 80sccmであり、BCl3の流量は、好ましくは略20sccmであり、C HF3の流量は、好ましくは略5sccmである。
【0039】 このステップ中、チャンバ500は、略10mTorrの圧力に維持される。
約2MHzの周波数を持つRF電流を誘導コイル508へ印加することにより、
エッチャントガスからプラズマが生成される。典型的には、コイルへ印加される
RF電流のパワーレベルは略1000ワットである。RFバイアス電流は、約1
3.56MHzの周波数で、且つ、誘導コイル508によリ生成されるプラズマ
が基板100に衝突して、それをエッチングするようにさせるのに十分なパワー
レベルで、カソードへも印加される。適切なカソードRFバイアス電流パワーレ
ベルは略80ワットである。
【0040】 オーバーエッチング: 第4ステージを有効化するための好適な組み合わせガスは、体積流量比が好ま
しくは1:2であるCl2とBCl3を含むことができる。20リットルのプロセ
スチャンバについては、Cl2の流量は、好ましくは略60sccmであり、B Cl3の流量は、好ましくは略30sccmである。
【0041】 このステップ中、チャンバ500は、略10mTorrの圧力に維持される。
約2MHzの周波数を持つRF電流を誘導コイル508へ印加することにより、
エッチャントガスからプラズマが生成される。典型的には、コイルへ印加される
RF電流のパワーレベルは略1000ワットである。RFバイアス電流は、約1
3.56MHzの周波数で、且つ、誘導コイル508によリ生成されるプラズマ
が基板100に衝突して、それをエッチングするようにさせるのに十分なパワー
レベルで、カソードへも印加される。適切なカソードRFバイアス電流パワーレ
ベルは略80ワットである。
【0042】 デチャッキングステージ: デチャッキングステージを有効化するための好適なガスはN2を含むことがで きる。20リットルのプロセスチャンバについては、N2の流量は、好ましくは 略50sccmである。このステップ中、チャンバ500は、スロットルバルブ
を全開(TFO)に保つのが普通である。典型的には、コイルへ印加されるRF
電流のパワーレベルは略400ワットである。RFバイアス電流は、略100ワ
ットのパワーレベルでカソードへも印加される。
【0043】 第1ステージ後の代替の第2ステージ: 代替として、ノッチ形成をなくすことにより、得られた結果を改善するために
、2次のエッチングステップを行うことができる。第2ステージを有効化するた
めの好適な組み合わせガスは、体積流量比が好ましくは1:1であるCl2とB Cl3を含むことができる。20リットルのプロセスチャンバについては、Cl2 の流量は、好ましくは略50sccmであり、BCl3の流量は、好ましくは略 50sccmである。
【0044】 このステップ中、チャンバ500は、略10mTorr、より好ましくは8m
Torrの圧力に維持される。約2MHzの周波数を持つRF電流を誘導コイル
508へ印加することにより、エッチャントガスからプラズマが生成される。典
型的には、コイルへ印加されるRF電流のパワーレベルは略800ワットである
。RFバイアス電流は、約13.56MHzの周波数で、且つ、誘導コイル50
8によリ生成されるプラズマが基板100に衝突して、それをエッチングするよ
うにさせるのに十分なパワーレベルで、カソードへも印加される。適切なカソー
ドRFバイアス電流パワーレベルは略100ワットである。
【0045】 プロセス条件: 本発明のエッチングプロセスにおいて、陽極酸化アルミニウムの側壁501上
への凝縮可能なエッチャント副生物核種の堆積を阻止するために、側壁501は
、温度約80℃まで加熱される。絶縁シーリング502は、プロセスゾーン中の
微粒子減らすために、普通には約80℃の温度に維持されてポリマー堆積を阻止
する。カソード温度は略35℃である。また、このプロセスの両バージョンとも
、エッチャントガスから形成されるプラズマは、基板100を、約50から60
℃の温度まで加熱する。基板100の温度は、基板100の裏面上にヘリウムを
通過させることにより実質的に一定のレベルに維持される。
【0046】 実施例 以下の実施例は、本発明によるプロセスの有効性を証明する。これらの実施例
では、デカップルプラズマソース金属エッチング装置、例えばカリフォルニア州
サンタクララの Applied Materials から市販で入手できる DPS Metal Etch Cen
tura System が使用された。
【0047】 実施例は、直径6インチのシリコンウェーハ上で行われた。このウェーハは、
その上に酸化ケイ素層114、ベース拡散バリヤ層116、アルミニウム合金(
略0.5%の銅を含有)を含む導体層117、厚さが略200〜500オングス
トロームの範囲のTiN ARC層118、厚さが略600〜2000オングス トロームのOARC層120を有していた。
【0048】 以下の記号は全ての実施例に適用される。BT1:(Breakthrough 1):OAR
CとTiN ARCの開口部エッチングのための集積プロセス。エッチング時間 は、703nmエミッション線(Ti線)毎に決められる。CDは、Pb、Pd
、圧力、He冷却、合計流量毎に変えられることができる、BT2(Breakthroug
h 2):より良好なノッチコントロールのための架橋プロセス、ME:0.25μ
の標準的な主エッチングプロセス(CHF3)、OE:標準的なオーバーエッチ ングプロセス
【0049】 実施例1 表Iは、実施例1で使用されたプロセス条件を列記したものである。この実施
例はマルチステージプロセスを説明するものであり、そこではCl2とCHF3
を含むエッチャントガスが使用された。エッチングレートは、従来の技法を用い
て、走査型電子顕微鏡でエッチングされたウェーハ断面を調べることにより測定
された。エッチングレートは、TiN ARCとOARCの両層の組み合わされ たエッチングレートを反映する。
【0050】
【表1】 実施例2〜4 表II〜IVはそれぞれ、実施例2〜4で使用されたプロセス条件を列記したもの
である。これら実施例はマルチステージプロセスを説明するものであり、そこで
はCl2、BCl3及びCHF3とを含むエッチャントガスが、ノッチ形成をなく すために2次エッチングとともに使用された。エッチングレートは、従来の技法
を用いて、走査型電子顕微鏡でエッチングされたウェーハ断面を調べることによ
り測定された。エッチングレートは、TiN ARCとOARCの両層の組み合 わされたエッチングレートを反映する。
【0051】
【表2】
【0052】
【表3】
【0053】
【表4】 上記作業実施例の結果は、本発明によるプロセスが高度な異方性エッチングを
提供し、実質的に何らのアンダーカット形成、又はノッチ形成もなく、高いエッ
チング選択性と非常に低い限界寸法ロスを提供する。下記の表は、上記作業実施
例の典型的な結果を提示する。
【0054】
【表5】 本発明の好ましい実施の形態の前記説明は、図解と説明を目的に提示されたも
のである。それは網羅的であることを意図してはいない。つまり、開示された正
確な形式に制限することを意図してはいない。上記教示に照らして、多くの修正
や変更が可能である。本発明の範囲は、この詳細な説明ではなく、本明細書に付
帯する特許請求の範囲により限定されることが意図されている。
【図面の簡単な説明】
ここで、同様の符号は全体を通して対応する部品を表す図面を参照する。
【図1】 図1は、チタン窒化物反射防止皮膜と有機反射防止皮膜とを有する基板の垂直
断面図である。
【図2】 図2は、チタン窒化物反射防止皮膜と有機反射防止皮膜のエッチング後の、図
1の基板の垂直断面図である。
【図3】 図3は、本発明のプロセスを実施するのに適した装置の垂直断面図である。
【図4】 図4は、本発明のプロセスを実施するのに適した別の装置の垂直断面図である
【図5】 図5は、本発明のプロセスを実施するのに適した別の装置の垂直断面図である
───────────────────────────────────────────────────── フロントページの続き (72)発明者 イー,ヤン アメリカ合衆国, カリフォルニア州, キャンベル, ヴィア セイリス 3862 (72)発明者 マー, ダイアナ アメリカ合衆国, カリフォルニア州, サラトガ, キルト コート 19600 Fターム(参考) 5F004 AA05 AA16 BA20 BB11 BB13 BB21 BB22 BB25 BB26 BB28 BB32 CA01 CA02 CA03 CB02 DA00 DA01 DA02 DA04 DA07 DA11 DA16 DA22 DA25 DA30 DB00 DB12 DB23 EA22 EA28 5F033 HH04 HH09 HH11 HH18 HH19 HH23 HH33 QQ03 QQ04 QQ08 QQ10 QQ15 QQ21 WW05 WW07

Claims (29)

    【特許請求の範囲】
  1. 【請求項1】 基板のベースからマルチ層皮膜をエッチングするためのプロセ
    スであって、前記プロセスは: (a)基板上へ配置された、有機反射防止皮膜層とチタン窒化物反射防止皮膜層
    との組み合わせ、そして多層チタンとチタン反射防止皮膜との組み合わせ、の少
    なくとも一方の組み合わせを有する前記基板をプロセスゾーン内へ載置するステ
    ップ、 (b)塩素ガスと塩素含有ガスのうちの少なくとも一方と組み合わされる、炭素
    −フッ化物−水素ガス、炭素−フッ化物ガス、及び炭素−塩素−フッ素含有ガス
    のうちの少なくとも一つを含むエッチャントガスを、前記プロセスゾーンへ導入
    するステップ、及び、 (c)前記有機反射防止皮膜層と前記チタン窒化物反射防止皮膜層との組み合わ
    せ、そして前記多層チタンと前記チタン反射防止皮膜との組み合わせ、の少なく
    とも一方の前記組み合わせをエッチングするために、エッチャントガスからプラ
    ズマを形成するステップ、 を有するプロセス。
  2. 【請求項2】 前記炭素−フッ化物−水素ガスが、Cxyzから成るグルー プから選択されたガスを含み、前記炭素−フッ化物ガスが、Cxyから成るグル
    ープから選択されたガスを含む、 請求項1のプロセス。
  3. 【請求項3】 塩素ガスと塩素含有ガスが、ClとCl2とから成るグループ の中から選択されたガスを含み、炭素−フッ化物がCF4であり、炭素−塩素− 弗素含有ガスがCF3Clである、 請求項1のプロセス。
  4. 【請求項4】 ステップ(c)の後に: ホウ素−塩素ガスと塩素ガスとを含む第2エッチャントガスを、前記プロセス
    ゾーンへ導入するステップ、及び、 前記基板上へ配置された、前記有機反射防止皮膜層と前記チタン窒化物反射防
    止皮膜層との組み合わせ、そして前記多層チタンと前記チタン反射防止皮膜との
    組み合わせ、の少なくとも一方の前記組み合わせを追加してエッチングするため
    に、前記第2エッチャントガスからプラズマを形成するステップ、を有する、 請求項1のプロセス。
  5. 【請求項5】 前記ホウ素−塩素ガスがBCl3である、 請求項4のプロセス。
  6. 【請求項6】 前記基板が更に、前記基板の前記ベース上へ直に重なる絶縁酸
    化物層、前記絶縁酸化物層上へ重なるベース拡散バリヤ層、及び前記チタン窒化
    物反射保護皮膜層の下に重なる導体層を備える、 請求項1のプロセス。
  7. 【請求項7】前記導体層が: 炭素−フッ化物−水素ガスとホウ素−塩素ガスと塩素ガスとを含む第3エッチャ
    ントガスを、前記プロセスゾーンへ導入するステップ、及び、 前記基板上の前記導体層をエッチングするために、前記第3エッチャントガス
    からプラズマを形成するステップ、 によりエッチングされる、 請求項6のプロセス。
  8. 【請求項8】 前記拡散バリヤ層と前記絶縁酸化物層とが: ホウ素−塩素ガスと塩素ガスとを含む第4エッチャントガスを、前記プロセスゾ
    ーンへ導入するステップ、及び、 前記基板上の前記拡散バリヤ層と前記絶縁酸化物層とをエッチングするために
    、前記第4エッチャントガスからプラズマを形成するステップ、 によりエッチングされる、 請求項7のプロセス。
  9. 【請求項9】 前記基板が、前記有機反射防止皮膜層の各部の上に重なるレジ
    スト層を有し、塩素ガスに対する炭素−フッ化物−水素ガスの体積流量比は、前
    記レジストのエッチングレートに対する、組み合わされたチタン窒化物反射防止
    皮膜層と有機反射防止皮膜層とのエッチングレートの比が約2になるように選択
    される、 請求項1のプロセス。
  10. 【請求項10】 誘導コイルが前記プロセスゾーンを取り囲み、前記エッチャ
    ントガスからプラズマを形成する前記ステップが、約1100から約1300ワ
    ットまでのパワーレベルを有するRF電流を前記誘導コイルに与えるステップを
    有する、 請求項1のプロセス。
  11. 【請求項11】 前記基板が、前記プロセスゾーン内のカソード上に載置され
    、約20から約40ワットまでのパワーレベルを有するRF電流が前記カソード
    へ与えられる、 請求項11のプロセス。
  12. 【請求項12】 前記プロセスゾーンが、約8mTorrから約12mTor
    rの圧力に維持される、 請求項1のプロセス。
  13. 【請求項13】 前記プロセスゾーンが、約6mTorrから約10mTor
    rの圧力に維持される、 請求項4のプロセス。
  14. 【請求項14】 前記プロセスゾーンが、約10mTorrから約14mTo
    rrの圧力に維持される、 請求項7のプロセス。
  15. 【請求項15】 前記プロセスゾーンが、約8mTorrから約12mTor
    rの圧力に維持される、 請求項8のプロセス。
  16. 【請求項16】 誘導コイルが前記プロセスゾーンを取り囲み、前記第2エッ
    チャントガスからプラズマを形成する前記ステップが、約700から約900ワ
    ットまでのパワーレベルを有するRF電流を前記誘導コイルに与えるステップを
    有する、 請求項4のプロセス。
  17. 【請求項17】 前記基板が、前記プロセスゾーン内のカソード上に載置され
    、約70から約125ワットまでのパワーレベルを有するRF電流が前記カソー
    ドへ与えられる、 請求項16のプロセス。
  18. 【請求項18】 前記エッチャントガスから形成されたプラズマが、異なる気
    体核種を含み、前記プロセスゾーンは、前記プラズマ中の前記気体核種の一部を
    掃気する材料を含む内壁を有するプロセスチャンバ内に在る、 請求項1のプロセス。
  19. 【請求項19】 基板のベースからマルチ層皮膜をエッチングするためのプロ
    セスであって、前記プロセスは: (a)基板をプロセスゾーン内へ載置するステップ、 (b)塩素ガスと塩素含有ガスのうちの少なくとも一方と組み合わされる、炭素
    −フッ化物−水素ガス、炭素−フッ化物ガス、及び炭素−塩素−フッ素含有ガス
    のうちの少なくとも一つを含む第1エッチャントガスを、前記プロセスゾーンへ
    導入するステップ、 (c)前記基板上へ配置された、有機反射防止皮膜層とチタン窒化物反射防止皮
    膜層との組み合わせ、そして多層チタンとチタン反射防止皮膜との組み合わせ、
    の少なくとも一方の組み合わせをエッチングするために、前記エッチャントガス
    からプラズマを形成するステップ、 (d)ホウ素−塩素ガスと塩素ガスとを含む第2エッチャントガスを、前記プロ
    セスゾーンへ導入するステップ、及び、 (e)前記第2エッチャントガスからプラズマを形成し、それにより、前記基板
    上の前記チタン窒化物反射防止皮膜層と前記有機反射防止皮膜層のノッチ形成を
    なくすステップ、を有するプロセス。
  20. 【請求項20】 前記炭素−フッ化物−水素ガスが、Cxyzから成るグル ープから選択されたガスを含み、前記炭素−フッ化物ガスが、Cxyから成るグ
    ループから選択されたガスを含み、前記炭素−塩素−弗素含有ガスがCF3Cl であり、ホウ素−塩素ガスがBCl3であり、そして塩素ガスと塩素含有ガスが 、ClとCl2とから成るグループの中から選択されたガスを含む、 請求項19のプロセス。
  21. 【請求項21】 誘導コイルが前記プロセスゾーンを取り囲み、 前記第1エッチャントガスからプラズマを形成する前記ステップが、約700
    から約1600ワットまでのパワーレベルを有するRF電流を前記誘導コイルに
    与えるステップを有し、前記基板が、前記プロセスゾーン内のカソード上に載置
    され、約10から約100ワットまでのパワーレベルを有するRF電流が前記カ
    ソードへ与えられ、そして、 前記第2エッチャントガスからプラズマを形成する前記ステップが、約700
    から約900ワットまでのパワーレベルを有するRF電流を前記誘導コイルに与
    えるステップを有し、前記基板が、前記プロセスゾーン内のカソード上に載置さ
    れ、約90から約110ワットまでのパワーレベルを有するRF電流が前記カソ
    ードへ与えられる、 請求項19のプロセス。
  22. 【請求項22】 ステップ(b〜c)中は、前記プロセスゾーンが、約8mT
    orrから約12mTorrの圧力に維持され、ステップ(d〜e)中は、前記
    プロセスゾーンが、約6mTorrから約10mTorrの圧力に維持される、 請求項19のプロセス。
  23. 【請求項23】 基板をエッチングするためのプロセスであって: (a)チタン窒化物反射防止皮膜層の上に重なる有機反射防止皮膜層、前記基板
    のベース上へ直に重なる絶縁酸化物層、前記絶縁酸化物層上へ重なるベース拡散
    バリヤ層、及び前記チタン窒化物反射保護皮膜層の下に重なる導体層、を前記基
    板へ提供するステップ、 (b)前記基板をプロセスゾーン内へ載置するステップ、 (c)炭素−フッ化物−水素ガスと塩素ガスとを含む第1エッチャントガスを、
    前記プロセスゾーンへ導入するステップ、 (d)前記基板上のチタン窒化物反射防止皮膜層と有機反射防止皮膜層とをエッ
    チングするために、前記第1エッチャントガスからプラズマを形成するステップ
    、 (e)炭素−フッ化物−水素ガスと、ホウ素−塩素ガスと、塩素ガスとを含む第
    2エッチャントガスを、前記プロセスゾーンへ導入するステップ、 (f)前記基板上の前記導体層をエッチングするために、前記第2エッチャント
    ガスからプラズマを形成するステップ、 (g)ホウ素−塩素ガスと塩素ガスとを含む第3エッチャントガスを、前記プロ
    セスゾーンへ導入するステップ、及び、 (h)前記基板上の前記ベース拡散バリヤと前記絶縁酸化物層とをエッチングす
    るために、前記第3エッチャントガスからプラズマを形成するステップ、 を有するプロセス。
  24. 【請求項24】ステップ(d)の後、ステップ(e)の前に: ホウ素−塩素ガスと塩素ガスとを含む補助エッチャントガスを、前記プロセスゾ
    ーンへ導入するステップ、及び、 前記補助エッチャントガスからプラズマを形成し、それにより、前記基板上の前
    記チタン窒化物反射防止皮膜層と前記有機反射防止皮膜層のノッチ形成をなくす
    ステップ、を有する、 請求項23のプロセス。
  25. 【請求項25】 前記炭素−フッ化物−水素ガスが、Cxyzから成るグル ープから選択されたガスを含み、前記炭素−フッ化物ガスが、Cxyから成るグ
    ループから選択されたガスを含み、前記ホウ素−塩素ガスがBCl3であり、そ して前記塩素ガスが、ClとCl2とから成るグループの中から選択されたガス を含む、 請求項23のプロセス。
  26. 【請求項26】 前記炭素−フッ化物−水素ガスが、CHFとCHF3とから 成るグループから選択されたガスを含み、前記炭素−フッ化物ガスが、CFとC
    4とから成るグループから選択されたガスを含む、 請求項23のプロセス。
  27. 【請求項27】 前記ステップが in situ で、且つ介在する処理ステップも なく行われる、 請求項1に記載の発明。
  28. 【請求項28】 前記ステップが in situ で、且つ介在する処理ステップも なく行われる、 請求項19に記載の発明。
  29. 【請求項29】 前記ステップが in situ で、且つ介在する処理ステップも なく行われる、 請求項23に記載の発明。
JP2000524817A 1997-12-08 1998-12-04 基板から有機反射防止皮膜をエッチングするためのシステムと方法 Withdrawn JP2001526463A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/986,427 US6296780B1 (en) 1997-12-08 1997-12-08 System and method for etching organic anti-reflective coating from a substrate
US08/986,427 1997-12-08
PCT/US1998/025818 WO1999030360A1 (en) 1997-12-08 1998-12-04 System and method for etching organic anti-reflective coating from a substrate

Publications (1)

Publication Number Publication Date
JP2001526463A true JP2001526463A (ja) 2001-12-18

Family

ID=25532400

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000524817A Withdrawn JP2001526463A (ja) 1997-12-08 1998-12-04 基板から有機反射防止皮膜をエッチングするためのシステムと方法

Country Status (6)

Country Link
US (1) US6296780B1 (ja)
EP (1) EP1038311A1 (ja)
JP (1) JP2001526463A (ja)
KR (1) KR20010032913A (ja)
TW (1) TW434717B (ja)
WO (1) WO1999030360A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016022702A1 (en) * 2014-08-05 2016-02-11 Tokyo Electron Limited Methods for dry hard mask removal on a microelectronic substrate

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4355039B2 (ja) * 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
JP2000252259A (ja) * 1999-02-25 2000-09-14 Sony Corp ドライエッチング方法及び半導体装置の製造方法
US6203661B1 (en) * 1999-12-07 2001-03-20 Trusi Technologies, Llc Brim and gas escape for non-contact wafer holder
TW523557B (en) * 2000-02-21 2003-03-11 Nanya Technology Corp Exhausting method in a dry etching apparatus
US6383941B1 (en) 2000-07-06 2002-05-07 Applied Materials, Inc. Method of etching organic ARCs in patterns having variable spacings
US6617689B1 (en) * 2000-08-31 2003-09-09 Micron Technology, Inc. Metal line and method of suppressing void formation therein
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6535372B2 (en) * 2001-06-20 2003-03-18 Applied Materials, Inc. Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
US7816188B2 (en) * 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
DE10156865A1 (de) * 2001-11-20 2003-05-28 Infineon Technologies Ag Verfahren zum Ausbilden einer Struktur in einem Halbleitersubstrat
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
DE50201281D1 (de) * 2002-01-30 2004-11-18 Ibs Filtran Kunststoff Metall Ölwanne mit integrierter Ölfiltereinheit
US6699795B1 (en) * 2002-03-15 2004-03-02 Cypress Semiconductor Corp. Gate etch process
US6649532B1 (en) 2002-05-09 2003-11-18 Applied Materials Inc. Methods for etching an organic anti-reflective coating
JP3886413B2 (ja) * 2002-05-17 2007-02-28 Necエレクトロニクス株式会社 半導体装置及びその製造方法
US20030224619A1 (en) * 2002-06-04 2003-12-04 Yoshi Ono Method for low temperature oxidation of silicon
KR100454821B1 (ko) * 2002-06-12 2004-11-03 동부전자 주식회사 반도체 소자의 금속 배선 형성 방법
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20050009342A1 (en) * 2003-07-08 2005-01-13 Applied Materials, Inc. Method for etching an organic anti-reflective coating (OARC)
DE10349764B4 (de) * 2003-10-24 2006-08-24 Infineon Technologies Ag Hartmaske zur Strukturierung einer Schicht und Verfahren zur Generierung einer Hartmaske für die Strukturierung einer Schicht
US20050269294A1 (en) * 2004-06-08 2005-12-08 Tokyo Electron Limited Etching method
US7915175B1 (en) 2004-06-25 2011-03-29 Cypress Semiconductor Corporation Etching nitride and anti-reflective coating
CN100449693C (zh) * 2004-11-04 2009-01-07 上海华虹(集团)有限公司 一种去除栅刻蚀横向凹槽的方法
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US8877001B2 (en) * 2009-05-07 2014-11-04 Applied Materials, Inc. Shuttered gate valve
US8183149B1 (en) * 2010-12-20 2012-05-22 Globalfoundries Inc. Method of fabricating a conductive interconnect arrangement for a semiconductor device
KR101603971B1 (ko) * 2014-07-30 2016-03-17 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6487790A (en) 1987-09-29 1989-03-31 Dainippon Printing Co Ltd Dry etching method
JPH04288828A (ja) 1991-03-18 1992-10-13 Sony Corp ドライエッチング方法
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
JP2800729B2 (ja) 1995-07-19 1998-09-21 カシオ計算機株式会社 金属層のエッチング方法
JP2924723B2 (ja) 1995-08-16 1999-07-26 日本電気株式会社 ドライエッチング方法
US5550085A (en) 1995-09-07 1996-08-27 Winbond Electronics Corp. Method for making a buried contact
JPH0997797A (ja) 1995-09-29 1997-04-08 Sony Corp 積層配線の形成方法
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5772906A (en) 1996-05-30 1998-06-30 Lam Research Corporation Mechanism for uniform etching by minimizing effects of etch rate loading
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016022702A1 (en) * 2014-08-05 2016-02-11 Tokyo Electron Limited Methods for dry hard mask removal on a microelectronic substrate

Also Published As

Publication number Publication date
US6296780B1 (en) 2001-10-02
KR20010032913A (ko) 2001-04-25
TW434717B (en) 2001-05-16
WO1999030360A1 (en) 1999-06-17
EP1038311A1 (en) 2000-09-27

Similar Documents

Publication Publication Date Title
US6296780B1 (en) System and method for etching organic anti-reflective coating from a substrate
US6541164B1 (en) Method for etching an anti-reflective coating
US6869542B2 (en) Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
JP5265100B2 (ja) 炭素系ハードマスクを開く方法
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
TWI326796B (en) Cluster tool and method for process integration in manufacturing of a photomask
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US6013582A (en) Method for etching silicon oxynitride and inorganic antireflection coatings
US7575007B2 (en) Chamber recovery after opening barrier over copper
KR101445153B1 (ko) 포토마스크 플라즈마 에칭시 인시츄 챔버 건식 세정을 위한 방법 및 장치
JP5349066B2 (ja) Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
US6103632A (en) In situ Etching of inorganic dielectric anti-reflective coating from a substrate
JP2006215552A (ja) フォトマスク製作に適したクロム層をプラズマエッチングするための方法
JP2006215552A5 (ja)
JP2010219550A (ja) 有機反射防止膜をプラズマエッチングする方法
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
US20080292973A1 (en) Method for etching using a multi-layer mask
JP4594235B2 (ja) Arc層をエッチングする方法
US6649532B1 (en) Methods for etching an organic anti-reflective coating
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US20020106902A1 (en) Etching process for organic anti-reflective coating
US20130074769A1 (en) Apparatus for the deposition of a conformal film on a substrate and methods therefor
US20030153193A1 (en) Etching method
US7435681B2 (en) Methods of etching stacks having metal layers and hard mask layers

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060207