JP2001358218A - Method for etching organic film and method for manufacturing element - Google Patents

Method for etching organic film and method for manufacturing element

Info

Publication number
JP2001358218A
JP2001358218A JP2001108725A JP2001108725A JP2001358218A JP 2001358218 A JP2001358218 A JP 2001358218A JP 2001108725 A JP2001108725 A JP 2001108725A JP 2001108725 A JP2001108725 A JP 2001108725A JP 2001358218 A JP2001358218 A JP 2001358218A
Authority
JP
Japan
Prior art keywords
film
etching
metal
intermediate layer
organic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001108725A
Other languages
Japanese (ja)
Inventor
Hideo Kitagawa
英夫 北川
Nobumasa Suzuki
伸昌 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2001108725A priority Critical patent/JP2001358218A/en
Publication of JP2001358218A publication Critical patent/JP2001358218A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a method for etching an organic film having a high selection ratio of a hard mask capable of rapidly etching for obtaining a desired shape and a method for manufacturing an element. SOLUTION: The method for etching the organic film comprises the steps of forming an intermediate layer and a patterned resist layer on the organic film, etching the intermediate layer exposed from the resist layer, and then etching the organic film by using a plasma of the gas in such a manner that the intermediate layer contains a metal or a compound of the metal. The method for manufacturing the element comprises the method for etching the film.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、LSI、表示素
子、マイクロメカニクス素子等の素子の製造方法及びそ
れに用いられる有機膜の製造方法に関し、特に有機Lo
w−k膜と呼ばれる有機低誘電率膜のエッチング方法に
関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing an element such as an LSI, a display element, a micromechanical element and the like, and a method for manufacturing an organic film used for the same.
The present invention relates to a method of etching an organic low dielectric constant film called a wk film.

【0002】[0002]

【従来の技術】LSI、表示素子、マイクロメカニクス
素子等の素子の製造方法は、膜の形成工程、膜のエッチ
ング工程等を含んでいる。
2. Description of the Related Art A method of manufacturing an element such as an LSI, a display element, or a micromechanical element includes a film forming step, a film etching step, and the like.

【0003】LSIの製造工程を例に挙げると、近年求
められる素子の微細加工に応じて、配線部を形成する導
電体の低抵抗化と共に、絶縁体の低誘電率化が要求され
る。
Taking an example of an LSI manufacturing process as an example, in accordance with the recent demand for fine processing of elements, it is required to lower the resistance of a conductor forming a wiring portion and lower the dielectric constant of an insulator.

【0004】そこで、絶縁体は、比誘電率が3.9〜
4.5程度の酸化シリコンに代えて、3.5〜4.0程
度のフッ素ドープの酸化シリコンが用いられるようにな
り、更には、比誘電率が2.4〜3.4程度の有機低誘
電率膜が用いられるようになった。
Accordingly, the insulator has a relative dielectric constant of 3.9 to 3.9.
Instead of silicon oxide of about 4.5, fluorine-doped silicon oxide of about 3.5 to 4.0 is used, and furthermore, organic low dielectric constant of about 2.4 to 3.4 is used. Dielectric films have been used.

【0005】従来の有機低誘電率膜のエッチング工程
を、図6を用いて説明する。図6において、1はレジス
トマスク、2はCVD法又は塗布法により形成されたS
iO2膜、3は例えば比誘電率が3以下である有機ポリ
マーからなる有機低誘電率絶縁膜、4は金属配線、5は
配線溝又はビアホール、6は側壁保護膜を示している。
A conventional process for etching an organic low dielectric constant film will be described with reference to FIG. In FIG. 6, 1 is a resist mask, 2 is S formed by a CVD method or a coating method.
iO 2 film, 3 is, for example, specific dielectric constant made of an organic polymer is 3 or less organic low dielectric constant insulating film, 4 is a metal wire, 5 wiring trench or a via hole, is 6 illustrates a sidewall protective film.

【0006】エッチング処理前のウエハ上の断面構造は
図6(a)に示す通りであり、金属配線4上に有機低誘
電率膜3、ハードマスクとして用いられるSiO2膜又
はSOG等の膜2が積層され、その上にフォトレジスト
を用いてマスクパターンが形成されている。ここで、有
機低誘電率膜としては、例えばポリアリールエーテル
{下記式(1)}又はフッ素化ポリアリールエーテル
{下記式(2)}等が用いられている。上記構造体に対
し、まず図6(b)に示すようにフルオロカーボン系の
ガス(例えばC48/Ar)を用いてハードマスク2の
エッチングを行う。次に、図6(c)に示すようにO2
系又はN2/H2系のガスを用いて有機低誘電率膜3のエ
ッチングが行われる。最後に、残留したフォトレジスト
マスク1及び側壁保護膜6を除去するための、クリーニ
ング処理が行われ、図6(d)に示す構造体が得られ
る。
The cross-sectional structure on the wafer before the etching process is as shown in FIG. 6A, and an organic low dielectric constant film 3 and a film 2 such as an SiO 2 film or SOG used as a hard mask are formed on the metal wiring 4. Are laminated, and a mask pattern is formed thereon using a photoresist. Here, as the organic low dielectric constant film, for example, polyaryl ether {the following formula (1)} or fluorinated polyaryl ether {the following formula (2)} is used. First, as shown in FIG. 6B, the hard mask 2 is etched using a fluorocarbon-based gas (for example, C 4 F 8 / Ar). Then, O 2 as shown in FIG. 6 (c)
The organic low dielectric constant film 3 is etched using a system-based or N 2 / H 2 -based gas. Finally, a cleaning process is performed to remove the remaining photoresist mask 1 and sidewall protection film 6, and the structure shown in FIG. 6D is obtained.

【0007】[0007]

【化1】 Embedded image

【0008】[0008]

【化2】 Embedded image

【0009】以上の様に、有機低誘電率膜のエッチング
においては、フォトレジストマスク1と有機低誘電率膜
2の主成分がいずれも有機物であり、エッチング形状を
維持するのに十分な選択比を確保することが困難である
ことから、SiO2等の無機膜2に一度フォトレジスト
パターンを転写し、該無機膜2をマスクとして有機低誘
電率膜3をエッチングする。所謂ハードマスク法が一般
的に使われている。
As described above, in the etching of the organic low-k film, the main components of the photoresist mask 1 and the organic low-k film 2 are both organic substances, and the selectivity is sufficient to maintain the etched shape. Since it is difficult to secure the same, a photoresist pattern is once transferred to the inorganic film 2 such as SiO 2 , and the organic low dielectric constant film 3 is etched using the inorganic film 2 as a mask. The so-called hard mask method is generally used.

【0010】しかしながら、ハードマスクであるSiO
2と有機低誘電率膜とのエッチング選択比が充分に大き
いものとはならずに、エッチング後の形状が期待通りに
ならないことがあった。特に、高速でエッチングしよう
とするとその問題は顕著になる。
However, the hard mask SiO 2
In some cases, the etching selectivity between 2 and the organic low dielectric constant film was not sufficiently large, and the shape after etching was not as expected. In particular, the problem becomes remarkable when etching is performed at a high speed.

【0011】[0011]

【発明が解決しようとする課題】本発明の目的は、所望
の形状を得るためのエッチングを高速にできる有機膜の
エッチング方法及び素子の製造方法を提供することにあ
る。
SUMMARY OF THE INVENTION It is an object of the present invention to provide a method for etching an organic film and a method for manufacturing a device, which can speed up etching for obtaining a desired shape.

【0012】本発明の別の目的は、ハードマスクに対す
る選択比の高い有機膜のエッチング方法及び素子の製造
方法を提供することにある。
It is another object of the present invention to provide a method for etching an organic film having a high selectivity to a hard mask and a method for manufacturing a device.

【0013】[0013]

【課題を解決するための手段】本発明に従って、有機膜
上に中間層及びパターニングされたレジスト層を形成
し、該レジスト層から露出した該中間層をエッチングし
た後、ガスのプラズマを用いて前記有機膜をエッチング
する有機膜のエッチング方法において、該中間層が金属
又は金属の化合物からなる層を含むことを特徴とする有
機膜のエッチング方法が提供される。
According to the present invention, an intermediate layer and a patterned resist layer are formed on an organic film, and the intermediate layer exposed from the resist layer is etched. An organic film etching method for etching an organic film, wherein the intermediate layer includes a layer made of a metal or a metal compound is provided.

【0014】本発明に従って、素子の製造方法におい
て、基体上に有機絶縁膜と中間層とパターニングされた
レジスト膜を形成する工程、該レジスト膜から露出した
該中間層をエッチングした後、ガスのプラズマを用いて
該有機絶縁膜をエッチングする工程、該有機絶縁膜がエ
ッチングされた部分に導電体を充填する工程、を含み、
前記中間層が、金属又は金属の化合物からなる層を含む
ことを特徴とする素子の製造方法が提供される。
According to the present invention, in a method of manufacturing an element, a step of forming an organic insulating film, an intermediate layer and a patterned resist film on a substrate, etching the intermediate layer exposed from the resist film, and then applying a gas plasma Etching the organic insulating film using a, filling the portion of the organic insulating film is etched with a conductor,
A method for manufacturing an element is provided, wherein the intermediate layer includes a layer made of a metal or a metal compound.

【0015】[0015]

【発明の実施の形態】以下に、本発明の実施の形態を詳
細に説明する。
Embodiments of the present invention will be described below in detail.

【0016】図1を参照して本発明による有機膜のエッ
チング方法及び素子の製造方法について述べる。
Referring to FIG. 1, a method for etching an organic film and a method for manufacturing a device according to the present invention will be described.

【0017】まず、必要に応じてSi等の基体上にトラ
ンジスタ等の素子を形成した後、Alや、CuとTiN
との積層体等からなる第1層金属配線4を形成する。
First, if necessary, an element such as a transistor is formed on a substrate such as Si, and then Al, Cu and TiN
To form a first-layer metal wiring 4 made of a laminate or the like.

【0018】次に、必要に応じて窒化シリコン等からな
るストッパー膜7を形成する。続いて、塗布法等により
有機絶縁膜3を形成する。そして、CVD法や塗布法等
によりSiO2膜2を形成する。更に、スパッタリング
法やCVD法等によりハードマスク用金属膜12を形成
する。
Next, a stopper film 7 made of silicon nitride or the like is formed as needed. Subsequently, the organic insulating film 3 is formed by a coating method or the like. Then, the SiO 2 film 2 is formed by a CVD method, a coating method, or the like. Further, the hard mask metal film 12 is formed by a sputtering method, a CVD method, or the like.

【0019】金属膜12の上にフォトレジスト材料を塗
布し、ベーキングした後、KrFエキシマレーザ、Ar
Fエキシマレーザ、F2エキシマレーザ等の紫外光によ
ってフォトレジスト材料を露光し、現像して、レジスト
マスク1を形成する。こうして、図1(a)に示す構造
体が得られる。ここでは、金属膜12とSiO2膜2と
が中間層となる。
After a photoresist material is applied on the metal film 12 and baked, a KrF excimer laser, Ar
The photoresist material is exposed to ultraviolet light such as an F excimer laser or an F 2 excimer laser and developed to form a resist mask 1. Thus, the structure shown in FIG. 1A is obtained. Here, the metal film 12 and the SiO 2 film 2 are intermediate layers.

【0020】次に、レジストマスク1から露出した中間
層をエッチングする。より詳しくは、レジストマスク1
を用いて金属膜12をエッチングした後、露出したSi
2膜をエッチングする。こうして、図1(b)に示す
構造体が得られれる。図1では側壁保護膜は省略して描
いている。
Next, the intermediate layer exposed from the resist mask 1 is etched. More specifically, resist mask 1
After etching the metal film 12 using
Etch the O 2 film. Thus, the structure shown in FIG. 1B is obtained. In FIG. 1, the side wall protective film is omitted.

【0021】そして、露出した有機絶縁膜3をエッチン
グする。更に、その下のストッパー膜7をエッチングし
て、第1層金属配線4を露出させる。こうして、図1
(c)に示す構造体が得られる。有機絶縁膜3及びスト
ッパー膜7のエッチング終了後には、図示したようにレ
ジストマスクが殆ど残らない。もし、レジストマスクあ
るいはその残渣が薄く残る場合には、それを除去すれば
よい。
Then, the exposed organic insulating film 3 is etched. Further, the stopper film 7 thereunder is etched to expose the first-layer metal wiring 4. Thus, FIG.
The structure shown in (c) is obtained. After the etching of the organic insulating film 3 and the stopper film 7, the resist mask hardly remains as shown in the figure. If the resist mask or its residue remains thin, it may be removed.

【0022】本実施形態の有機膜のエッチング方法によ
れば、中間層として金属膜12を用いることにより、高
速で所望のエッチング形状を得ることができる。
According to the method for etching an organic film of the present embodiment, a desired etching shape can be obtained at high speed by using the metal film 12 as the intermediate layer.

【0023】金属膜12としては、アルミニウム(A
l)、銅(Cu)、チタン(Ti)、コバルト(C
o)、タンタル(Ta)、プラチナ(Pt)、タングス
テン(W)及びクロム(Cr)等からなる群より選択さ
れる少なくとも1種の純金属又は合金を用いることがで
きる。あるいは、これらから選択される金属の硅化物や
窒化物を用いることもできる。具体的には、窒化タンタ
ル(TaN)、窒化チタン(TiN)、窒化タングステ
ン(WN)、窒化硅素チタン(TiSiN)、窒化硅素
タンタル(TaSiN)及び窒化硅素タングステン(W
SiN)等である。
As the metal film 12, aluminum (A
l), copper (Cu), titanium (Ti), cobalt (C
o), at least one pure metal or alloy selected from the group consisting of tantalum (Ta), platinum (Pt), tungsten (W), chromium (Cr), and the like. Alternatively, a metal silicide or nitride selected from these can also be used. Specifically, tantalum nitride (TaN), titanium nitride (TiN), tungsten nitride (WN), titanium titanium nitride (TiSiN), tantalum silicon nitride (TaSiN), and silicon tungsten nitride (WN)
SiN).

【0024】とりわけ、エッチングガスによりドライエ
ッチング可能な金属又は金属化合物を用いることが好ま
しい。
In particular, it is preferable to use a metal or a metal compound which can be dry-etched by an etching gas.

【0025】更に、必要に応じて窒化チタン等のバリア
メタルを形成した後、図1(d)に示すようにタングス
テンや銅等の導電体を堆積させ、エッチングされて形成
された配線溝又は開孔を導電体で充填する。こうして、
第2層配線用の導電体8を形成する。
Further, after a barrier metal such as titanium nitride is formed as necessary, a conductor such as tungsten or copper is deposited as shown in FIG. 1D, and a wiring groove or an opening formed by etching is formed. The holes are filled with a conductor. Thus,
The conductor 8 for the second layer wiring is formed.

【0026】そして、図1(e)に示すように、必要に
応じて有機絶縁膜3の上方に残っている導電体8を除去
すれば、溝又は開孔5内にのみ導電体8が残る。
Then, as shown in FIG. 1E, if the conductor 8 remaining above the organic insulating film 3 is removed as necessary, the conductor 8 remains only in the groove or opening 5. .

【0027】本実施形態の素子の製造方法によれば、所
望の形状の配線又は電極の構造を得ることができる。
According to the device manufacturing method of the present embodiment, it is possible to obtain a wiring or electrode structure having a desired shape.

【0028】本発明に用いられる有機絶縁膜3として
は、酸化シリコンよりも誘電率の低い低誘電率材料が用
いられる。好ましくは、比誘電率が3.4以下、より好
ましくは、3.0以下の材料であり、具体的には、前述
したフッ素ポリアリールエーテル又は非フッ素化ポリア
リールエーテルである。
As the organic insulating film 3 used in the present invention, a low dielectric constant material having a lower dielectric constant than silicon oxide is used. Preferably, the material has a relative dielectric constant of 3.4 or less, more preferably 3.0 or less, and specifically, the above-mentioned fluorine polyaryl ether or non-fluorinated polyaryl ether.

【0029】本発明に用いられる有機絶縁膜3のエッチ
ング用のガスとしては、酸素含有ガズや水素含有ガス等
が挙げられるが、後述する理由により窒素又は水素を含
有するガスが好ましく用いられる。
Examples of the gas for etching the organic insulating film 3 used in the present invention include an oxygen-containing gas and a hydrogen-containing gas, and a gas containing nitrogen or hydrogen is preferably used for the reasons described below.

【0030】窒素又は水素を含有するガスとしては、N
2ガス、H2ガス、NH3ガス、N2 2ガスあるいはN2
2との混合ガスであり、必要に応じて希ガスと共に用
いてもよい。
Examples of the gas containing nitrogen or hydrogen include N
TwoGas, HTwoGas, NHThreeGas, NTwoH TwoGas or NTwoWhen
HTwoMixed gas with rare gas if necessary
May be.

【0031】また、中間層を構成しているSiO2膜2
に代えて、フッ素ドープのSiO2膜やSiC膜等の無
機絶縁膜を用いてもよい。
Further, the SiO 2 film 2 constituting the intermediate layer
Instead, an inorganic insulating film such as a fluorine-doped SiO 2 film or a SiC film may be used.

【0032】ストッパー膜7としては、SiN膜に代え
て酸化シリコン等の無機絶縁膜を用いてもよい。
As the stopper film 7, an inorganic insulating film such as silicon oxide may be used instead of the SiN film.

【0033】導電体8としては、CVD、スパッタリン
グ、メッキ等の方法により形成されたタングステン、銅
又はアルミニウムから選択される少なくとも1種を含む
純金属、合金が好ましく用いられ、導電体8と各層1
2、2、3、7及び4との界面にバイアメタルとなるT
iN、TaN、WN、Ti、Ta、TiSiN又はTa
SiN等から選択される少なくとも1層を介在させても
よい。
The conductor 8 is preferably a pure metal or alloy containing at least one selected from tungsten, copper or aluminum formed by a method such as CVD, sputtering, or plating.
T which becomes a via metal at the interface with 2, 2, 3, 7 and 4
iN, TaN, WN, Ti, Ta, TiSiN or Ta
At least one layer selected from SiN or the like may be interposed.

【0034】有機低誘電率絶縁膜は、酸素を含むガスの
プラズマによりエッチングできることが知られている。
例えば、特開平8−316209号公報に開示されてい
るように、O2やCO2ガスのプラズマを用いれば、ほと
んどの有機低誘電率絶縁膜を高いエッチング速度で容易
にエッチングすることが可能である。しかし、第59回
応用物理学会学術講演会(1998年秋季)講演予稿集
15p−C−10にも記載されている様に、有機物は酸
素イオンのみならず酸素ラジカルとも反応して、等方的
にエッチングが進むため、ホールや溝の断面形状が、い
わゆるボーイング形状と呼ばれる樽型の形状となり易
い。このような形状になると、引き続き行われる配線金
属の成膜工程において、穴や溝内部への金属の埋め込み
不良が発生し、配線抵抗の増大や、最悪の場合、配線の
断線といった不良を引き起こすことがある。
It is known that an organic low dielectric constant insulating film can be etched by plasma of a gas containing oxygen.
For example, as disclosed in Japanese Patent Application Laid-Open No. 8-316209, most organic low-k insulating films can be easily etched at a high etching rate by using O 2 or CO 2 gas plasma. is there. However, as described in the 59th Annual Meeting of the Japan Society of Applied Physics (Autumn 1998) Preprints 15p-C-10, organic substances react not only with oxygen ions but also with oxygen radicals, and areotropically. Since the etching proceeds rapidly, the cross-sectional shape of the hole or the groove tends to be a barrel-shaped shape called a so-called bowing shape. With such a shape, in the subsequent wiring metal film forming process, poor filling of the metal into the hole or groove occurs, which causes a problem such as an increase in wiring resistance and, in the worst case, a disconnection of the wiring. There is.

【0035】更に、酸素プラズマによるエッチングのも
う一つのデメリットとして、例えばProceedin
gs of Symposium on Dry Pr
ocess 1998 p.175に記載されている様
な、膜質の劣化の問題がある。即ちこれは、酸素プラズ
マに晒された有機低誘電率絶縁膜が、酸素を吸着した
り、或いは酸素と結合したOH基を含む変質層を形成し
てしまい、この酸素が、引き続き行われる配線金属形成
工程、例えばタングステンプラグのCVD工程中に脱離
することにより、穴や溝内部の埋め込み不良が発生する
という問題である。
Further, as another disadvantage of the etching by oxygen plasma, for example, Proceedin
gs of Symposium on Dry Pr
ossess 1998 p. 175, there is a problem of deterioration of film quality. That is, the organic low-dielectric-constant insulating film exposed to the oxygen plasma absorbs oxygen or forms a deteriorated layer containing an OH group bonded to oxygen, and this oxygen is used in the subsequent wiring metal. There is a problem in that desorption during a forming process, for example, a CVD process of a tungsten plug, causes poor filling of holes or grooves.

【0036】上記問題点を解決するため、特許第278
6198号公報では、NH3ガスのプラズマを用いる方
法が開示されている。NH3プラズマを用いることによ
り、前記酸素吸着による有機膜の膜質劣化は完全に解消
される。しかし、NH3プラズマの問題点として、従来
ハードマスクとして用いられてきたSiO2系の膜に対
する選択性が十分でないという点が挙げられる。
In order to solve the above problem, Japanese Patent No. 278 is disclosed.
Japanese Patent No. 6198 discloses a method using NH 3 gas plasma. By using NH 3 plasma, deterioration of the film quality of the organic film due to the oxygen adsorption is completely eliminated. However, the problem of NH 3 plasma is that the selectivity to a SiO 2 -based film conventionally used as a hard mask is not sufficient.

【0037】図2に、表面波干渉型プラズマ装置(以下
SIPと記述)を用いた場合の、NH3プラズマにおけ
る、有機低誘電率膜とSiO2膜のエッチング速度のV
pp依存性を示す。SIPは、特開平11−40397
号公報等に開示されている装置を用いればよい。ここで
言うVppとは、基板に印加した高周波バイアスのピー
ク間電圧である。基板に2MHz以下の周波数の高周波
バイアスを印加すると、プラス側の半周期で電子が加速
され、マイナス側の半周期でイオンが加速される。即
ち、Vpp/2は、プラズマ中のイオン又は電子が加速
される電圧の最大値を示している。例えば、Vpp/2
が600Vの場合には、イオンは最大600eVのエネ
ルギーを持って基板に入射することを意味している。
FIG. 2 shows the etching rate V of the etching rate of the organic low dielectric constant film and the SiO 2 film in the NH 3 plasma when a surface wave interference type plasma apparatus (hereinafter referred to as SIP) is used.
Shows pp dependence. SIP is disclosed in Japanese Patent Application Laid-Open No. 11-40397.
An apparatus disclosed in Japanese Patent Application Laid-Open Publication No. H10-209, etc. may be used. Here, Vpp is the peak-to-peak voltage of the high frequency bias applied to the substrate. When a high-frequency bias having a frequency of 2 MHz or less is applied to the substrate, electrons are accelerated in a positive half cycle and ions are accelerated in a negative half cycle. That is, Vpp / 2 indicates the maximum value of the voltage at which ions or electrons in the plasma are accelerated. For example, Vpp / 2
Is 600 V, it means that ions are incident on the substrate with an energy of up to 600 eV.

【0038】図において、図2(a)は有機低誘電率膜
のエッチング速度のVpp依存性、図2(b)はSiO
2膜のエッチング速度のVpp依存性、図2(c)は選
択比のVpp依存性を示している。
FIG. 2A shows the Vpp dependence of the etching rate of the organic low dielectric constant film, and FIG.
Vpp dependence of the etching rate of the two films, and FIG. 2C shows Vpp dependence of the selectivity.

【0039】図2(a)に示す有機低誘電率膜のエッチ
ング速度の最大値は、プラズマ密度に依存している。例
えば、高密度プラズマであるヘリコン波プラズマ源を用
いると、最大エッチング速度は約300nm/minで
あり、それ以上はいくら高周波電力を投入しても、エッ
チング速度は増加しない。ICP型プラズマ源を用いて
も、せいぜい400nm/min程度である。しかし、
SIPを用いれば、800nm/min以上という高い
エッチング速度を実現することができる。これは、SI
Pが、他方式をはるかに上回るプラズマ密度を実現して
いることを示している。
The maximum value of the etching rate of the organic low dielectric constant film shown in FIG. 2A depends on the plasma density. For example, when a helicon wave plasma source, which is a high-density plasma, is used, the maximum etching rate is about 300 nm / min, and the etching rate does not increase no matter how much high frequency power is applied. Even if an ICP type plasma source is used, it is at most about 400 nm / min. But,
With the use of SIP, a high etching rate of 800 nm / min or more can be realized. This is SI
P indicates that the plasma density is far higher than that of other methods.

【0040】ところが、図2より明らかなように、SI
Pを用いても、ハードマスクであるSiO2に対する有
機絶縁膜のエッチング選択比を、形状維持に十分な値で
ある100以上にしようとすると、Vpp/2を400
V以下に下げる必要があり、エッチング速度が約400
nm/minと実用には不十分なエッチング速度となっ
てしまうことがある。また、実用上十分なエッチング速
度である800nm/minを達成しようとすると、ハ
ードマスクであるSiO2に対する選択比が約30まで
低下し、図4に示すような、ハードマスクのファセッテ
ィングによる開孔径の広がりが発生してしまう。
However, as is apparent from FIG.
Even if P is used, if the etching selectivity of the organic insulating film with respect to SiO 2 as a hard mask is set to 100 or more, which is a value sufficient for maintaining the shape, Vpp / 2 is set to 400.
V or less, and the etching rate is about 400
The etching rate may be inadequate for practical use, such as nm / min. Further, in order to achieve a practically sufficient etching rate of 800 nm / min, the selectivity with respect to SiO 2 as a hard mask is reduced to about 30, and as shown in FIG. Spreads out.

【0041】以上の様に、酸素系のガスによるプラズマ
では、有機低誘電率絶縁膜への酸素吸着による膜質の劣
化を避けることができないため、引き続き行われるタン
グステンプラグ等の導電体の成膜プロセス中に酸素が脱
離することが原因による成膜異常が発生してしまうこと
もある。
As described above, since the deterioration of the film quality due to the adsorption of oxygen to the organic low-dielectric-constant insulating film cannot be avoided by the plasma using the oxygen-based gas, the film forming process of the conductor such as a tungsten plug is continuously performed. In some cases, film formation abnormalities may occur due to desorption of oxygen.

【0042】また、NH3系のプラズマを用いた場合、
高速でエッチングするとハードマスクであるSiO2
の選択比が低下してエッチング形状が崩れてしまい、S
iO2に対して高選択比で有機絶縁膜をエッチングする
とエッチング速度が実用上不十分な値になってしまうこ
とがある。
When NH 3 -based plasma is used,
When etching is performed at a high speed, the selectivity with respect to SiO 2 as a hard mask is reduced, and the etched shape is destroyed.
When the organic insulating film is etched with a high selectivity to iO 2 , the etching rate may become a practically insufficient value.

【0043】そこで、マスクに対するより高い選択比と
より高速なエッチングとを両立するには、有機低誘電率
膜上に中間層及びパターニングされたレジスト層を形成
し、中間層にフォトレジストのパターンを転写し、窒素
と水素の何れかを含むガスのプラズマを用いて前記有機
低誘電率膜をエッチングする工程において、該中間層の
一部に金属又は金属の窒化物を用いるドライエッチング
方法を採用することがよい。
Therefore, in order to achieve both higher selectivity with respect to the mask and faster etching, an intermediate layer and a patterned resist layer are formed on the organic low dielectric constant film, and a photoresist pattern is formed on the intermediate layer. In the step of transferring and etching the organic low dielectric constant film using plasma of a gas containing any of nitrogen and hydrogen, a dry etching method using a metal or a metal nitride for a part of the intermediate layer is adopted. Good.

【0044】図4(a)に、SIPを用いたNH3ガス
によるSiO2とAlのエッチング速度のVpp依存性
を、図4(b)にSIPを用いたNH3ガスによるSi
2とAlの有機低誘電率膜に対する選択比のVpp依
存性を示す。図4(a)より、Alのエッチング速度は
SiO2のエッチング速度の1/5以下であり、Vpp
/2が約600Vの条件でも、エッチング速度は約5n
m/min程度と、Arによるスパッタリング速度に近
い値になる。即ち、NH3プラズマによるAlのエッチ
ングは、イオンによる完全なスパッタリング反応である
のに対し、SiO2のエッチングは、何らかの化学反応
が関与している可能性が高いと言える。上記事実は、N
2によるSiO2エッチング速度に若干の温度依存性があ
るという点でも裏付けられている。反応のメカニズムは
未だ明らかになっていないが、N2及びNH3プラズマの
何れにおいても、SiO2のエッチング速度が、Arに
よるスパッタリング速度より一桁以上大きいことから、
N原子がエッチング反応に寄与している可能性が高いと
考えられる。また、図4(b)を見れば明らかな様に、
Vpp/2が600Vの条件でSiO2マスクでは選択
比が約30であるのに対し、Alマスクでは200近い
選択比を実現している。これは、SiO2マスクでVp
p/2が350Vの時とほぼ同等の選択比であり、形状
維持には十分な選択比であると言える。
FIG. 4 (a) shows the Vpp dependence of the etching rate of SiO 2 and Al by NH 3 gas using SIP, and FIG. 4 (b) shows the dependency of Si on NH 3 gas using SIP.
5 shows the Vpp dependence of the selectivity of O 2 and Al to the organic low dielectric constant film. From FIG. 4A, the etching rate of Al is 1/5 or less of the etching rate of SiO 2 and Vpp
/ 2 is about 600 V, the etching rate is about 5 n
The value is about m / min, which is close to the sputtering rate by Ar. That is, it can be said that etching of Al by NH 3 plasma is a complete sputtering reaction by ions, whereas etching of SiO 2 is likely to involve some kind of chemical reaction. The above fact, N
This is also supported by the fact that the SiO 2 etching rate by 2 has some temperature dependence. Although the mechanism of the reaction has not been elucidated yet, in both N 2 and NH 3 plasmas, the etching rate of SiO 2 is at least one order of magnitude higher than the sputtering rate by Ar.
It is considered that there is a high possibility that N atoms contribute to the etching reaction. Also, as apparent from FIG. 4B,
Under the condition that Vpp / 2 is 600 V, the selectivity of the SiO 2 mask is about 30, whereas the selectivity of the Al mask is close to 200. This is, Vp in the SiO 2 mask
The selection ratio is almost the same as when p / 2 is 350 V, and it can be said that the selection ratio is sufficient for maintaining the shape.

【0045】以上の実験事実を元に考察すると、NH3
ガスを用いた有機低誘電率膜のエッチングにおけるハー
ドマスク(中間層)の材質は、NH3プラズマに対して
反応性のない材質であり、かつ既存の半導体製造プロセ
スとの適合性の高い材質が最もふさわしいと考えられ
る。上記条件を満たす材質としては、Al、Cu、T
i、Co、Ta、Pt及びW等の配線用金属、TiN、
WN及びTaN等のバリアメタル用金属窒化物が考えら
れる。一般的に金属の窒化物は、融点が2000℃以上
の高融点化合物となり、例えば、AlN:2700℃、
TiN:3200℃と非常に高温まで安定である。そこ
で、仮にエッチング中の金属表面に窒化物が生成して
も、金属表面に不動態膜として留まり、決して反応生成
物として揮発することはない。また、TiNやTaN等
の金属窒化物は、これ以上窒素との化学反応(窒化)を
起こすことはない。即ち、上記材料のNH3プラズマに
よるエッチングは、物理的なスパッタリングのみによっ
て起こると言うことができる。
Considering the above experimental facts, NH 3
The material of the hard mask (intermediate layer) in the etching of the organic low dielectric constant film using the gas is a material that is not reactive to NH 3 plasma and has high compatibility with the existing semiconductor manufacturing process. Considered most appropriate. Materials satisfying the above conditions include Al, Cu, T
wiring metal such as i, Co, Ta, Pt and W, TiN,
Metal nitrides for barrier metals such as WN and TaN are conceivable. Generally, a metal nitride is a high melting point compound having a melting point of 2000 ° C. or more, for example, AlN: 2700 ° C.
TiN: stable up to a very high temperature of 3200 ° C. Therefore, even if nitrides are formed on the metal surface during etching, they remain on the metal surface as a passive film and never volatilize as a reaction product. In addition, metal nitrides such as TiN and TaN do not cause any further chemical reaction (nitridation) with nitrogen. That is, it can be said that the etching of the above-mentioned material by NH 3 plasma occurs only by physical sputtering.

【0046】以上、NH3プラズマを用いてより好まし
い実施形態について説明してきたが、N2/H2系のガス
であれば、いかなるガス系においても同様の効果を得る
ことができる。N2/H2系のガスとしては、前述したよ
うにNH3の他にN2、H2、N2/H2の混合ガス、N2
4等が考えられる。
Although the preferred embodiment has been described using NH 3 plasma, the same effect can be obtained with any gas system as long as it is an N 2 / H 2 system gas. N The 2 / H 2 based gas, in addition to a mixed gas of N 2, H 2, N 2 / H 2 of NH 3 as described above, N 2 H
4 mag is conceivable.

【0047】再び、図1を参照して本発明の好適な実施
形態について述べる。本形態は、上記金属膜を有機低誘
電率膜エッチングのハードマスクとして使用した場合
の、シングルダマシンプロセスである。図において、1
はフォトレジストマスク、12はハードマスク用金属膜
(中間層)、2はCVD−SiO2膜(中間層)、3は
有機低誘電率膜、7はストッパーSiN膜、4は第1層
金属配線、5は配線溝又はビアホール、8は第2層配線
用金属膜である。
Referring again to FIG. 1, a preferred embodiment of the present invention will be described. This embodiment is a single damascene process in which the metal film is used as a hard mask for etching an organic low dielectric constant film. In the figure, 1
Denotes a photoresist mask, 12 denotes a metal film for a hard mask (intermediate layer), 2 denotes a CVD-SiO 2 film (intermediate layer), 3 denotes an organic low dielectric constant film, 7 denotes a stopper SiN film, and 4 denotes a first layer metal wiring. Reference numeral 5 denotes a wiring groove or a via hole, and reference numeral 8 denotes a second-layer wiring metal film.

【0048】まず、第1層金属配線形成工程の終了後、
ビアホールエッチングのストッパー用のSiN膜7、有
機低誘電率膜3、CVD−SiO2膜2、ハードマスク
用金属膜12を順に成膜し、その上にフォトレジストマ
スク1を形成する{図1(a)}。SiN膜7及びSi
2膜2は、プラズマCVD法を用いて形成される。ま
た、有機低誘電率膜3は、回転塗布法により形成され
る。ハードマスク用金属膜12は、後で除去してもよい
膜であり、膜の配向性や抵抗率等の特性には特にこだわ
らないので、成膜方法としてはスパッタ法、CVD法及
び蒸着法等の何れの方法を用いても構わない。また、金
属表面からの反射で微細なフォトレジストパターンの形
成が困難な場合は、必要に応じて反射防止膜の成膜を行
ってもよい。
First, after the first layer metal wiring forming step is completed,
A SiN film 7 for a stopper for via hole etching, an organic low dielectric constant film 3, a CVD-SiO 2 film 2, and a metal film 12 for a hard mask are sequentially formed, and a photoresist mask 1 is formed thereon {FIG. a)}. SiN film 7 and Si
The O 2 film 2 is formed using a plasma CVD method. The organic low dielectric constant film 3 is formed by a spin coating method. The metal film 12 for the hard mask is a film that may be removed later, and is not particularly concerned with characteristics such as the orientation and resistivity of the film. Any of the above methods may be used. If it is difficult to form a fine photoresist pattern due to reflection from the metal surface, an anti-reflection film may be formed as needed.

【0049】次に、レジスト1をマスクとして、ハード
マスク用金属膜12のエッチングを行う。エッチングガ
スは、例えば金属膜がAlの場合にはCl2/BCl3
混合ガス、TiやTiNの場合にはCF4やSF6等のフ
ッ素系ガスを用いれば容易にドライエッチングすること
ができる。
Next, using the resist 1 as a mask, the hard mask metal film 12 is etched. The etching gas can be easily dry-etched by using a mixed gas of Cl 2 / BCl 3 when the metal film is Al, or a fluorine-based gas such as CF 4 or SF 6 when the metal film is Ti or TiN. .

【0050】次に、レジスト1及び金属膜12をマスク
として、SiO2膜2のエッチングを行う。エッチング
ガスは、例えばC48/CO/Arの混合ガスを用いれ
ば、容易にエッチングすることができる{図1
(b)}。
Next, the SiO 2 film 2 is etched using the resist 1 and the metal film 12 as a mask. For example, if a mixed gas of C 4 F 8 / CO / Ar is used as the etching gas, the etching can be easily performed.
(B)}.

【0051】次に、N2/H2系のガスを用い、高いバイ
アス電圧の条件で有機低誘電率膜3のエッチングを行う
{図1(c)}。この時、エッチング初期にはレジスト
1/金属ハードマスク12/SiO2ハードマスク2の
3層がマスクとなるが、N2/H2系ガスでは有機絶縁膜
3とほとんど同じ主成分をもつレジスト1のエッチング
速度は非常に速いため、エッチング途中で金属ハードマ
スク12が露出する。ここで、金属ハードマスク12が
ない場合は、露出したSiO2ハードマスク2がエッチ
ングされ、図3に示したようにエッチング形状異常が発
生する。しかし、金属ハードマスク12を用いること
で、高いVppの条件においてもマスクのエッチング速
度が非常に低くなるため、マスクのファセッティングに
よる形状異常は発生しない。有機低誘電率膜エッチング
終了後、エッチストッパーのSiN膜7を、CF4系ガ
スのプラズマを用いてエッチングする。必要に応じてウ
エハを洗浄した後、スパッタ法、CVD法又はめっき法
等を用いて第2層配線用金属膜8を成膜する{図1
(d)}。
Next, the organic low dielectric constant film 3 is etched using a N 2 / H 2 gas under a condition of a high bias voltage {FIG. 1 (c)}. At this time, three layers of resist 1 / metal hard mask 12 / SiO 2 hard mask 2 are used as masks in the initial stage of etching, but with N 2 / H 2 based gas, resist 1 having almost the same main component as organic insulating film 3 is used. Is very fast, so that the metal hard mask 12 is exposed during the etching. Here, when there is no metal hard mask 12, the exposed SiO 2 hard mask 2 is etched, and an abnormal etching shape occurs as shown in FIG. However, when the metal hard mask 12 is used, the etching rate of the mask becomes extremely low even under the condition of high Vpp, so that the shape abnormality due to the faceting of the mask does not occur. After the etching of the organic low dielectric constant film is completed, the SiN film 7 serving as an etch stopper is etched using plasma of a CF 4 gas. After cleaning the wafer if necessary, a second-layer wiring metal film 8 is formed using a sputtering method, a CVD method, a plating method, or the like.
(D)}.

【0052】最後に、エッチングやCMP法を用いて、
溝の外の金属膜を除去する。この際に、金属ハードマス
クも同時に除去する{図1(e)}。この時、SiO2
ハードマスク2は、CMPのストッパー膜として作用す
る。
Finally, using etching or CMP,
The metal film outside the groove is removed. At this time, the metal hard mask is also removed simultaneously (FIG. 1E). At this time, SiO 2
The hard mask 2 functions as a CMP stopper film.

【0053】以上、本発明のエッチング方法をシングル
ダマシンプロセスを用いて説明したが、同様の手順を繰
り返すことによって、デュアルダマシンプロセスにも適
用することが可能である。
Although the etching method of the present invention has been described using a single damascene process, it can be applied to a dual damascene process by repeating the same procedure.

【0054】図5を参照して本発明の実施形態にするエ
ッチング方法を用いたデュアルダマシン法による配線形
成工程を含む素子の製造方法について説明する。
Referring to FIG. 5, a description will be given of a method of manufacturing an element including a wiring forming step by a dual damascene method using an etching method according to an embodiment of the present invention.

【0055】素子が形成された基体上に、W、Cu、バ
イアメタル等からなる第1の金属配線層14、SiNや
SiO等からなるストッパー層17、有機低誘電膜3、
ハードマスクとなる中間層2及び12を形成する。そし
て、不図示のレジストマスクを用いたエッチングによ
り、コンタクトホール15を形成し、その後、配線溝1
6の形成用のパターニングされたレジストマスク1を形
成する。こうして、図5(a)に示す構造体が得られ
る。
A first metal wiring layer 14 made of W, Cu, via metal or the like, a stopper layer 17 made of SiN or SiO, an organic low dielectric film 3,
The intermediate layers 2 and 12 to be a hard mask are formed. Then, a contact hole 15 is formed by etching using a resist mask (not shown).
A patterned resist mask 1 for forming 6 is formed. Thus, the structure shown in FIG. 5A is obtained.

【0056】そして、レジストマスク1から露出した中
間層としての金属膜12をエッチング除去し、次に中間
層としてSiO膜2をエッチング除去する。
Then, the metal film 12 as an intermediate layer exposed from the resist mask 1 is removed by etching, and then the SiO 2 film 2 as an intermediate layer is removed by etching.

【0057】更に、上層の有機低誘電膜3をエッチング
除去して、図5(b)に示す構造体を得る。
Further, the upper organic low dielectric film 3 is removed by etching to obtain a structure shown in FIG.

【0058】必要に応じて、クリーニングを行った後、
CVDやスパッタリング等によりバイアメタル18を形
成する。バイアメタル18と金属膜12とに同じ材料を
用いるとよい。そして、CVD、スパッタリングあるい
はメッキによりCu等からなる導電体8をホール15
内、溝16内及びバイアメタル18上に堆積させる。
After cleaning as required,
The via metal 18 is formed by CVD, sputtering, or the like. The same material may be used for the via metal 18 and the metal film 12. Then, a conductor 8 made of Cu or the like is inserted into the hole 15 by CVD, sputtering or plating.
And on the via metal 18.

【0059】その後、エッチングやCMPにより、酸化
シリコン膜2の上方にあった金属膜12、バイアメタ
ル、導電体を除去して、ホール15及び溝16内にのみ
導電体8を残す。こうして、図5(c)に示すような構
造体が得られる。
After that, the metal film 12, the via metal, and the conductor that were above the silicon oxide film 2 are removed by etching or CMP, and the conductor 8 is left only in the holes 15 and the trench 16. Thus, a structure as shown in FIG. 5C is obtained.

【0060】各層のより詳しい形成方法やエッチング方
法は前述した通りである。
The method of forming each layer and the method of etching in detail are as described above.

【0061】本発明のエッチング方法は、有機低誘電率
膜を高速でエッチングすることができる装置において、
その優位性が顕著になる。即ち、ヘリコン波或いはIC
Pといったプラズマ源では、有機低誘電率膜のエッチン
グ速度が高々400nm/minであり、所謂“低速高
選択比”のプロセスしか実現することができない。“低
速高選択比”の条件では、SiO2ハードマスクでも金
属ハードマスクと同等のエッチング形状を実現できる
が、エッチング速度が遅いという大きな欠点がある。一
方、SIPを用いた場合、800nm/min以上とい
う高速のエッチングを行うことが可能であるが、SiO
2ハードマスクがエッチングされ、図3に示したよう
に、孔の口径の広がりが発生してしまう。そこで、SI
Pの高速エッチング条件と、メタルハードマスクを併用
することにより、初めて高速且つマスクに対する高選択
比の両特性を同時に実現することが可能となる。
The etching method of the present invention provides an apparatus capable of etching an organic low dielectric constant film at high speed,
Its advantage becomes remarkable. That is, helicon wave or IC
With a plasma source such as P, the etching rate of the organic low dielectric constant film is at most 400 nm / min, and only a process of a so-called “low speed and high selectivity” can be realized. Under the condition of “low speed and high selectivity”, an etching shape equivalent to a metal hard mask can be realized even with a SiO 2 hard mask, but there is a major drawback that the etching rate is low. On the other hand, when SIP is used, high-speed etching of 800 nm / min or more can be performed.
(2) The hard mask is etched, and as shown in FIG. 3, the diameter of the hole widens. Then, SI
By using both the high-speed etching condition of P and the metal hard mask, it is possible for the first time to simultaneously realize both the characteristics of high speed and high selectivity to the mask.

【0062】有機低誘電率膜は、ポリアリールエーテル
又はフッ素化ポリアリールエーテルであることが好まし
いが、特には非フッ素化ポリアリールエーテルであるこ
とが好ましい。具体的には、ダウケミカル社製の「Si
LKTM」(比誘電率2.65)アライドシグナル社製
「FLARETM」(比誘電率2.8)である。
The organic low dielectric constant film is preferably a polyarylether or a fluorinated polyarylether, and particularly preferably a non-fluorinated polyarylether. Specifically, “Si” manufactured by Dow Chemical Company
“LK ” (relative dielectric constant 2.65) “FLARE ” (relative dielectric constant 2.8) manufactured by Allied Signal.

【0063】[0063]

【実施例】以下に、実施例を挙げて本発明のプラズマ処
理方法をより具体的に説明するが、本発明はこれら実施
例に限定されるものではない。
EXAMPLES Hereinafter, the plasma processing method of the present invention will be described more specifically with reference to examples, but the present invention is not limited to these examples.

【0064】(実施例1)本発明の第1の実施例とし
て、金属ハードマスクにAlを使用した例を示す。ま
た、有機低誘電率膜は、ポリアリールエーテル(アライ
ドシグナル社製 商品名:FLARETM)を使用した。
まず、図1(a)に示した断面構造を持つ8インチウエ
ハを準備した。各膜の膜厚は、ストッパーSiN膜10
0nm、有機低誘電率膜の膜厚600nm、SiO2
200nm、Al膜100nm、フォトレジストマスク
は670nmであった。また、フォトレジストマスクに
は、0.2μmのホールパターンをウエハ全面にわたっ
てパターニングした。このウエハを、不図示の表面波干
渉型プラズマ源(SIP)を搭載したエッチング装置に
入れ、処理室内を1×10-3Paまで真空排気した後、
金属ハードマスクであるAl膜のエッチングを行った。
エッチング条件は、以下の通りであった。
Embodiment 1 As a first embodiment of the present invention, an example in which Al is used for a metal hard mask will be described. As the organic low dielectric constant film, polyaryl ether (trade name: FLARE manufactured by Allied Signal Inc.) was used.
First, an 8-inch wafer having the cross-sectional structure shown in FIG. 1A was prepared. The thickness of each film is determined by the stopper SiN film 10
0 nm, the thickness of the organic low dielectric constant film was 600 nm, the SiO 2 film was 200 nm, the Al film was 100 nm, and the photoresist mask was 670 nm. In addition, a 0.2 μm hole pattern was patterned on the entire surface of the wafer in the photoresist mask. The wafer is placed in an etching apparatus equipped with a surface wave interference type plasma source (SIP) (not shown), and the processing chamber is evacuated to 1 × 10 −3 Pa,
An Al film serving as a metal hard mask was etched.
The etching conditions were as follows.

【0065】 ガス種・流量:Cl2/BCl3=60/40sccm 圧力:3Pa マイクロ波電力:1.5KW RFバイアス:300WGas type / flow rate: Cl 2 / BCl 3 = 60/40 sccm Pressure: 3 Pa Microwave power: 1.5 kW RF bias: 300 W

【0066】上記条件で17秒間エッチングを行い、レ
ジストマスクから露出していたAl膜を全て除去した。
エッチングの終点は、Alの発光(波長396nm)を
用いて判定した。エッチング終点検出後、20%のオー
バーエッチングを実施した。
Etching was performed for 17 seconds under the above conditions to remove all the Al film exposed from the resist mask.
The end point of the etching was determined using the emission of Al (wavelength: 396 nm). After the etching end point was detected, 20% over-etching was performed.

【0067】次に、ウエハを真空に保持したまま別の処
理室に移動し、処理室内を1×10 -3Paまで真空排気
した後、レジストマスクから露出したハードマスクであ
るSiO2膜のエッチングを行った。エッチング条件
は、以下の通りであった。
Next, another processing is performed while the wafer is held in a vacuum.
Move to the treatment room, 1 × 10 -3Pumping down to Pa
After cleaning, use the hard mask exposed from the resist mask.
SiOTwoThe film was etched. Etching conditions
Was as follows.

【0068】 ガス種・流量:C48/Ar=15/185sccm 圧力:15Pa マイクロ波電力:1.5KW RFバイアス電力:350WGas type / flow rate: C 4 F 8 / Ar = 15/185 sccm Pressure: 15 Pa Microwave power: 1.5 kW RF bias power: 350 W

【0069】上記条件で30秒間エッチングを行い、レ
ジストマスクから露出していたSiO2膜を全てエッチ
ング除去した。エッチングの終点は、SiFの発光(波
長640nm)を用いて判定した。
Etching was performed for 30 seconds under the above conditions, and all the SiO 2 film exposed from the resist mask was removed by etching. The end point of the etching was determined using the light emission of SiF (wavelength 640 nm).

【0070】SiO2エッチング終了後、ウエハを真空
に保持したまま別の処理室に移動し、処理室内を1×1
-3Paまで真空排気した後、有機低誘電率膜の膜のエ
ッチングを行った。エッチング条件は、以下の通りであ
った。
After the completion of the SiO 2 etching, the wafer was moved to another processing chamber while keeping the wafer in a vacuum, and the inside of the processing chamber was 1 × 1.
After evacuation to 0 −3 Pa, the organic low dielectric constant film was etched. The etching conditions were as follows.

【0071】ガス種・流量:NH3=200sccm 圧力:1Pa マイクロ波電力:2.5KW LFバイアス周波数:1.5MHz LFバイアス電力:600WGas type / flow rate: NH 3 = 200 sccm Pressure: 1 Pa Microwave power: 2.5 kW LF bias frequency: 1.5 MHz LF bias power: 600 W

【0072】上記条件で60秒間エッチングを行い、ハ
ードマスクから露出した有機低誘電率膜の膜を全てエッ
チング除去した。エッチングの終点は、CNの発光(波
長388nm)を用いて判定した。処理終了後、ウエハ
の断面をSEMを用いて観察したが、ハードマスクのフ
ァセッティングによるホール径の広がりは全く観察され
なかった。
Etching was performed for 60 seconds under the above conditions, and all of the organic low dielectric constant film exposed from the hard mask was removed by etching. The end point of the etching was determined using the emission of CN (wavelength: 388 nm). After the processing was completed, the cross section of the wafer was observed using an SEM, but no increase in the hole diameter due to faceting of the hard mask was observed.

【0073】(実施例2)本発明の第2の実施例とし
て、金属ハードマスクにTiNを使用した例を示す。ま
た、有機低誘電率膜は、実施例1と同じくポリアリール
エーテル(アライドシグナル社製 商品名:FLARE
TM)を使用した。まず、図1(a)に示した断面構造を
持つ8インチウエハを準備した。各膜の膜厚は、ストッ
パーSiN膜100nm、有機低誘電率膜の膜厚600
nm、SiO2膜200nm、TiN膜120nm、フ
ォトレジストマスクは670nmであった。また、フォ
トレジストマスクには、0.2μmのホールパターンを
ウエハ全面にわたってパターンニングした。このウエハ
を、不図示のSIPを搭載したエッチング装置に入れ、
処理室内を1×10-3Paまで真空排気した後、金属ハ
ードマスクであるTiN膜のエッチングを行った。エッ
チング条件は、以下の通りであった。
(Embodiment 2) As a second embodiment of the present invention, an example in which TiN is used for a metal hard mask will be described. Further, the organic low dielectric constant film was made of polyaryl ether (trade name: FLARE, manufactured by Allied Signal Inc.) as in Example 1.
TM ) was used. First, an 8-inch wafer having the cross-sectional structure shown in FIG. 1A was prepared. The thickness of each film is 100 nm for the stopper SiN film and 600 for the organic low dielectric constant film.
nm, the SiO 2 film was 200 nm, the TiN film was 120 nm, and the photoresist mask was 670 nm. The photoresist mask was patterned with a 0.2 μm hole pattern over the entire surface of the wafer. This wafer is put into an etching apparatus (not shown) equipped with SIP,
After evacuating the processing chamber to 1 × 10 −3 Pa, the TiN film serving as a metal hard mask was etched. The etching conditions were as follows.

【0074】 ガス種・流量:Cl2/BCl3=30/70sccm 圧力:3Pa マイクロ波電力:1.5KW RFバイアス:450WGas type / flow rate: Cl 2 / BCl 3 = 30/70 sccm Pressure: 3 Pa Microwave power: 1.5 kW RF bias: 450 W

【0075】上記条件で30秒間エッチングを行い、レ
ジストマスクから露出したTiN膜を全て除去した。
Etching was performed for 30 seconds under the above conditions to remove all the TiN film exposed from the resist mask.

【0076】次に、ウエハを真空に保持したまま別の処
理室に移動し、処理室内を1×10 -3Paまで真空排気
した後、ハードマスクであるSiO2膜のエッチングを
行った。エッチング条件は、以下の通りであった。
Next, another processing is performed while the wafer is kept in a vacuum.
Move to the treatment room, 1 × 10 -3Pumping down to Pa
Then, the hard mask SiOTwoFilm etching
went. The etching conditions were as follows.

【0077】 ガス種・流量:C48/Ar=15/185sccm 圧力:15Pa マイクロ波電力:1.5KW RFバイアス電力:350WGas type / flow rate: C 4 F 8 / Ar = 15/185 sccm Pressure: 15 Pa Microwave power: 1.5 kW RF bias power: 350 W

【0078】上記条件で30秒間エッチングを行い、レ
ジストマスクから露出したSiO2膜を全てエッチング
除去した。エッチングの終点は、SiFの発光(波長6
40nm)を用いて判定した。
The etching was performed for 30 seconds under the above conditions, and the entire SiO 2 film exposed from the resist mask was removed by etching. The end point of the etching is the emission of SiF (wavelength 6
40 nm).

【0079】SiO2エッチング終了後、ウエハを真空
の保持したまま別の処理室に移動し、処理室内を1×1
-3Paまで真空排気した後、有機低誘電率膜の膜のエ
ッチングを行った。エッチング条件は、以下の通りであ
った。
After the completion of the SiO 2 etching, the wafer was moved to another processing chamber while maintaining the vacuum, and the processing chamber was set to 1 × 1
After evacuation to 0 −3 Pa, the organic low dielectric constant film was etched. The etching conditions were as follows.

【0080】ガス種・流量:NH3=200sccm 圧力:1Pa マイクロ波電力:2.5KW LFバイアス周波数:1.5MHz LFバイアス電力:600WGas type / flow rate: NH 3 = 200 sccm Pressure: 1 Pa Microwave power: 2.5 kW LF bias frequency: 1.5 MHz LF bias power: 600 W

【0081】上記条件で60秒間エッチングを行い、ハ
ードマスクから露出した有機低誘電率膜の膜を全てエッ
チング除去した。エッチングの終点は、CNの発光(波
長388nm)を用いて判定した。処理終了後、ウエハ
の断面をSEMを用いて観察したが、ハードマスクのフ
ァセッティングによるホール径の広がりは全く観察され
なかった。
Etching was performed for 60 seconds under the above conditions, and all of the organic low dielectric constant film exposed from the hard mask was removed by etching. The end point of the etching was determined using the emission of CN (wavelength: 388 nm). After the processing was completed, the cross section of the wafer was observed using an SEM, but no increase in the hole diameter due to faceting of the hard mask was observed.

【0082】(実施例3)本発明の第3の実施例とし
て、金属ハードマスクにTiNを使用し、N2ガスを用
いてエッチングした例を示す。また、有機低誘電率膜
は、実施例1と同じくポリアリールエーテル(アライド
シグナル社製 商品名:FLARETM)を使用した。ま
ず、図1(a)に示した断面構造を持つ8インチウエハ
を準備した。各膜の膜厚は、ストッパーSiN膜100
nm、有機低誘電率膜の膜厚600nm、SiO2膜2
00nm、TiN膜120nm、フォトレジストマスク
は670nmであった。また、フォトレジストマスクに
は、0.2μmのホールパターンをウエハ全面にわたっ
てパターンニングした。このウエハを、不図示のSIP
を搭載したエッチング装置に入れ、処理室内を1×10
-3Paまで真空排気した後、金属ハードマスクであるT
iN膜のエッチングを行った。エッチング条件は、以下
の通りであった。
(Embodiment 3) As a third embodiment of the present invention, an example in which TiN is used for a metal hard mask and etching is performed using N 2 gas will be described. As the organic low dielectric constant film, a polyarylether (trade name: FLARE manufactured by Allied Signal Inc.) was used as in Example 1. First, an 8-inch wafer having the cross-sectional structure shown in FIG. 1A was prepared. The thickness of each film is determined by the stopper SiN film 100
nm, thickness of organic low dielectric constant film 600 nm, SiO 2 film 2
The thickness was 00 nm, the TiN film was 120 nm, and the photoresist mask was 670 nm. The photoresist mask was patterned with a 0.2 μm hole pattern over the entire surface of the wafer. This wafer is transferred to a SIP (not shown).
Into an etching apparatus equipped with
After evacuation to -3 Pa, the metal hard mask T
The iN film was etched. The etching conditions were as follows.

【0083】 ガス種・流量:Cl2/BCl3=30/70sccm 圧力:3Pa マイクロ波電力:1.5KW RFバイアス:450WGas type / flow rate: Cl 2 / BCl 3 = 30/70 sccm Pressure: 3 Pa Microwave power: 1.5 kW RF bias: 450 W

【0084】上記条件で30秒間エッチングを行い、レ
ジストマスクから露出したTiN膜を全て除去した。
Etching was performed for 30 seconds under the above conditions to remove all the TiN film exposed from the resist mask.

【0085】次に、ウエハを真空に保持したまま別の処
理室に移動し、処理室内を1×10 -3Paまで真空排気
した後、ハードマスクであるSiO2膜のエッチングを
行った。エッチング条件は、以下の通りであった。
Next, another processing is performed while the wafer is held in a vacuum.
Move to the treatment room, 1 × 10 -3Pumping down to Pa
Then, the hard mask SiOTwoFilm etching
went. The etching conditions were as follows.

【0086】 ガス種・流量:C48/Ar=15/185sccm 圧力:15Pa マイクロ波電力:1.5KW RFバイアス電力:350WGas type / flow rate: C 4 F 8 / Ar = 15/185 sccm Pressure: 15 Pa Microwave power: 1.5 kW RF bias power: 350 W

【0087】上記条件で30秒間エッチングを行い、レ
ジストマスクから露出したSiO2膜を全てエッチング
除去した。エッチングの終点は、SiFの発光(波長6
40nm)を用いて判定した。
The etching was performed for 30 seconds under the above conditions, and the entire SiO 2 film exposed from the resist mask was removed by etching. The end point of the etching is the emission of SiF (wavelength 6
40 nm).

【0088】SiO2エッチング終了後、ウエハを真空
の保持したまま別の処理室に移動し、処理室内を1×1
-3Paまで真空排気した後、有機低誘電率膜の膜のエ
ッチングを行った。エッチング条件は、以下の通りであ
った。
After completion of the SiO 2 etching, the wafer was moved to another processing chamber while maintaining the vacuum, and the processing chamber was set to 1 × 1
After evacuation to 0 −3 Pa, the organic low dielectric constant film was etched. The etching conditions were as follows.

【0089】ガス種・流量:N2=200sccm 圧力:1Pa マイクロ波電力:2.5KW LFバイアス周波数:1.5MHz LFバイアス電力:800WGas type / flow rate: N 2 = 200 sccm Pressure: 1 Pa Microwave power: 2.5 kW LF bias frequency: 1.5 MHz LF bias power: 800 W

【0090】上記条件で90秒間エッチングを行い、ハ
ードマスクから露出した有機低誘電率膜の膜を全てエッ
チング除去した。エッチングの終点は、CNの発光(波
長388nm)を用いて判定した。処理終了後、ウエハ
の断面をSEMを用いて観察した。エッチングの終点時
間から判断すると、N2プラズマのエッチング速度はN
3に比べて約30%低下していたが、ハードマスクの
ファセッティングによるホール径の広がりは全く観察さ
れなかった。
The etching was performed for 90 seconds under the above conditions, and all the organic low dielectric constant films exposed from the hard mask were removed by etching. The end point of the etching was determined using the emission of CN (wavelength: 388 nm). After the processing, the cross section of the wafer was observed using SEM. Judging from the end time of the etching, the etching rate of the N 2 plasma is N
Although it was about 30% lower than that of H 3 , no increase in the hole diameter due to faceting of the hard mask was observed.

【0091】[0091]

【発明の効果】以上説明したように、本発明によれば、
ハードマスクに金属又は金属の化合物を用いることによ
り、N2/H2系ガスのプラズマを利用して、有機低誘電
率膜のエッチングを行っても、ハードマスクのファセッ
ティングによるホール径の広がり等の形状異常が発生し
ないドライエッチング方法を提供することが可能となっ
た。
As described above, according to the present invention,
By using a metal or a metal compound for the hard mask, even if etching of the organic low dielectric constant film is performed using plasma of N 2 / H 2 gas, the hole diameter is increased due to faceting of the hard mask. It has become possible to provide a dry etching method that does not cause any abnormal shape.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明を金属配線形成工程に適用した際のプロ
セスフローを示した図である。
FIG. 1 is a view showing a process flow when the present invention is applied to a metal wiring forming step.

【図2】従来例であるSiO2ハードマスクと有機低誘
電率膜のエッチング特性を示した図である。 (a)有機低誘電率膜のエッチング速度のVpp依存
性。 (b)SiO2のエッチング速度のVpp依存性。 (c)SiO2の対有機低誘電率膜選択比のVpp依存
性。
FIG. 2 is a diagram showing etching characteristics of a conventional SiO 2 hard mask and an organic low dielectric constant film. (A) Vpp dependence of etching rate of organic low dielectric constant film. (B) Vpp dependence of the etching rate of SiO 2 . (C) Vpp dependence of selectivity ratio of SiO 2 to organic low dielectric constant film.

【図3】従来例であるSiO2ハードマスクを用いた場
合の、ビアホールのエッチング形状を模式的に示した図
である。
FIG. 3 is a diagram schematically showing an etched shape of a via hole when a conventional SiO 2 hard mask is used.

【図4】本発明の金属ハードマスク(Al)と従来例の
SiO2ハードマスクの、エッチング速度及び対有機低
誘電率膜選択比のVpp依存性を比較した図である。 (a)金属ハードマスク(Al)とSiO2ハードマス
クのエッチング速度のVpp依存性の比較図。 (b)金属ハードマスク(Al)とSiO2ハードマス
クの対有機低誘電率膜選択比のVpp依存性の比較図。
FIG. 4 is a diagram comparing the Vpp dependence of an etching rate and a selectivity ratio of an organic low dielectric constant film between a metal hard mask (Al) of the present invention and a conventional SiO 2 hard mask. (A) Comparison diagram of Vpp dependence of etching rate of metal hard mask (Al) and SiO 2 hard mask. (B) Comparison diagram of Vpp dependence of selectivity of organic low-k film with respect to metal hard mask (Al) and SiO 2 hard mask.

【図5】本発明をデュアルダマシン法による金属配線形
成工程に適用した際のプロセスフローを示した図であ
る。
FIG. 5 is a view showing a process flow when the present invention is applied to a metal wiring forming step by a dual damascene method.

【図6】従来例の有機低誘電率膜エッチングのプロセス
フローを示した図である。
FIG. 6 is a diagram showing a process flow of etching a conventional organic low-k film.

【符号の説明】[Explanation of symbols]

1 レジストマスク 2 SiO2膜 3 有機膜 4 第1層金属配線 5 配線溝(ビアホール) 6 側壁保護膜 7 ストッパー膜 8 導電体 12 金属膜 14 第1層金属配線 15 ホール 16 配線溝 17 ストッパー層 18 バリアメタルREFERENCE SIGNS LIST 1 resist mask 2 SiO 2 film 3 organic film 4 first layer metal wiring 5 wiring groove (via hole) 6 side wall protection film 7 stopper film 8 conductor 12 metal film 14 first layer metal wiring 15 hole 16 wiring groove 17 stopper layer 18 Barrier metal

フロントページの続き Fターム(参考) 4M104 BB02 BB14 BB17 BB25 BB27 BB30 BB32 BB33 CC01 DD08 DD16 DD17 DD20 DD22 DD37 DD43 DD52 DD53 DD65 DD71 DD72 DD75 EE08 EE12 EE14 EE18 FF13 FF17 FF18 FF22 HH14 5F004 CA06 DA03 DA04 DA11 DA24 DA25 DB08 DB09 DB10 DB12 DB23 EA03 EA05 EA06 EA23 EA28 EB01 EB03 5F033 HH08 HH09 HH11 HH12 HH18 HH19 HH21 HH27 HH32 HH33 HH34 JJ01 JJ08 JJ09 JJ11 JJ12 JJ19 JJ27 JJ30 JJ32 JJ33 JJ34 KK08 KK11 KK33 MM01 MM02 MM05 MM12 MM13 NN06 NN07 PP06 PP15 PP19 PP27 PP28 QQ08 QQ09 QQ10 QQ11 QQ12 QQ20 QQ25 QQ27 QQ28 QQ30 QQ31 QQ37 QQ48 QQ49 QQ98 RR01 RR04 RR06 RR09 RR11 RR21 RR24 SS11 SS15 SS21 TT04 XX03 XX24Continued on front page F-term (reference) 4M104 BB02 BB14 BB17 BB25 BB27 BB30 BB32 BB33 CC01 DD08 DD16 DD17 DD20 DD22 DD37 DD43 DD52 DD53 DD65 DD71 DD72 DD75 EE08 EE12 EE14 EE18 FF13 FF17 FF18 FF22 DA11 DA06 DA06 DA06 DA06 DA06 DB10 DB11 QQ10 QQ11 QQ12 QQ20 QQ25 QQ27 QQ28 QQ30 QQ31 QQ37 QQ48 QQ49 QQ98 RR01 RR04 RR06 RR09 RR11 RR21 RR24 SS11 SS15 SS21 TT04 XX03 XX24

Claims (21)

【特許請求の範囲】[Claims] 【請求項1】 有機膜上に中間層及びパターニングされ
たレジスト層を形成し、該レジスト層から露出した該中
間層をエッチングした後、ガスのプラズマを用いて前記
有機膜をエッチングする有機膜のエッチング方法におい
て、該中間層が金属又は金属の化合物からなる層を含む
ことを特徴とする有機膜のエッチング方法。
An intermediate layer and a patterned resist layer are formed on an organic film, the intermediate layer exposed from the resist layer is etched, and then the organic film is etched using gas plasma. In the etching method, the intermediate layer includes a layer made of a metal or a metal compound.
【請求項2】 前記金属が、アルミニウム、銅、チタ
ン、コバルト、タンタル、プラチナ、クロム又はタング
ステンである請求項1に記載の有機膜のエッチング方
法。
2. The method according to claim 1, wherein the metal is aluminum, copper, titanium, cobalt, tantalum, platinum, chromium, or tungsten.
【請求項3】 前記金属の化合物が、窒化チタン、窒化
タングステン又は窒化タンタルである請求項1に記載の
有機膜のエッチング方法。
3. The method according to claim 1, wherein the metal compound is titanium nitride, tungsten nitride or tantalum nitride.
【請求項4】 前記ガスが、N2、H2、N2とH2の混合
ガス、NH3又はN24である請求項1に記載の有機膜
のエッチング方法。
4. The method according to claim 1, wherein the gas is N 2 , H 2 , a mixed gas of N 2 and H 2 , NH 3 or N 2 H 4 .
【請求項5】 前記プラズマは表面波干渉型プラズマで
ある請求項1に記載の有機膜のエッチング方法。
5. The method according to claim 1, wherein the plasma is a surface wave interference type plasma.
【請求項6】 前記有機膜が、ポリアリールエーテル又
はフッ素化ポリアリールエーテルである請求項1に記載
の有機膜のエッチング方法。
6. The method according to claim 1, wherein the organic film is a polyaryl ether or a fluorinated polyaryl ether.
【請求項7】 前記有機膜は酸化シリコンよりも比誘電
率の低い比誘電率材料からなる請求項1に記載の有機膜
のエッチング方法。
7. The method according to claim 1, wherein the organic film is made of a material having a relative dielectric constant lower than that of silicon oxide.
【請求項8】 前記有機膜は酸化シリコンよりも比誘電
率の低い比誘電率材料からなり、前記ガスは窒素又は水
素のうち少なくともいずれか一方を含むガスである請求
項1に記載の有機膜のエッチング方法。
8. The organic film according to claim 1, wherein the organic film is made of a material having a relative dielectric constant lower than that of silicon oxide, and the gas is a gas containing at least one of nitrogen and hydrogen. Etching method.
【請求項9】 前記中間層は前記有機膜に接する無機絶
縁層を含む請求項1に記載の有機膜のエッチング方法。
9. The method according to claim 1, wherein the intermediate layer includes an inorganic insulating layer in contact with the organic film.
【請求項10】 有機低誘電率膜上に中間層及びパター
ニングされたレジスト層を形成し、該レジスト層から露
出した該中間層をエッチングした後、窒素と水素の何れ
かを含むガスのプラズマを用いて前記有機低誘電率膜を
エッチングする有機膜のエッチング方法において、該中
間層が金属又は金属の窒化物からなる層を含むことを特
徴とする有機膜のエッチング方法。
10. An intermediate layer and a patterned resist layer are formed on an organic low-k film, and after etching the intermediate layer exposed from the resist layer, plasma of a gas containing any of nitrogen and hydrogen is applied. The method of etching an organic film, wherein the intermediate layer includes a layer made of a metal or a metal nitride.
【請求項11】 素子の製造方法において、 基体上に有機絶縁膜と中間層とパターニングされたレジ
スト膜を形成する工程、 該レジスト膜から露出した該中間層をエッチングした
後、ガスのプラズマを用いて該有機絶縁膜をエッチング
する工程、 該有機絶縁膜がエッチングされた部分に導電体を充填す
る工程、を含み、前記中間層が、金属又は金属の化合物
からなる層を含むことを特徴とする素子の製造方法。
11. A method of manufacturing a device, comprising: forming an organic insulating film, an intermediate layer, and a patterned resist film on a substrate; etching the intermediate layer exposed from the resist film; Etching the organic insulating film, filling the portion where the organic insulating film is etched with a conductor, wherein the intermediate layer includes a layer made of a metal or a metal compound. Device manufacturing method.
【請求項12】 前記導電体を充填した後、前記金属又
は金属の化合物からなる層を除去する請求項11に記載
の素子の製造方法。
12. The device manufacturing method according to claim 11, wherein after filling the conductor, the layer made of the metal or the metal compound is removed.
【請求項13】 前記金属が、アルミニウム、銅、チタ
ン、コバルト、タンタル、プラチナ、クロム又はタング
ステンである請求項11に記載の素子の製造方法。
13. The method according to claim 11, wherein the metal is aluminum, copper, titanium, cobalt, tantalum, platinum, chromium, or tungsten.
【請求項14】 前記金属の化合物が、窒化チタン、窒
化タングステン又は窒化タンタルである請求項11に記
載の素子の製造方法。
14. The method according to claim 11, wherein the metal compound is titanium nitride, tungsten nitride or tantalum nitride.
【請求項15】 前記ガスが、N2、H2、N2とH2の混
合ガス、NH3又はN24である請求項11に記載の素
子の製造方法。
15. The method according to claim 11, wherein the gas is N 2 , H 2 , a mixed gas of N 2 and H 2 , NH 3 or N 2 H 4 .
【請求項16】 前記プラズマは、表面波干渉型プラズ
マである請求項11に記載の素子の製造方法。
16. The method according to claim 11, wherein the plasma is a surface wave interference type plasma.
【請求項17】 前記有機膜が、ポリアリールエーテル
又はフッ素化ポリアリールエーテルである請求項11に
記載の素子の製造方法。
17. The method according to claim 11, wherein the organic film is a polyaryl ether or a fluorinated polyaryl ether.
【請求項18】 前記有機膜は酸化シリコンよりも比誘
電率の低い比誘電率材料からなる請求項11に記載の素
子の製造方法。
18. The method according to claim 11, wherein the organic film is made of a material having a relative dielectric constant lower than that of silicon oxide.
【請求項19】 前記有機膜は酸化シリコンよりも比誘
電率の低い比誘電率材料からなり、前記ガスは窒素又は
水素のうち少なくともいずれか一方を含むガスである請
求項11に記載の素子の製造方法。
19. The device according to claim 11, wherein the organic film is made of a material having a relative dielectric constant lower than that of silicon oxide, and the gas is a gas containing at least one of nitrogen and hydrogen. Production method.
【請求項20】 前記中間層は前記有機膜に接する無機
絶縁層を含む請求項11に記載の素子の製造方法。
20. The method according to claim 11, wherein the intermediate layer includes an inorganic insulating layer in contact with the organic film.
【請求項21】 素子の製造方法において、基体上に有
機低誘電率膜と中間層とパターニングされたレジスト層
を形成し、該レジスト層から露出した該中間層をエッチ
ングした後、窒素と水素の何れかを含むガスのプラズマ
を用いて前記有機低誘電率膜をエッチングする工程を含
み、該中間層が金属又は金属の窒化物からなる層を含む
ことを特徴とする素子の製造方法。
21. A method of manufacturing a device, comprising forming a resist layer patterned with an organic low dielectric constant film and an intermediate layer on a substrate, etching the intermediate layer exposed from the resist layer, and then removing nitrogen and hydrogen. A method for manufacturing a device, comprising a step of etching the organic low dielectric constant film using a plasma of a gas containing any one of them, wherein the intermediate layer includes a layer made of a metal or a metal nitride.
JP2001108725A 2000-04-13 2001-04-06 Method for etching organic film and method for manufacturing element Pending JP2001358218A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001108725A JP2001358218A (en) 2000-04-13 2001-04-06 Method for etching organic film and method for manufacturing element

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000-112357 2000-04-13
JP2000112357 2000-04-13
JP2001108725A JP2001358218A (en) 2000-04-13 2001-04-06 Method for etching organic film and method for manufacturing element

Publications (1)

Publication Number Publication Date
JP2001358218A true JP2001358218A (en) 2001-12-26

Family

ID=26590062

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001108725A Pending JP2001358218A (en) 2000-04-13 2001-04-06 Method for etching organic film and method for manufacturing element

Country Status (1)

Country Link
JP (1) JP2001358218A (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308175A (en) * 2000-04-21 2001-11-02 Nec Corp Semiconductor device and method for its manufacture
JP2003114534A (en) * 2001-06-28 2003-04-18 Hynix Semiconductor Inc Method for manufacturing semiconductor device by using photoresist pattern
JP2003218109A (en) * 2002-01-17 2003-07-31 Internatl Business Mach Corp <Ibm> Method for forming metallic pattern using sacrifice hard mask
US6858936B2 (en) 2002-07-01 2005-02-22 Kabushiki Kaisha Toshiba Semiconductor device having an improved construction in the interlayer insulating film
JP2005523575A (en) * 2002-04-18 2005-08-04 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Combination of materials of tunnel junction cap layer, tunnel junction hard mask, and tunnel junction stack seed film in MRAM processing
JP2005311350A (en) * 2004-03-25 2005-11-04 Nec Electronics Corp Method of producing semiconductor device
JP2006128543A (en) * 2004-11-01 2006-05-18 Nec Electronics Corp Method for manufacturing electronic device
JP2006190939A (en) * 2004-12-29 2006-07-20 Hynix Semiconductor Inc Method for manufacturing semiconductor element
JP2006295171A (en) * 2005-04-11 2006-10-26 Interuniv Micro Electronica Centrum Vzw Dual damascene patterning approach
US7176126B2 (en) 2004-07-29 2007-02-13 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnection
WO2008126776A1 (en) * 2007-04-10 2008-10-23 Tokyo Electron Limited Fabrication method of a semiconductor device and a semiconductor device
JP5201326B2 (en) * 2005-10-06 2013-06-05 日本電気株式会社 Manufacturing method of multilayer wiring
JP2014140039A (en) * 2008-02-25 2014-07-31 Smoltek Ab Deposition and selective removal of conductive auxiliary layer for nanostructure processing
JP2014143225A (en) * 2013-01-22 2014-08-07 Fujitsu Ltd Method of manufacturing semiconductor device, and semiconductor device
JP2015128174A (en) * 2002-05-17 2015-07-09 株式会社半導体エネルギー研究所 Semiconductor device
JP2015154054A (en) * 2014-02-19 2015-08-24 愛知製鋼株式会社 Organic film etching method
JP2015153850A (en) * 2014-02-13 2015-08-24 株式会社サイオクス Piezoelectric material thin film element, manufacturing method thereof, and electronic device with piezoelectric material thin film element
EP3016134A1 (en) 2014-10-31 2016-05-04 Tokyo Electron Limited Method of etching organic film
JP2019029619A (en) * 2017-08-03 2019-02-21 東京エレクトロン株式会社 Method for processing workpiece
JP2020009869A (en) * 2018-07-06 2020-01-16 キオクシア株式会社 Pattern forming method and semiconductor device manufacturing method

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308175A (en) * 2000-04-21 2001-11-02 Nec Corp Semiconductor device and method for its manufacture
JP2003114534A (en) * 2001-06-28 2003-04-18 Hynix Semiconductor Inc Method for manufacturing semiconductor device by using photoresist pattern
JP2003218109A (en) * 2002-01-17 2003-07-31 Internatl Business Mach Corp <Ibm> Method for forming metallic pattern using sacrifice hard mask
JP2005523575A (en) * 2002-04-18 2005-08-04 インフィネオン テクノロジーズ アクチエンゲゼルシャフト Combination of materials of tunnel junction cap layer, tunnel junction hard mask, and tunnel junction stack seed film in MRAM processing
US9847355B2 (en) 2002-05-17 2017-12-19 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, and semiconductor device
JP2015128174A (en) * 2002-05-17 2015-07-09 株式会社半導体エネルギー研究所 Semiconductor device
US7144804B2 (en) 2002-07-01 2006-12-05 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6858936B2 (en) 2002-07-01 2005-02-22 Kabushiki Kaisha Toshiba Semiconductor device having an improved construction in the interlayer insulating film
JP2005311350A (en) * 2004-03-25 2005-11-04 Nec Electronics Corp Method of producing semiconductor device
JP4571880B2 (en) * 2004-03-25 2010-10-27 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7176126B2 (en) 2004-07-29 2007-02-13 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnection
JP2006128543A (en) * 2004-11-01 2006-05-18 Nec Electronics Corp Method for manufacturing electronic device
JP2006190939A (en) * 2004-12-29 2006-07-20 Hynix Semiconductor Inc Method for manufacturing semiconductor element
JP2006295171A (en) * 2005-04-11 2006-10-26 Interuniv Micro Electronica Centrum Vzw Dual damascene patterning approach
JP5201326B2 (en) * 2005-10-06 2013-06-05 日本電気株式会社 Manufacturing method of multilayer wiring
CN101647110B (en) * 2007-04-10 2011-12-14 东京毅力科创株式会社 Fabrication method of a semiconductor device and a semiconductor device
US8124523B2 (en) 2007-04-10 2012-02-28 Tokyo Electron Limited Fabrication method of a semiconductor device and a semiconductor device
JP2008262996A (en) * 2007-04-10 2008-10-30 Tokyo Electron Ltd Method of manufacturing semiconductor device, and semiconductor device
WO2008126776A1 (en) * 2007-04-10 2008-10-23 Tokyo Electron Limited Fabrication method of a semiconductor device and a semiconductor device
JP2014140039A (en) * 2008-02-25 2014-07-31 Smoltek Ab Deposition and selective removal of conductive auxiliary layer for nanostructure processing
JP2014143225A (en) * 2013-01-22 2014-08-07 Fujitsu Ltd Method of manufacturing semiconductor device, and semiconductor device
JP2015153850A (en) * 2014-02-13 2015-08-24 株式会社サイオクス Piezoelectric material thin film element, manufacturing method thereof, and electronic device with piezoelectric material thin film element
JP2015154054A (en) * 2014-02-19 2015-08-24 愛知製鋼株式会社 Organic film etching method
EP3016134A1 (en) 2014-10-31 2016-05-04 Tokyo Electron Limited Method of etching organic film
US9711371B2 (en) 2014-10-31 2017-07-18 Tokyo Electron Limited Method of etching organic film
JP2019029619A (en) * 2017-08-03 2019-02-21 東京エレクトロン株式会社 Method for processing workpiece
JP2020009869A (en) * 2018-07-06 2020-01-16 キオクシア株式会社 Pattern forming method and semiconductor device manufacturing method
JP7086758B2 (en) 2018-07-06 2022-06-20 キオクシア株式会社 Pattern formation method and semiconductor device manufacturing method

Similar Documents

Publication Publication Date Title
US7799693B2 (en) Method for manufacturing a semiconductor device
US20220254682A1 (en) Interconnect Structure of Semiconductor Device and Method of Forming the Same
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
US7125792B2 (en) Dual damascene structure and method
US7192878B2 (en) Method for removing post-etch residue from wafer surface
TWI234872B (en) Method for forming novel BARC open for precision critical dimension control
JP2001358218A (en) Method for etching organic film and method for manufacturing element
US8859430B2 (en) Sidewall protection of low-K material during etching and ashing
US6913994B2 (en) Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
JP5178983B2 (en) Method for etching dual damascene structures in organosilicate glass
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
TWI363255B (en) Method for removing masking materials with reduced low-k dielectric material damage
US6207570B1 (en) Method of manufacturing integrated circuit devices
JP2002525840A (en) In situ integrated oxide etching process especially useful for copper dual damascene
JP2004528711A (en) Method for plasma etching organic anti-reflective coating
CN107564888B (en) Interconnect structure and method of making the same
US9064727B2 (en) Sputter and surface modification etch processing for metal patterning in integrated circuits
JP2006165558A (en) Dielectric etching method by high supply low impact plasma giving high etching rate
US20010030169A1 (en) Method of etching organic film and method of producing element
KR20030027453A (en) Method of dry cleaning and photoresist strip after via contact etching
JP2005116801A (en) Method for manufacturing semiconductor device
US20050239286A1 (en) Two-step stripping method for removing via photoresist during the fabrication of partial-via dual damascene features
US7091612B2 (en) Dual damascene structure and method
US20060134921A1 (en) Plasma etching process
JPH10178014A (en) Method for manufacturing semiconductor device