JP2001022816A - 半導体集積回路装置のレイアウト方法 - Google Patents

半導体集積回路装置のレイアウト方法

Info

Publication number
JP2001022816A
JP2001022816A JP11197560A JP19756099A JP2001022816A JP 2001022816 A JP2001022816 A JP 2001022816A JP 11197560 A JP11197560 A JP 11197560A JP 19756099 A JP19756099 A JP 19756099A JP 2001022816 A JP2001022816 A JP 2001022816A
Authority
JP
Japan
Prior art keywords
gated
cell
circuit
clock
cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11197560A
Other languages
English (en)
Inventor
Fumihiro Kimura
文浩 木村
Takahiro Ichinomiya
敬弘 一宮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP11197560A priority Critical patent/JP2001022816A/ja
Priority to US09/613,526 priority patent/US6440780B1/en
Publication of JP2001022816A publication Critical patent/JP2001022816A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】クロックソースからゲーティッドセルを介した
FF間のクロック信号のスキューを低減し、且つクロック
信号部の消費電力を抑えることができる半導体集積回路
装置のレイアウト方法を提供する。 【解決手段】クロックソースに直接接続されるFF群に対
してセルを加えるネットリスト変更工程101と、セル
の駆動能力を選択し遅延値が均等となる様にゲーティッ
ド回路の分割数とセルの駆動能力を割り当てるゲーティ
ッド回路分割情報生成工程102と、各ゲーティッド回
路を分割等するゲーティッド回路分割工程103と、分
割されたクラスタと同数のゲーティッドセルを割り当て
るゲーティッドセル分割工程104と、ゲーティッドセ
ル前段CTS工程105とを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、低電力な半導体集
積回路装置のレイアウト設計方法、特に、ゲーティッド
クロック回路を有する半導体集積回路装置のレイアウト
方法に関するものである。
【0002】
【従来の技術】半導体集積回路は一般的にクロック同期
回路として設計されており、近年の大規模化、及び、高
速化の進歩に伴ってクロック信号部での消費電力が増大
しつつある。クロック信号部の消費電力を削減する効果
的な方法として、ゲーティッドクロック回路設計手法が
提案されている。
【0003】図16(a)に示す様に従来のクロック回
路は、クロックソースに直接フリップフロップ(以降、
「FF」という)が接続されているのに対して、図16
(b)に示す様にゲーティッドクロック回路は、任意の
条件において動作しないFFへのクロック信号の供給を
停止する等の目的で、クロックソースとFFの間に少な
くともクロック信号の供給を制御するための制御信号
(以降、「イネーブル信号」という)とクロック信号を
入力とするゲートセル(以降、「ゲーティッドセル」と
いう)が挿入されることを特徴とする。また、ゲーティ
ッドクロック回路の各ゲーティッドセルで制御できるF
Fの数は、回路によって異なるため不均一となる。
【0004】一方、クロック同期の半導体集積回路で
は、クロック信号が供給されるFF間のクロック信号の
遅延時間差(以降、「スキュー」という)が大きい場
合、半導体集積回路が不動または誤動作などの悪影響を
及ぼす。
【0005】そこで、従来のクロック回路では、クロッ
ク信号のスキューを低減するために「クロックツリー方
式」(Clock Tree Synthesis(以
降略して「CTS」という)と呼ばれる半導体集積回路
のレイアウト方法などが広く用いられている。
【0006】「クロックツリー方式」の半導体集積回路
のレイアウト方法は、クロックネットに属するFFの配
置結果に基づいて、FFの入力容量とFF間の配線容量
の和が均等となる様な複数のクラスタに分割するクラス
タリングを行い、クラスタに属する各FFへの負荷容量
が均等となる位置、例えばクラスタの中心や重心等にバ
ッファを挿入する。次に、挿入したバッファに対して同
様にクラスタリングとバッファ挿入を繰り返し行って、
クロックツリーと呼ばれる階層的なツリーを構成する。
生成したクロックツリーに対して、各クラスタ毎に配線
長が均等となるよう配線を行った後、ネットリストに従
ってクロックツリー以外の全配線を完了することで、ク
ロックソースから各FFまでのクロック信号のスキュー
を低減するものである。
【0007】しかしながら、ゲーティッドクロック回路
に対して上記従来例の「クロックツリー方式」の半導体
集積回路のレイアウト方法を適用した場合、クロックソ
ースから各ゲーティッドセル間のスキューは低減できる
が、クロックソースからゲーティッドセルを超えた各F
F間のスキューを低減することができない。
【0008】そこで、ゲーティッドクロック回路のクロ
ック信号のスキューを低減する方法として、例えば特開
平10−308450号などが提案されている。
【0009】ゲーテッドクロック回路を示す図17の回
路に対して、特開平10−308450号の方法を実施した回路
図を図18に、クロックツリー挿入結果を図19に示
す。
【0010】すなわち特開平10−308450の方法は、ま
ず、ゲーティッドクロック回路の各ゲーティッドセルと
各ゲーティッドセルに接続されるFF群(以降、「ゲー
ティッド回路」という)の配置領域を指定して一箇所に
固まるように配置する。次に、各ゲーディッド回路毎
に、従来例の「クロックツリー方式」の半導体集積回路
のレイアウト方法におけるクラスタリングと同様の方法
で全てのクラスタの負荷容量が均等となる様にクラスタ
リングを行って複数のクラスタに分割し、分割してでき
たクラスタの数と同数のゲーティッドセルを各クラスタ
の重心に挿入する。挿入したゲーティッドセルは、ゲー
ティッド回路が分割前に接続されていたゲーティッドセ
ルと同じイネーブル信号に接続する。この段階で、ゲー
ティッドセルからFFまでの遅延値が均等となるのでク
ロックソースから各ゲーティッドセル間に対して、上記
従来例「クロックツリー方式」の半導体集積回路のレイ
アウト方法と同様の方法で階層的なツリーを生成して配
線を行い、クロックソースからゲーティッドセルを介し
た各FFまでのクロック信号のスキューを低減するもの
である。
【0011】特開平10−308450号の方法を実施した後の
回路は、以下の特徴をもつ。すなわち、クロックツリー
の同一段に挿入されるバッファセル、及びゲーティッド
セルはそれぞれ同じ論理且つ同じ駆動能力のセルであ
る。またゲーティッドセルは、クロックツリーの最終段
のバッファセルとFFの間にのみ挿入される。
【0012】
【発明が解決しようとする課題】従来のゲーティッドク
ロック回路のレイアウト方法(特開平10−308450号)
は、ゲーティッド回路のFF数のばらつきに関係なく同
じ論理で、同じ駆動能力を持つゲーティッドセルを挿入
するため、スキューを低減するにはゲーティッドセルで
駆動する負荷容量が最小となるクラスタ、つまりゲーテ
ィッド回路の負荷容量値に合わせてクラスタリングを行
わなければならない。従って、ゲーティッド回路のFF
数のばらつきが原因でゲーティッド回路の分割数が増
え、ゲーティッドクロック回路に対するクロックツリー
の段数や挿入するバッファの数、及びゲーティッドセル
の数も増えるので、クロックソースからFFまでの遅延
値やスキューが大きくなる。
【0013】また、ゲーティッドセルはクロックツリー
の最終段のバッファセルとFF間にのみ挿入されるの
で、ゲーティッドセルを停止するイネーブル信号が発生
してもクロックソースからFF間のバッファセルとゲー
ティッドセルは常に動作するので、常に電力を消費す
る。
【0014】さらに、各ゲーティッド回路毎に配置領域
を指定するため、面積増加や論理回路のタイミング制約
違反を発生する等の課題が生じる。
【0015】本発明は上記課題を鑑み、クロックソース
からゲーティッドセルを介したFF間のクロック信号の
スキューを低減し、且つクロック信号部の消費電力を抑
えることができる半導体集積回路装置のレイアウト方法
を提供することを目的とする。
【0016】
【課題を解決するための手段】請求項1記載の半導体集
積回路装置のレイアウト方法は、ゲーティッドクロック
回路のクロックソースに直接接続される素子群に対して
セルを加えたネット構造に変更するネットリスト変更工
程と、変更後のネットリストに従って、配置または概略
配線を行った結果に基づく各ゲーティッド回路の総負荷
容量に応じてセルの駆動能力を選択し、遅延値が均等と
なる様にゲーティッド回路の分割数とセルの駆動能力を
割り当てるゲーティッド回路分割情報生成工程と、この
ゲーティッド回路分割情報生成工程で生成された情報に
基づいてクラスタリングを行い、各ゲーティッド回路を
分割し分割された各クラスタの負荷容量が均等となる位
置にゲーティッド回路分割情報生成工程で割り当てられ
た駆動能力を持つセルを挿入するゲーティッド回路分割
工程と、ゲーティッド回路毎にゲーティッド回路分割工
程で分割されたクラスタと同数のゲーティッドセルを割
り当て、ゲーティッドセルの駆動能力をクラスタの負荷
容量が均等となる位置に挿入したセルの入力容量に応じ
て遅延値が均等となるように選択し、選択した駆動能力
を持つゲーティッドセルをクラスタの負荷容量が均等と
なる位置に挿入したセルの近傍に挿入するゲーティッド
セル分割工程と、クロックツリー方式でクロックソース
と各ゲーティッドセル間に階層的なツリーを生成するゲ
ーティッドセル前段CTS工程とを含むものである。
【0017】請求項1記載の半導体集積回路装置のレイ
アウト方法によれば、ゲーティッドクロック回路のスキ
ューを削減できるとともに、従来のゲーティッドクロッ
ク回路に対する半導体集積回路装置のレイアウト方法に
比べても、同一論理で駆動能力の異なるセルを積極的に
用いることでゲーティッドセルに接続される素子たとえ
ばFFの数がばらついてもゲーティッド回路の分割数を
小さくできる。つまり、クロックソースとゲーティッド
セル間のクロックツリーの段数やバッファ数を少なくで
きるので、クロックソースから素子までの遅延値やスキ
ューを削減できる。各ゲーティッドセルに接続される素
子毎に配置領域の指定を特に必要としないので、面積増
加や論理回路のタイミング制約違反等の問題が生じにく
い。
【0018】請求項2記載の半導体集積回路装置のレイ
アウト方法は、請求項1において、ゲーティッドセル前
段CTS工程後またはゲーティッドセル前段CTS工程
の実行時に、同一クラスタに属するゲーティッドセルの
入力が全て同じネットだけで構成される場合、クラスタ
の負荷容量が均等となる位置に挿入されたクロックソー
ス側のバッファセルの前段にゲーティッドセルを移動す
るゲーティッドセル位置最適化工程を有するものであ
る。
【0019】請求項2記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1と同様な効果のほか、ゲ
ーティッドセルをクロックソース側へ移動することで、
ゲーティッドセルに停止信号が入力された際に停止でき
るセルや配線が増えるので、ゲーティッドクロック回路
の消費電力を削減できる。
【0020】請求項3記載の半導体集積回路装置のレイ
アウト方法は、請求項1または請求項2において、ネッ
トリスト変更工程からゲーティッド回路分割情報生成工
程の間に、ゲーティッドクロック回路のゲーティッドセ
ルとネットリスト変更工程で挿入したセルの論理を反転
した論理のセルに置き換え、ゲーティッド回路分割情報
生成工程時にインバータセルに相当するセルを割り当て
るものである。
【0021】請求項3記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1または請求項2と同様な
効果のほか、ゲート段数を削減できるのでクロックソー
スからFFまでの遅延値と消費電力を削減できる。
【0022】請求項4記載の半導体集積回路装置のレイ
アウト方法は、請求項1または請求項2において、ゲー
ティッド回路分割情報生成工程の際に、ゲーティッド回
路の分割条件としてクラスタの配線容量に上限を有する
ものである。
【0023】請求項4記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1または請求項2と同様な
効果のほか、クラスタの配線容量に上限を与えることで
配線によるスキューを抑えることができる。
【0024】請求項5記載の半導体集積回路装置のレイ
アウト方法は、請求項1において、ゲーティッドセル分
割工程の後に、ゲーティッドセルの配置座標を調整する
ゲーティッドセル配置座標最適化工程を有するものであ
る。
【0025】請求項5記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1と同様な効果のほか、ゲ
ーティッドセルの配置座標を最適化することで、ゲーテ
ィッドセルから素子までのスキューを削減できる。ま
た、ゲーティッドセルの配置座標を最適化によって、ゲ
ーティッドセルの配置の広がりを小さくできるので、ク
ロックソースからゲーティッドセルまでのスキューも削
減できる。
【0026】
【発明の実施の形態】(実施の形態1)本発明の第1の
実施の形態について、図面を参照しながら説明する。
【0027】図1は、請求項1に記載した半導体集積回
路装置のレイアウト方法のフロー図である。図4に示す
ゲーティッドクロック回路を用いて、図1に示すフロー
図の各工程について説明する。
【0028】本発明を実施するにあたって、予めゲーテ
ィッドクロック回路に使用するゲーティッドセルと遅延
調整のために挿入するセルに対して、駆動能力毎に任意
のスキューを満足する負荷容量について求めておく。
【0029】例えば、図15に示す様に、ゲーティッド
クロック回路に使用するゲーティッドセルと遅延調整の
ために挿入するセル毎に、同じ論理で駆動能力の異なる
各セルの出力端子に接続する負荷容量に対するセル固有
遅延とトランジション遅延の和の変化を示すグラフを作
成する。図15に太い線で示した様に、セルが駆動する
負荷容量の増加に対して、任意のスキューの範囲内で分
布する様にセルの駆動能力を変えて補完し、各セルが任
意のスキューの上限値を超える境界の負荷容量(以降、
セルの駆動限界容量)を求める。
【0030】もしも負荷容量が連続しない場合、連続し
ない部分を補完することができる駆動能力を持つ同じ論
理のセルを作成する。
【0031】ネットリスト変更工程101 において、図4
に示すゲーティッドセルを介さずにクロックソースに直
接接続されるFF(A05)群A08に対して、他のゲーテ
ィッド回路A06,A07とツリー段数を揃えて、且つ、他
のゲーティッド回路A06,A07と同様に扱うために、ク
ロックソースとクロックソースに直接接続されるFF群
A08 の間にバッファ等のセル例えばバッファセルを1つ
加えたネット構造にゲーティッドクロック回路のネット
構造を変更する。図5にネットリスト変更工程101 の実
施後のゲーティッドクロック回路のネット構造を示す。
以降、バッファセルB01をゲーティッドセルとして扱
い、バッファセルB01に接続されるFFで構成される回
路をゲーティッド回路B02として扱う。
【0032】配置工程108において、従来のレイアウト
設計の配置技術と同様の方法で、図5に示すゲーティッ
ドクロック回路を含むネットリストに従って配置を行
う。図6にゲーティッドクロック回路の配置結果を示
す。
【0033】ゲーティッド回路分割情報生成工程102に
おいて、一般に広く知られる「スタイナーツリーモデ
ル」等の配置結果から精度良く配線長を推定するアルゴ
リズムを用いて、各ゲーティッド回路A06,A07,B02毎に
配置工程108の配置結果に基づいたゲーティッド回路の
FF(A03,A04,A05)間の仮想配線容量を算出する。
算出したゲーティッド回路A06,A07,B02の仮想配線容量
とゲーティッド回路A06,A07,B02に属するFF(A03,A0
4,A05)の入力容量の総和を求め、ゲーティッド回路A0
6,A07,B02の総負荷容量をそれぞれ求める。
【0034】後の工程で行うゲーティッド回路のクラス
タリング処理でクラスタに挿入するセルの駆動限界容量
と各ゲーティッド回路A06,A07,B02の総負荷容量から、
ゲーティッド回路の分割数と挿入するセルの最適な駆動
能力を決定する。
【0035】例えば、挿入するセルと同じ論理で最も駆
動能力が大きいセルの駆動限界容量よりもゲーティッド
回路の総負荷容量が大きい場合、ゲーティッド回路の総
負荷容量を最も駆動能力が大きいセルの駆動限界容量で
割り、余りを繰り上げた値をゲーティッド回路の分割数
とする。更に、求めた分割数でゲーティッド回路の総負
荷容量を割った値をクラスタリング後のクラスタの総負
荷容量として見積もり、挿入するセルの最適な駆動能力
を割り当てる。
【0036】第1の実施の形態では、ゲーティッド回路
A06は4つのクラスタに分割、ゲーティッド回路A07は1
つのクラスタに、そして、ゲーティッド回路B02は2つ
のクラスタに分割するものとし、ゲーティッド回路A06
にはバッファセルD01が、ゲーティッド回路A07にはバッ
ファセルD02が、そして、ゲーティッド回路B02にはバッ
ファセルD03がそれぞれ割り当てられたものとする。
【0037】ゲーティッド回路分割工程103において、
ゲーティッド回路分割情報生成工程102で生成された情
報に基づいて、各ゲーティッド回路A06,A07,B02毎に上
記従来例の「クロックツリー方式」の半導体集積回路装
置のレイアウト方法で行われるクラスタリングと同様の
方法でクラスタリングを行い、分割した各クラスタの負
荷容量が均等となる位置にゲーティッド回路分割情報生
成工程102で割り当てられた最適な駆動能力を持つバッ
ファセルD01,D02,D03をそれぞれ挿入する。そして、ク
ラスタリングとバッファセル挿入の結果に基づいて、ゲ
ーティッドクロック回路のネット構造も変更する。
【0038】ゲーティッド回路の分割を行った後のゲー
ティッドクロック回路を図7に示し、そのレイアウト結
果を図8に示す。
【0039】ゲーティッドセル分割工程104におい
て、各ゲーティッドセルA01,A02,B01毎にゲーティッド
セルA01,A02,B01を上記ゲーティッド回路分割工程10
3で分割したクラスタ数と同じ数を割り当て、すなわち
ゲーティッド回路分割工程103で分割したクラスタ数
と同じ数になる様にゲーティッドセルA01,A02,B01をそ
れぞれ分割する。そして、分割したゲーティッドセルに
対して、上記ゲーティッド回路分割工程103でクラス
タの負荷容量が均等となる位置に挿入したセルD01,D02,
D03の入力容量とゲーティッドセルの駆動限界容量に基
づいて選択した最適な駆動能力を割り当てる。この様に
して割り当てられたゲーティッドセルを上記ゲーティッ
ド回路分割工程103でクラスタの負荷容量が均等とな
る位置に挿入したセルD01,D02,D03の近傍に挿入する。
そして、ゲーティッドセルの分割結果に基づいて、ゲー
ティッドクロック回路のネット構造も変更する。ゲーテ
ィッドセルの分割後に挿入したゲーティッドセルF01,F0
2,F03は、それぞれゲーティッドセル分割前に接続され
ていたゲーティッドセルA01,A02,B01と同じイネーブル
信号に接続する。
【0040】ゲーティッドセル分割後のゲーティッドク
ロック回路を図9に示し、そのレイアウト結果を図10
に示す。
【0041】ゲーティッドセル前段CTS工程105に
おいて、クロックソースと各ゲーティッドセルF01,F02,
F03間に対して、上記従来例の「クロックツリー方式」
の半導体集積回路装置のレイアウト方法と同様の方法で
階層的なツリーを生成する。第1の実施の形態では、ゲ
ーティッドセル前段のクロックツリーは、バッファセル
G01,G02,G03で2段のツリーが構成されるものとする。ゲ
ーティッドセル前段に生成されたクロックツリーに基づ
いて、ゲーティッドクロック回路のネット構造を変更す
る。
【0042】ゲーティッドセル前段にCTSを行った後
のネット構造を図11に示し、そのレイアウト結果を図
12に示す。
【0043】配線工程109において、ネットリストに従
って、上記従来例「クロックツリー方式」の半導体集積
回路装置のレイアウト方法と同様の方法でスキューが小
さくなる様に配線を行う。
【0044】尚、第1の実施の形態では、ゲーティッド
セルや遅延調整用のセルの駆動限界容量を求める際に、
任意のスキューを満足する下限値と上限値の容量、つま
りセルの駆動可能容量範囲を求めてもよい。
【0045】但し、ゲーティッド回路分割情報生成工程
102においてゲーティッド回路分割後に生成されるクラ
スタの総負荷容量がセルの駆動可能容量範囲に収まる様
に分割しなければならない。また、ゲーティッドセル分
割工程104において、ゲーティッド回路分割時に挿入す
るバッファセルの入力容量がセルの駆動可能容量範囲に
収まる様なセルを準備する必要もある。
【0046】ネットリスト変更工程101において、クロ
ックソースに直接接続されるFF群に対してバッファセ
ルを挿入したが、多入力セルのクロック信号が入力され
る端子以外の入力端子を電源またはグランドに接続し
て、バッファセルと同様の論理を実現したセルを挿入し
ても良い。
【0047】ゲーティッド回路分割情報生成工程102に
おいて、配置工程108後のFF間の仮想配線長を用いた
が、配置工程108後に概略配線を行っても良い。概略配
線まで行うことで、実際の配線長に近い仮想配線長を用
いたFF間の配線容量を見積もることができるため、よ
り正確なゲーティッド回路の分割数や挿入セルの割り当
てができるので更にスキューを小さくできる。
【0048】ゲーティッド回路分割情報生成工程102に
おいて、クラスタリングの分割数を決定する際の分割条
件として、各クラスタの配線容量に上限を与えても良
い。配線容量に上限を与えることでクラスタの広がりを
抑制できるので、配線形状や周りの配線の影響によって
生じるスキューを小さくできる。
【0049】ゲーティッド回路分割工程103において、
実際にクラスタリングを行った後できた各クラスタの総
負荷容量を求め直して挿入するセルの駆動能力を修正し
ても良い。実際に配線を行うクラスタの総負荷容量を求
めることで、より最適な駆動能力を持つセルの割り当て
ができるので更にスキューを小さくできる。
【0050】ゲーティッド回路のゲーティッドセルがAN
D,OR,バッファ等の正の論理で構成される場合、それぞ
れネットリスト変更工程101とゲーティッドセル分割情
報生成工程102の間にゲーティッドセルとネットリスト
変更工程101で挿入されたセルの論理を反転した論理で
あるNAND,NOR,インバータに置き換える処理を加えても
良い。
【0051】AND,OR,バッファ等の正の論理で構成され
るセルは、それぞれ論理を反転したNAND,NOR,インバー
タの出力側にインバータセルを接続した回路構造をと
る。本発明では、ゲーティッド回路分割時にゲーティッ
ドセルの次段に必ずバッファに相当するセルの挿入が必
要なため、論理を反転したセルを用いることによってイ
ンバータセル分2段分の回路を減らすことができ、クロ
ックソースからFFまでの遅延値と消費電力を削減でき
る。
【0052】但し、ゲーティッド回路分割情報生成工程
102の際に、ゲーティッド回路分割後のクラスタに挿入
するセルとして、インバータセルに相当するセルを割り
当てなければならない。
【0053】配線工程109において、他の信号配線と同
様に配線を行っても良い。
【0054】(実施の形態2)請求項2に対応する本発
明の第2の実施の形態について、図2、図13および図
14を用いて説明する。
【0055】まず、第1の実施の形態と同様の方法で、
ネットリスト変更工程101からゲーティッドセル前段C
TS工程105までの処理を実施する。
【0056】ゲーティッドセル位置最適化工程106にお
いて、ゲーティッドセル前段CTS工程105の結果からゲー
ティッド回路分割情報生成工程102と同様の方法で、ク
ロックツリーの各クラスタの配線容量を求める。
【0057】図11のバッファセルG01に接続されるゲ
ーティッドセルの様に、同一クラスタに属するゲーティ
ッドセルの入力が全て同じネットだけで構成される場
合、バッファセルG01の前段にバッファセルG01に接続さ
れるゲーティッドセルと同じ論理のセルJ01を挿入し
た後、バッファセルG01に接続されるゲーティッドセル
F01を削除し、バッファセルG01とバッファセルG01に
接続されるゲーティッドセルのFF側のバッファセルD
01とを接続する。
【0058】次に、ゲーティッドセルをクロックソース
側へ移動することによって、クラスタの総負荷容量が変
わるので、セルの駆動限界容量とゲーティッドセルをク
ロックソース側へ移動後のクラスタの総負荷容量からセ
ルの駆動能力の最適化を行う。
【0059】以上の処理をボトムアップに繰り返し行
い、ゲーティッドセルをクロックソース側へ移動する。
【0060】そして、ゲーティッドセル位置の最適化の
結果に基づいて、ゲーティッドクロック回路のネット構
造を変更する。ゲーティッドセルの位置最適化によっ
て、追加、または削除されたゲーティッドセルのイネー
ブル信号の接続は、削除したセルについては削除し、追
加したセルについては元と同じイネーブル信号に接続す
る。
【0061】配線工程109において、第1の実施の形態
と同様の方法で配線を行う。
【0062】尚、ゲーティッドセルをクロックソース側
へ移動した時に、クラスタの配線負荷容量と移動前のゲ
ーティッドセルの出力端子側に接続されるセルの入力容
量の総和が、クラスタを駆動するセルの駆動容量限界を
超える場合、スキュー増加の原因となるのでゲーティッ
ドセルをクロックソース側へ移動しなくても良い。
【0063】ゲーティッドセルをクロックソース側へ移
動した結果、移動したゲーティッドセルに入力するイネ
ーブル信号が到達する時間よりもクロックソースから移
動したゲーティッドセルへクロック信号が到達する時間
の方が短くなる場合、誤動作を起こすのでゲーティッド
セルをクロックソース側へ移動しなくても良い。また、
予めクロックソース側へ移動できる段数を見積もり、制
約として与えても良い。
【0064】配置工程108において、ゲーティッドクロ
ック回路の配置に制約を与えなかったが、従来例(特開
平10‐308450号)と同様にゲーティッド回路毎に配置制
約を与えても良い。面積増加や論理回路のタイミング違
反を生じる可能性は高くなるが、ゲーティッドセル位置
最適化工程106において、ゲーティッドセルがクロック
ソース側へ移動できる可能性が高くなるので、消費電力
をより削減できる可能性が高くなる。
【0065】(実施の形態3)請求項5に対応する本発
明の第3の実施の形態を図3を用いて説明する。
【0066】まず、第1の実施の形態と同様の方法で、
ネットリスト変更工程101からゲーティッドセル分割工
程104までの処理を実施する。
【0067】ゲーティッドセル配置座標最適化工程107
において、各ゲーティッドセルが属するクラスタの総負
荷容量を求め、クラスタの最大総負荷容量を求める。各
ゲーティッドセル毎に、クラスタの最大総負荷容量とゲ
ーティッドセルが属するクラスタの総負荷容量との差分
(以降、容量差分という)を求める。
【0068】次に、各ゲーティッドセルの駆動限界容量
からゲーティッドセルで駆動するバッファセルの入力容
量とゲーティッドセルとバッファセル間の配線容量を引
いた値(以降、容量余裕分という)を求める。
【0069】容量余裕分が容量差分よりも大きい場合、
容量差分の容量値に相当する配線長だけ、全ゲーティッ
ドセルの配置座標の重心や中心等に向けてマンハッタン
距離でゲーティッドセルの配置位置を移動する。
【0070】容量余裕分が容量差分よりも小さい場合、
容量余裕分の容量値に相当する配線長だけ、全ゲーティ
ッドセルの配置座標の重心や中心等に向けてマンハッタ
ン距離でゲーティッドセルの配置位置を移動する。
【0071】ゲーティッドセル前段CTS工程105にお
いて、第1の実施の形態と同様の方法で、クロックソー
スとゲーティッドセル間にクロックツリーを生成する。
【0072】配線工程109において、第1の実施の形態
と同様の方法で配線を行う。
【0073】尚、容量差分に応じてゲーティッドセルを
駆動能力の大きいセルに変更して、容量差分の容量値に
相当する配線長だけ、全ゲーティッドセルの配置座標の
重心や中心等に向けてマンハッタン距離でゲーティッド
セルの配置位置を移動してもよい。
【0074】また本発明は、FF以外に、ラッチ、メモ
リ等のクロック信号を入力として持つ素子であれば適用
可能である。
【0075】
【発明の効果】請求項1記載の半導体集積回路装置のレ
イアウト方法によれば、ゲーティッドクロック回路のス
キューを削減できるとともに、従来のゲーティッドクロ
ック回路に対する半導体集積回路装置のレイアウト方法
に比べても、同一論理で駆動能力の異なるセルを積極的
に用いることでゲーティッドセルに接続される素子たと
えばFFの数がばらついてもゲーティッド回路の分割数
を小さくできる。つまり、クロックソースとゲーティッ
ドセル間のクロックツリーの段数やバッファ数を少なく
できるので、クロックソースから素子までの遅延値やス
キューを削減できる。各ゲーティッドセルに接続される
素子毎に配置領域の指定を特に必要としないので、面積
増加や論理回路のタイミング制約違反等の問題が生じに
くい。
【0076】請求項2記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1と同様な効果のほか、ゲ
ーティッドセルをクロックソース側へ移動することで、
ゲーティッドセルに停止信号が入力された際に停止でき
るセルや配線が増えるので、ゲーティッドクロック回路
の消費電力を削減できる。
【0077】請求項3記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1または請求項2と同様な
効果のほか、ゲート段数を削減できるのでクロックソー
スからFFまでの遅延値と消費電力を削減できる。
【0078】請求項4記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1または請求項2と同様な
効果のほか、クラスタの配線容量に上限を与えることで
配線によるスキューを抑えることができる。
【0079】請求項5記載の半導体集積回路装置のレイ
アウト方法によれば、請求項1と同様な効果のほか、ゲ
ーティッドセルの配置座標を最適化することで、ゲーテ
ィッドセルから素子までのスキューを削減できる。ま
た、ゲーティッドセルの配置座標を最適化によって、ゲ
ーティッドセルの配置の広がりを小さくできるので、ク
ロックソースからゲーティッドセルまでのスキューも削
減できる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態を示すフロー図であ
る。
【図2】本発明の第2の実施の形態を示すフロー図であ
る。
【図3】本発明の第3の実施の形態を示すフロー図であ
る。
【図4】ゲーティッドクロック回路を示す図である。
【図5】ネットリスト変更後のゲーティッドクロック回
路を示す図である。
【図6】図5のゲーティッドクロック回路の配置結果を
示す図である。
【図7】ゲーティッド回路分割後のゲーティッドクロッ
ク回路を示す図である。
【図8】ゲーティッド回路の分割結果を示す図である。
【図9】ゲーティッドセル分割後のゲーティッドクロッ
ク回路を示す図である。
【図10】ゲーティッドセルの分割結果を示す図であ
る。
【図11】ゲーティッドセル前段CTS後のゲーティッ
ドクロック回路を示す図である。
【図12】ゲーティッドセル前段CTS結果を示す図で
ある。
【図13】ゲーティッドセル位置最適化後のゲーティッ
ドクロック回路を示す図である。
【図14】ゲーティッドセル位置最適化の結果を示す図
である。
【図15】負荷容量の変化とセル遅延値の変化を示す図
である。
【図16】従来のクロック回路構造とゲーティッドクロ
ック回路構造を示す図である。
【図17】ゲーティッドクロック回路を示す図である。
【図18】従来のゲーティッドクロック回路に対する半
導体集積回路装置のレイアウト方法の実行後のゲーティ
ッドクロック回路を示す図である。
【図19】従来のゲーティッドクロック回路に対する半
導体集積回路装置のレイアウト方法の実行結果を示す図
である。
【符号の説明】
A01 ゲーティッドセル A02 ゲーティッドセル A03 FF A04 FF A05 FF A06 ゲーティッド回路 A07 ゲーティッド回路 A08 ゲーティッド回路 B01 バッファセル B02 ゲーティッド回路 D01 バッファセル D02 バッファセル D03 バッファセル F01 ゲーティッドセル F02 ゲーティッドセル F03 ゲーティッドセル G01 バッファセル G02 バッファセル G03 バッファセル
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5B046 AA08 BA06 5B079 BA12 BC01 CC03 CC14 DD08 DD12 DD13 5F038 CA17 CD06 CD09 DF08 EZ09 EZ20 5F064 AA01 BB01 DD02 DD03 EE02 EE03 EE08 EE12 EE17 EE47 EE54 EE57 HH06

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 ゲーティッドクロック回路のクロックソ
    ースに直接接続される素子群に対してセルを加えたネッ
    ト構造に変更するネットリスト変更工程と、 変更後のネットリストに従って、配置または概略配線を
    行った結果に基づく各ゲーティッド回路の総負荷容量に
    応じてセルの駆動能力を選択し、遅延値が均等となる様
    にゲーティッド回路の分割数とセルの駆動能力を割り当
    てるゲーティッド回路分割情報生成工程と、 このゲーティッド回路分割情報生成工程で生成された情
    報に基づいてクラスタリングを行い、各ゲーティッド回
    路を分割し分割された各クラスタの負荷容量が均等とな
    る位置にゲーティッド回路分割情報生成工程で割り当て
    られた駆動能力を持つセルを挿入するゲーティッド回路
    分割工程と、 前記ゲーティッド回路毎に前記ゲーティッド回路分割工
    程で分割されたクラスタと同数のゲーティッドセルを割
    り当て、前記ゲーティッドセルの駆動能力を前記クラス
    タの負荷容量が均等となる位置に挿入した前記セルの入
    力容量に応じて遅延値が均等となるように選択し、選択
    した駆動能力を持つ前記ゲーティッドセルを前記クラス
    タの負荷容量が均等となる位置に挿入した前記セルの近
    傍に挿入するゲーティッドセル分割工程と、 クロックツリー方式でクロックソースと各ゲーティッド
    セル間に階層的なツリーを生成するゲーティッドセル前
    段CTS工程とを含む半導体集積回路装置のレイアウト
    方法。
  2. 【請求項2】 ゲーティッドセル前段CTS工程後また
    はゲーティッドセル前段CTS工程の実行時に、同一ク
    ラスタに属するゲーティッドセルの入力が全て同じネッ
    トだけで構成される場合、クラスタの負荷容量が均等と
    なる位置に挿入されたクロックソース側のバッファセル
    の前段にゲーティッドセルを移動するゲーティッドセル
    位置最適化工程を有する請求項1記載の半導体集積回路
    装置のレイアウト方法。
  3. 【請求項3】 ネットリスト変更工程からゲーティッド
    回路分割情報生成工程の間に、ゲーティッドクロック回
    路のゲーティッドセルとネットリスト変更工程で挿入し
    たセルの論理を反転した論理のセルに置き換え、ゲーテ
    ィッド回路分割情報生成工程時にインバータセルに相当
    するセルを割り当てる請求項1または請求項2記載の半
    導体集積回路装置のレイアウト方法。
  4. 【請求項4】 ゲーティッド回路分割情報生成工程の際
    に、ゲーティッド回路の分割条件としてクラスタの配線
    容量に上限を有する請求項1または請求項2記載の半導
    体集積回路装置のレイアウト方法。
  5. 【請求項5】 ゲーティッドセル分割工程の後に、ゲー
    ティッドセルの配置座標を調整するゲーティッドセル配
    置座標最適化工程を有する請求項1記載の半導体集積回
    路装置のレイアウト方法。
JP11197560A 1999-07-12 1999-07-12 半導体集積回路装置のレイアウト方法 Pending JP2001022816A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP11197560A JP2001022816A (ja) 1999-07-12 1999-07-12 半導体集積回路装置のレイアウト方法
US09/613,526 US6440780B1 (en) 1999-07-12 2000-07-10 Method of layout for LSI

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11197560A JP2001022816A (ja) 1999-07-12 1999-07-12 半導体集積回路装置のレイアウト方法

Publications (1)

Publication Number Publication Date
JP2001022816A true JP2001022816A (ja) 2001-01-26

Family

ID=16376540

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11197560A Pending JP2001022816A (ja) 1999-07-12 1999-07-12 半導体集積回路装置のレイアウト方法

Country Status (2)

Country Link
US (1) US6440780B1 (ja)
JP (1) JP2001022816A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373939A (ja) * 2001-06-13 2002-12-26 Fujitsu Ltd クロック分配回路の設計方法,設計装置および設計プログラム並びに同プログラムを記録したコンピュータ読取可能な記録媒体
US7310007B2 (en) 2004-09-15 2007-12-18 Kabushiki Kaisha Toshiba Logic circuit, system for reducing a clock skew, and method for reducing a clock skew
JP2010086284A (ja) * 2008-09-30 2010-04-15 Fujitsu Ltd クロック信号供給回路の設計方法、情報処理装置およびプログラム
JP2010225084A (ja) * 2009-03-25 2010-10-07 Nec Corp 半導体集積回路の設計方法、設計装置および、コンピュータプログラム
CN106528923A (zh) * 2016-09-27 2017-03-22 北京深维科技有限公司 一种芯片全局布局方法
CN114896941A (zh) * 2022-07-15 2022-08-12 飞腾信息技术有限公司 一种时钟树的布局优化方法、优化装置和相关设备

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6922818B2 (en) * 2001-04-12 2005-07-26 International Business Machines Corporation Method of power consumption reduction in clocked circuits
US7082104B2 (en) * 2001-05-18 2006-07-25 Intel Corporation Network device switch
US7093224B2 (en) 2001-08-28 2006-08-15 Intel Corporation Model-based logic design
US20030046051A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Unified design parameter dependency management method and apparatus
US6983427B2 (en) * 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US7130784B2 (en) * 2001-08-29 2006-10-31 Intel Corporation Logic simulation
US20030046054A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Providing modeling instrumentation with an application programming interface to a GUI application
US6721925B2 (en) * 2001-08-29 2004-04-13 Intel Corporation Employing intelligent logical models to enable concise logic representations for clarity of design description and for rapid design capture
US6859913B2 (en) * 2001-08-29 2005-02-22 Intel Corporation Representing a simulation model using a hardware configuration database
US7073156B2 (en) * 2001-08-29 2006-07-04 Intel Corporation Gate estimation process and method
US7107201B2 (en) * 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
JP4931308B2 (ja) * 2001-09-28 2012-05-16 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US20030080767A1 (en) * 2001-10-31 2003-05-01 Tilmann Neunhoffer Method for checking integrated circuits
US6647540B2 (en) * 2001-11-08 2003-11-11 Telefonaktiebolaget Lm Ericsson(Publ) Method for reducing EMI and IR-drop in digital synchronous circuits
WO2003052644A1 (en) * 2001-12-18 2003-06-26 Silicon Perspective Corporation Gated clock tree synthesis
US7197724B2 (en) * 2002-01-17 2007-03-27 Intel Corporation Modeling a logic design
US20030145311A1 (en) * 2002-01-25 2003-07-31 Wheeler William R. Generating simulation code
US6769104B2 (en) * 2002-05-08 2004-07-27 Agilent Technologies, Inc. Method and apparatus for minimizing clock skew in a balanced tree when interfacing to an unbalanced load
JP2004022864A (ja) * 2002-06-18 2004-01-22 Fujitsu Ltd ツリー構造型回路生成方法およびツリー構造型回路生成プログラム
US6775813B2 (en) * 2002-09-10 2004-08-10 Sun Microsystems, Inc. Aggregation of storage elements into stations and placement of same into an integrated circuit or design
CN102306209B (zh) * 2003-02-25 2013-12-04 阿尔特拉公司 时钟树调谐填补单元和填补单元调谐方法
US7020861B2 (en) * 2003-07-17 2006-03-28 International Business Machines Corporation Latch placement technique for reduced clock signal skew
JP2006093393A (ja) * 2004-09-24 2006-04-06 Matsushita Electric Ind Co Ltd 半導体集積回路
JP2006128635A (ja) * 2004-09-30 2006-05-18 Matsushita Electric Ind Co Ltd 半導体集積回路
US7634743B1 (en) * 2006-07-21 2009-12-15 Cadence Design Systems, Inc. Method for updating a placed and routed netlist
US7549137B2 (en) * 2006-12-14 2009-06-16 International Business Machines Corporation Latch placement for high performance and low power circuits
US7886245B2 (en) * 2007-03-01 2011-02-08 International Business Machines Corporation Structure for optimizing the signal time behavior of an electronic circuit design
US8205182B1 (en) * 2007-08-22 2012-06-19 Cadence Design Systems, Inc. Automatic synthesis of clock distribution networks
JP2009152451A (ja) * 2007-12-21 2009-07-09 Texas Instr Japan Ltd 集積回路装置とそのレイアウト設計方法
US7458050B1 (en) * 2008-03-21 2008-11-25 International Business Machines Corporation Methods to cluster boolean functions for clock gating
US8146039B2 (en) * 2008-12-19 2012-03-27 Freescale Semiconductor, Inc. Optimal distance based buffer tree for data path and clock
US8086982B2 (en) * 2009-03-04 2011-12-27 Springsoft Usa, Inc. Methods and systems for reducing clock skew in a gated clock tree
JPWO2010100830A1 (ja) * 2009-03-05 2012-09-06 日本電気株式会社 半導体装置、回路修正方法、設計支援装置及び設計支援プログラム
US8572418B2 (en) * 2009-03-12 2013-10-29 Qualcomm Incorporated Moving clock gating cell closer to clock source based on enable signal propagation time to clocked storage element
US8516417B2 (en) * 2009-08-07 2013-08-20 International Business Machines Corporation Method and system for repartitioning a hierarchical circuit design
US8458634B2 (en) 2010-10-27 2013-06-04 International Business Machines Corporation Latch clustering with proximity to local clock buffers
US8413085B2 (en) * 2011-04-09 2013-04-02 Chipworks Inc. Digital netlist partitioning system for faster circuit reverse-engineering
WO2014087663A1 (ja) 2012-12-07 2014-06-12 パナソニック株式会社 送信装置、送信方法、受信装置、受信方法、集積回路、及びプログラム
US8677299B1 (en) 2013-01-08 2014-03-18 International Business Machines Corporation Latch clustering with proximity to local clock buffers
US9495501B1 (en) * 2016-01-29 2016-11-15 International Business Machines Corporation Large cluster persistence during placement optimization of integrated circuit designs
US10042971B1 (en) * 2016-07-14 2018-08-07 Xilinx, Inc. Placement and routing of clock signals for a circuit design
US10068048B1 (en) * 2016-07-18 2018-09-04 Xilinx, Inc. Generating clock trees for a circuit design
US10289797B1 (en) * 2017-08-28 2019-05-14 Cadence Design Systems, Inc. Local cluster refinement
US10943040B1 (en) 2019-09-11 2021-03-09 International Business Machines Corporation Clock gating latch placement
US10831967B1 (en) * 2019-09-11 2020-11-10 International Business Machines Corporation Local clock buffer controller placement and connectivity
US10878152B1 (en) 2019-09-11 2020-12-29 International Business Machines Corporation Single-bit latch optimization for integrated circuit (IC) design
US10831966B1 (en) 2019-09-11 2020-11-10 International Business Machines Corporation Multi-fanout latch placement optimization for integrated circuit (IC) design
US11030376B2 (en) 2019-09-11 2021-06-08 International Business Machines Corporation Net routing for integrated circuit (IC) design
US11526650B1 (en) * 2021-03-31 2022-12-13 Cadence Design Systems, Inc. Switching power aware driver resizing by considering net activity in buffering algorithm
US11620428B1 (en) * 2021-05-07 2023-04-04 Cadence Design Systems, Inc. Post-CTS clock tree restructuring

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5798935A (en) * 1996-07-01 1998-08-25 Sun Microsystems, Inc. Method and apparatus for sizing buffers to provide minimal skew
JP3178371B2 (ja) 1997-05-06 2001-06-18 日本電気株式会社 半導体集積回路の設計方法
JP3437748B2 (ja) 1997-10-09 2003-08-18 株式会社東芝 クロック供給回路及びイネーブルバッファーセル
TW475319B (en) * 1998-03-02 2002-02-01 Via Tech Inc Gated clock tree synthesis method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002373939A (ja) * 2001-06-13 2002-12-26 Fujitsu Ltd クロック分配回路の設計方法,設計装置および設計プログラム並びに同プログラムを記録したコンピュータ読取可能な記録媒体
US7310007B2 (en) 2004-09-15 2007-12-18 Kabushiki Kaisha Toshiba Logic circuit, system for reducing a clock skew, and method for reducing a clock skew
US7495476B2 (en) 2004-09-15 2009-02-24 Kabushiki Kaisha Toshiba Logic circuit, system for reducing a clock skew, and method for reducing a clock skew
JP2010086284A (ja) * 2008-09-30 2010-04-15 Fujitsu Ltd クロック信号供給回路の設計方法、情報処理装置およびプログラム
JP2010225084A (ja) * 2009-03-25 2010-10-07 Nec Corp 半導体集積回路の設計方法、設計装置および、コンピュータプログラム
CN106528923A (zh) * 2016-09-27 2017-03-22 北京深维科技有限公司 一种芯片全局布局方法
CN106528923B (zh) * 2016-09-27 2019-08-13 京微齐力(北京)科技有限公司 一种芯片全局布局方法
CN114896941A (zh) * 2022-07-15 2022-08-12 飞腾信息技术有限公司 一种时钟树的布局优化方法、优化装置和相关设备
CN114896941B (zh) * 2022-07-15 2022-10-25 飞腾信息技术有限公司 一种时钟树的布局优化方法、优化装置和相关设备

Also Published As

Publication number Publication date
US6440780B1 (en) 2002-08-27

Similar Documents

Publication Publication Date Title
JP2001022816A (ja) 半導体集積回路装置のレイアウト方法
Oh et al. Gated clock routing for low-power microprocessor design
Zlatanovici et al. Energy–delay optimization of 64-bit carry-lookahead adders with a 240 ps 90 nm CMOS design example
JP2874628B2 (ja) 論理回路の最適化装置及びその方法
JP5401376B2 (ja) 半導体集積回路装置の設計方法
JP2002288253A (ja) 集積回路設計中にデカップリング・キャパシタンスを加えるための方法
US7917882B2 (en) Automated digital circuit design tool that reduces or eliminates adverse timing constraints due to an inherent clock signal skew, and applications thereof
JPH08221451A (ja) データパス回路のレイアウト設計方法
Lin et al. An efficient algorithm of adjustable delay buffer insertion for clock skew minimization in multiple dynamic supply voltage designs
JP2001357090A (ja) 論理合成方法及び論理合成装置
US10699045B2 (en) Methods and apparatus for regulating the supply voltage of an integrated circuit
Gundu et al. Low leakage clock tree with dual-threshold-voltage split input–output repeaters
Shen et al. Activity-aware registers placement for low power gated clock tree construction
US8621415B2 (en) Obtaining power domain by clustering logical blocks based on activation timings
JPH04186866A (ja) 半導体装置における電源線の配線方法及び電源配線決定装置
JP2010004352A (ja) 半導体集積回路およびその設計装置
Kakoee et al. Robust near-threshold design with fine-grained performance tunability
JPH1092942A (ja) 半導体集積回路の最適化装置とその最適化方法
Kaur et al. Power estimation analysis for CMOS cell structures
Pedram et al. Power optimization in VLSI layout: a survey
Liu et al. A novel obstacle-aware multiple fan-out symmetrical clock tree synthesis
JP2002237521A (ja) 半導体装置のクロックスキュー調整方法
Nayak et al. Power optimization issues in dual voltage design
CN117350235A (zh) 降低时钟网络功耗的布线方法、计算机设备及存储介质
JP3184135B2 (ja) 半導体集積回路のレイアウト方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070904

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080108