JP2000315685A - 高アスペクト比開口部の反応性プラズマエッチクリーニング - Google Patents

高アスペクト比開口部の反応性プラズマエッチクリーニング

Info

Publication number
JP2000315685A
JP2000315685A JP2000120310A JP2000120310A JP2000315685A JP 2000315685 A JP2000315685 A JP 2000315685A JP 2000120310 A JP2000120310 A JP 2000120310A JP 2000120310 A JP2000120310 A JP 2000120310A JP 2000315685 A JP2000315685 A JP 2000315685A
Authority
JP
Japan
Prior art keywords
plasma
chamber
gas
halogen
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000120310A
Other languages
English (en)
Inventor
Barney M Cohen
エム コーエン バーニー
Jingang Su
スー インガン
Kenny King-Tai Ngan
キン タイ ンガン ケニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2000315685A publication Critical patent/JP2000315685A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 高アスペクト比の開口部の底部又は側部から
自然酸化物を除去し、酸化物が再堆積しない方法。 【解決手段】 反応性ハロゲン含有ガスとヘリウム等の
キャリヤガスのプラズマガス先駆物質混合物を使用し
て、高アスペクト比の開口部を有する基板から、自然酸
化物を除去する。プラズマ中に発生した軽量のイオンが
酸素と反応して、揮発性の酸素含有核種を生じ、これを
プラズマチャンバの排気システムから除去することが出
来、開口部の側壁又は底部上に酸化物が再度堆積するの
を防止する。基板の上にプラズマを形成でき、また基板
にバイアスを与えられる二重電源を有するプラズマチャ
ンバ内に基板を取付けると、テーパ付き開口部が迅速に
形成され、これはボイドを形成せずに容易に充填するこ
とが出来る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、自然酸化物を除去
する改善された方法に関し、より詳しくは、本発明は、
高アスペクト比の開口部からに自然酸化物を除去するこ
とに関する。
【0002】
【従来の技術】半導体デバイスの製造において、シリコ
ンウェハ等の1つの半導体基板上により多くのデバイス
を設けようとして、誘電体層で分離された幾つかのデバ
イスの層が作られた。誘電体層に開口部が作られ、次に
それに導電性材料を充填し、異なる層にあるデバイス間
の電気接触するようにした。これらの開口部は小さく保
たれるが、比較的厚い誘電体層を通過しなければならな
い。深さと幅の比はアスペクト比(AR)と呼ばれ、直
径が小さく深い開口部は、高アスペクト比の開口部とい
われる。より小さいデバイスが作られるようになると、
このような開口部のARは増加する。
【0003】材料を非常に少量の酸素に晒すことによ
り、開口部の底部に自然酸化物が容易に形成される。薄
い「自然酸化物(native oxide)」層が形成される反応は
自発性である。シリコン、アルミニウム、銅等の材料
は、開口部をエッチングするのに使用するチャンバ内に
存在しうるあらゆる酸素から容易に自然酸化物を形成す
る。これは、基板を空気に、又はエッチングステップ中
に発生しえる任意の酸素を含有する核種に晒すことによ
る。酸化物は誘電性なので、シリコン、アルミニウム、
銅等の導電性材料が酸化してそれらの酸化物が形成され
ると、堆積された導電性層の導電率が減少し、次に堆積
される導電性薄膜との間に接触抵抗の高い界面を生じ
る。このため、デバイスの性能が低下する。従って、デ
バイスの低下を防止するため、高アスペクト比の開口部
は、導電性コンタクト材料を充填する前に、これらの自
然酸化物を清掃しなければならない。
【0004】これまでは、HFとフッ化アンモニウム等
の緩衝性酸化物エッチング液、即ち「BOE」エッチン
グ液に基板を浸漬することにより、及び/又はアルゴン
でスパッタエッチングすることにより、自然酸化物は、
事前清掃ステップで除去されてきた。しかし、自然酸化
物を除去した後、基板をあらゆる酸素、又は酸素を含有
するガスに晒すことは出来なかった。そうでないと、自
然酸化物が再度形成されるからである。
【0005】
【発明が解決しようとする課題】従って、真空中で自然
酸化物を有効に事前に清掃し、即ち除去し、高アスペク
ト比の開口部の底部又は側部に沿って酸化物が再度堆積
しない方法が求められていた。さらに、このような方法
は、ARが4又はそれ以上の高アスペクト比の開口部の
底部から、自然酸化物を完全に除去し、開口部の側壁に
沿って自然酸化物が再度堆積しないように出来なければ
ならない。
【0006】
【課題を解決するための手段】本発明は、高アスペクト
比の開口部の底部から、自然酸化物(native oxide)を完
全に除去し、再堆積がない、即ち開口部の側壁に沿って
酸素含有材料が堆積しない方法を提供する。高アスペク
ト比の開口部をプラズマでエッチングし、これにより、
チャンバに存在する酸素を揮発性の酸素含有、又はハロ
ゲン含有ガスに変え、これらのガスは、真空チャンバの
排気システムを通って容易に又完全に除去することが出
来る。
【0007】特に、ヘリウムとハロゲン含有ガスのプラ
ズマは、好適なプラズマエッチングチャンバ内で形成さ
れる。このエッチング液混合物が、存在する自然酸化物
を元素状態の酸素と揮発性のハロゲン含有ガスに変え
る。これらのガスは、容易に完全にチャンバから排気
し、高アスペクト比の開口部の底部又は側壁上に酸素含
有化合物が再堆積するのを防止する。エッチングチャン
バの壁面と固定物に再堆積した材料は、良く固着しない
ので、壁面と固定物から微粒子が落下し、それが基板上
に堆積する場合がある。本発明により、このような再堆
積も防止することが出来る。
【0008】
【発明の実施の形態及び実施例】図1に、本発明に有用
な二重電力エッチングチャンバを示す。このチャンバ
は、カリフォルニア州サンタクララのアプライドマテリ
アルズ社からプレクリーンIIチャンバとして商業的に
入手できる。一般に、エッチング/プレクリーン(前洗
浄)チャンバ40は、囲壁72と、チャンバ40内に配置され
た基板支持具42と、囲壁72に接続されたガス入口76と、
囲壁72に接続されたガス出口78と、ガス入口76を通って
チャンバ内に入ったガスから囲壁72内にプラズマを発生
するため、誘導コイル98に接続されたRF電源74と、基
板支持具42に接続された電源80とを備える。
【0009】囲壁72は、側壁82と、底部84と、頂部86と
を備える。取外し可能なアルミナ(Al23)ドーム88
が、頂部86の下で処理領域90の上に取り付けられてい
る。誘導コイル98が、アルミナドーム88の周りに配置さ
れ、RF電源74に接続されて、処理領域90内にプラズマ
を誘導結合する。ガス入口76は、処理ガス供給源92に接
続され、処理中、処理ガス混合物をチャンバ40内に導入
する。ガス出口78は、サーボ制御スロットル弁94と、真
空ポンプ96とを備えるのが好ましい。真空ポンプ96は、
処理前と処理中チャンバ40を真空排気し、真空ポンプ96
とスロットル弁94とにより、チャンバ40内を所望の圧力
を保持する。
【0010】基板支持具42は、一般にアルミナ絶縁プレ
ート48の上面の凹部46内に配置されたペデスタルプレー
ト44を備える。ペデスタルプレート44の上面は、絶縁プ
レート48の上面52より少し上まで延び、基板54の底面即
ち裏面58の中央部分と接触している。ペデスタルプレー
ト44は、アルミナで出来ていて、電源80に接続され、基
板54にバイアスを与えるのが好ましい。基板54の外辺部
は、絶縁プレート48の上側環状表面52の上に延び、基板
54の裏面58と絶縁プレート48の上面52との間にギャップ
56形成する。ギャップ56の高さは、約5ミルから約15
ミルで、プラズマが基板54の裏面58にプラズマが到達し
ないようにするのが好ましい。絶縁プレート48の上面52
の外縁部の直径は、少なくとも基板54の外縁部の直径と
同じ大きさであり、裏面58はペデスタルプレート44に接
触するようになっている。
【0011】アルミナの絶縁プレート48の斜面部分60
は、絶縁プレートの上面52の外縁部から下向きの傾斜で
延びる。斜面部分60の傾斜は、水平面から約10〜60
°が好ましい。図1に示すように、傾斜は約45°であ
る。オプションとして、基板支持具42は、温度制御器又
はヒーター(図示せず)を備え、処理中基板の温度を制
御する。
【0012】動作において、基板支持具42の上に基板54
を置き、チャンバ40を真空排気して、真空環境をつく
る。キャリヤガスとしてのヘリウムと、ハロゲン含有ガ
スからなる処理ガスを、ガス入口76から処理領域90へ導
入する。反応性ガスのガスの流速は、後述するように調
節される。反応を開始するため、誘導結合、及び/又は
容量結合により、処理領域90内で処理ガス混合物のプラ
ズマを発生する。初期のプラズマは、約1Wから約50
0W、好ましくは50から300Wの電力を、約100
kHzから約100MHzの周波数で約3秒間等の短時
間、基板支持具42に供給することにより生成される。初
期のプラズマは、また誘導コイル98に電力をかけること
によっても発生させることができる。処理中、約1Wか
ら約1000W、好ましくは100から400Wの電力
を、約100kHzから約60MHzの周波数でかける
と、誘導により処理領域90内にプラズマが維持される。
チャンバ40内のプラズマは、誘導結合のみによっても、
又は容量結合のみによっても維持できるが、この2つの
組合わせによるのが好ましい。
【0013】基板の開口部の底部から自然酸化物を除去
するように、エッチング時間を調節する。開口部の底部
から約100〜500オングストロームの材料を除去す
ると、自然酸化物は全て除去されるが、開口部の側壁上
には酸素含有核種はなにもスパッタリングされない。導
電性層を堆積する前に、バリヤー層を使用して開口部を
満たせば、公知のように、側壁に存在する酸化物のた
め、バリヤー層とデバイスの品質が劣化する。上述のプ
レクリーンIIチャンバは、マルチチャンバシステムの
一部として使用することが出来る。マルチチャンバシス
テムでは、基板を真空中から取出さずに、従って基板を
酸素に晒さずに、基板を1つの処理チャンバから他へ移
送することが出来る。このようなシステムは、メイダン
らの米国特許第4,951,601号に開示されていて、この特
許をここに参照する。
【0014】本発明によれば、反応性ハロゲン含有ガス
をヘリウム等のキャリヤガスと混合した、非常に反応性
のエッチングガスを用いて、酸化珪素等の自然酸化物を
除去する。好適な反応性ハロゲン含有ガスには、三フッ
化窒素、四フッ炭素、六フッ化硫黄、四塩化炭素、三塩
化ホウ素等がある。上述したチャンバのプラズマ領域で
は、ハロゲン含有ガスが軽量のイオンとラジカルに分解
し、それが自然酸化物と迅速に反応する。ヘリウム原子
はまた、プラズマ中でイオン化し、ハロゲン含有分子を
ますます励起し、解離を促進する。1例として、三フッ
化窒素とヘリウム等の本発明のエッチング混合物と酸化
珪素の間のプラズマ中で起こる反応は、下記の反応に要
約することが出来る。
【0015】1) NFn+e → NFn-1+F− 2) F−+e− → F+2e− 3) 4F+SiO2 → SiF4↑+O↑2 従って、全体の反応は、 4) 3SiO2+4NF3 → 3SiF4↑+2N2↑+
3O2
【0016】エッチング混合物からプラズマ中に形成さ
れたイオンは軽量なので、スパッタリングは殆ど起こら
ず、プレクリーンステップでは、ハロゲン含有プラズマ
中のイオン及びとラジカルと、基板上の酸化珪素の間で
反応が起こる。三フッ化窒素等の反応性ハロゲン含有ガ
スの相対的な量は、低く、一般に約20%より低く保た
れ、低いエッチング速度を保ち、自然酸化物を除去する
のに必要なより多く材料を除去することがないようにす
る。ハロゲン含有ガスとヘリウム分子が軽量なので、ス
パッタリングは殆ど起こらない。
【0017】しかし、上述のプロセスを更に助けるた
め、a)アルゴン、b)ハロゲンガスとアルゴンの混合物を
追加すると、酸化物、及び/又は酸化物のスパッタリン
グを減少することが出来、急速に反応するようにし、非
常に高アスペクト比の開口部の底部と側壁から除去する
のを助ける。本発明は、次の実施例により示されるが、
本発明はここに記載したことに限定することを意図する
ものではない。
【0018】実施例 図1に示した反応器で、自然酸化物層を有し、上面に1
2:1の高アスペクト比の開口部を有するシリコン基板
が、プラズマに晒された。このプラズマは、10原子%
濃度の三フッ化窒素と90原子%濃度のヘリウムの混合
物から形成され、この混合物は50sccmの流速でチ
ャンバ内に導入された。チャンバの圧力は、50ミリト
ールであった。基板へのバイアスを変化させ、基板から
500オングストロームの材料を除去するまでエッチン
グを継続した。酸化物を除去するのに必要な時間を測定
した。その結果を表Iに示す。
【0019】表I *アルゴンガスのみ
【0020】従って、本発明の反応性エッチング混合物
を使用すると、エッチング時間は殆ど半分に減少し、開
口部の頂部からと開口部の底部から除去された材料の量
は、エッチングガスとしてアルゴンのスパッタリングガ
スを使用したときと比較して、はるかに等しかった。
【0021】図2は、実施例1で形成された深さ2.8
ミクロン、開口部の底部で直径0.25mm、ARが1
2:1のテーパ付きで清浄化された開口部を示す。この
テーパ付きの形状のために、開口部に、ボイドを形成せ
ずに、導電性材料を充填することが出来る。全ての酸化
物又は誘電性材料が、開口部の底部から除去され、開口
部の側壁上に材料の堆積がないことは明らかである。
【0022】基板の上面から除去された材料の量(オン
グストロームで表す)(フィールドエッチング量とい
う)と、開口部の底面から除去された材料の量(底部エ
ッチング量という)もまた、上述の例と対照標準につい
て測定した。データは、表IIに要約される。
【0023】表II
【0024】従って、開口部の底部でのエッチング除去
は、実施例のエッチング混合物を使用してかなり改善さ
れた。図3Aは、対照標準として酸化珪素に形成された
開口部の輪郭を示す。図3Bは、酸化珪素に形成され、
スパッタエッチングされて自然酸化物が除去された開口
部の輪郭を示す。図3Cと3Dは、本発明により清浄化
された反応性エッチングされた開口部を示す(それぞ
れ、例の1と2)。図3Cと3Dのテーパ付き側壁は、
導電性材料を充填するのがずっと容易である。
【0025】本発明を特定の反応チャンバと反応性ガス
について記述したが、当業者は、他のプレクリーンチャ
ンバと、他のエッチング混合物で置き換えることが出来
ることを理解でき、これらは本発明に含まれる。
【図面の簡単な説明】
【図1】 本発明のクリーニングステップを実行できる
プラズマ真空チャンバの断面図。
【図2】 本発明により深いテーパの付いた開口部が清
掃されることを示す図。
【図3A】 開口部の底部に自然酸化物がある開口部を
示す図。
【図3B】 従来技術によりスパッタエッチングされた
開口部を示す図。
【図3C】 本発明のプロセスにより反応性エッチング
された開口部を示す図。
【図3D】 本発明のプロセスにより反応性エッチング
された開口部を示す図。
【符号の説明】
40 エッチング/プレクリーンチャンバ 42 基板支持具 44 ペデスタルプレート 48 絶縁プレート 52 上面 54 基板 58 裏面 60 斜面 72 囲壁 74 RF電源 76 ガス入口 78 ガス出口 80 電源 82 側壁 84 底部 86 頂部 88 アルミナドーム 90 処理領域 92 ガス源 94 スロットル弁 96 真空ポンプ 98 誘導コイル
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) (72)発明者 バーニー エム コーエン アメリカ合衆国 カリフォルニア州 95051 サンタ クララ マリエッタ ド ライヴ 2931 (72)発明者 インガン スー アメリカ合衆国 カリフォルニア州 94087 サニーヴェイル クレッセント アベニュー 455 アパートメント 23 (72)発明者 ケニー キン タイ ンガン アメリカ合衆国 カリフォルニア州 94539 フリーモント カメロン ヒルズ ドライヴ 43793

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 高アスペクト比コンタクト開口部の底部
    から、自然酸化物材料を除去する方法において、 真空チャンバ内で、反応性ハロゲン含有ガスとキャリヤ
    ガスの先駆物質混合物から形成された反応性プラズマに
    より、開口部をエッチングし、軽量の酸素含有反応生成
    物を形成し、 前記酸素含有反応生成物を前記チャンバから排気するす
    ることを特徴とする方法。
  2. 【請求項2】 前記ハロゲン含有ガスは、三フッ化窒
    素、四フッ炭素、六フッ化硫黄、四塩化炭素、三塩化ホ
    ウ素からなる群から選択される請求項1に記載した方
    法。
  3. 【請求項3】 前記ハロゲン含有ガスは、三フッ化窒素
    である請求項2に記載した方法。
  4. 【請求項4】 前記プラズマは、ヘリウムキャリヤガス
    中の約1から約50原子%のハロゲン含有ガスから形成
    される請求項1に記載した方法。
  5. 【請求項5】 前記プラズマ先駆物質ガスは、水素を含
    む請求項1に記載した方法。
  6. 【請求項6】 前記プラズマ先駆物質ガスは、アルゴン
    を含む請求項3に記載した方法。
  7. 【請求項7】 高アスペクト比コンタクト開口部の底部
    から、自然酸化物材料を除去する方法において、 a) プラズマチャンバ内の基板支持具上に、高アスペク
    ト比の開口部を有する基板を取り付け、該プラズマチャ
    ンバは、前記プラズマチャンバにプラズマを発生するた
    めRF電源に接続された外部コイルと、前記基板にバイ
    アスを与えるため前記基板支持具に接続された電源と、
    排気システムとを備え、 b) ハロゲン含有ガスとキャリヤガスからなるプラズマ
    先駆物質ガス混合物を前記チャンバへ入れ、 c) 前記酸素含有反応生成物を前記チャンバから排気す
    るすることを特徴とする方法。
  8. 【請求項8】 前記ハロゲン含有ガスは、三フッ化窒
    素、四フッ炭素、六フッ化硫黄、四塩化炭素、三塩化ホ
    ウ素からなる群から選択される請求項7に記載した方
    法。
  9. 【請求項9】 前記ハロゲン含有ガスは、三フッ化窒素
    である請求項8に記載した方法。
  10. 【請求項10】 前記キャリヤガスはヘリウムである請
    求項7に記載した方法。
  11. 【請求項11】 かけられる前記バイアス電力は、約1
    から500ワットである請求項7に記載した方法。
  12. 【請求項12】 前記コイルにかけられる前記RF電力
    は、約1から1000ワットである請求項7に記載した
    方法。
  13. 【請求項13】 前記プラズマ先駆物質ガスは、水素を
    含む請求項7に記載した方法。
  14. 【請求項14】 前記プラズマ先駆物質ガスは、アルゴ
    ンを含む請求項10に記載した方法。
  15. 【請求項15】 前記プラズマ先駆物質ガスは、アルゴ
    ンを含む請求項7に記載した方法。
  16. 【請求項16】 高アスペクト比コンタクト開口部の底
    部から、自然酸化物材料を除去する方法において、 a) プラズマチャンバ内の基板支持具上に、高アスペク
    ト比の開口部を有する基板を取り付け、該プラズマチャ
    ンバは、前記プラズマチャンバにプラズマを発生するた
    めRF電源に接続された外部コイルと、前記基板にバイ
    アスを与えるため前記基板支持具に接続された電源と、
    排気システムとを備え、 b) ハロゲン含有ガスとキャリヤガスからなるプラズマ
    先駆物質ガス混合物を前記チャンバへ入れ、 c) 50から300ワットの電力を前記基板支持具に、
    また100から400ワットの電力を前記外部コイルに
    かけて、前記チャンバ内にプラズマを形成し、 d) 前記酸素含有反応生成物を前記チャンバから排気す
    るすることを特徴とする方法。
  17. 【請求項17】 ヘリウム中の三フッ化窒素の濃度は、
    1から約50%である請求項16に記載した方法。
JP2000120310A 1999-04-22 2000-04-21 高アスペクト比開口部の反応性プラズマエッチクリーニング Withdrawn JP2000315685A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/298065 1999-04-22
US09/298,065 US6110836A (en) 1999-04-22 1999-04-22 Reactive plasma etch cleaning of high aspect ratio openings

Publications (1)

Publication Number Publication Date
JP2000315685A true JP2000315685A (ja) 2000-11-14

Family

ID=23148860

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000120310A Withdrawn JP2000315685A (ja) 1999-04-22 2000-04-21 高アスペクト比開口部の反応性プラズマエッチクリーニング

Country Status (5)

Country Link
US (1) US6110836A (ja)
EP (1) EP1047123A2 (ja)
JP (1) JP2000315685A (ja)
KR (1) KR20010049274A (ja)
SG (1) SG78415A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11223162B2 (en) 2017-12-26 2022-01-11 Samsung Electronics Co., Ltd. Air cleaner and home appliance

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4910231B2 (ja) * 2000-10-25 2012-04-04 ソニー株式会社 半導体装置の製造方法
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP3580255B2 (ja) * 2001-01-10 2004-10-20 松下電器産業株式会社 プラズマ処理方法
US6803309B2 (en) * 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
TWI692799B (zh) * 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
JP3347203B2 (ja) * 1993-12-27 2002-11-20 富士通株式会社 微細空洞形成方法及び微細空洞を有する微小装置
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11223162B2 (en) 2017-12-26 2022-01-11 Samsung Electronics Co., Ltd. Air cleaner and home appliance

Also Published As

Publication number Publication date
KR20010049274A (ko) 2001-06-15
EP1047123A2 (en) 2000-10-25
US6110836A (en) 2000-08-29
SG78415A1 (en) 2001-02-20

Similar Documents

Publication Publication Date Title
JP2000315685A (ja) 高アスペクト比開口部の反応性プラズマエッチクリーニング
US6136211A (en) Self-cleaning etch process
US6762129B2 (en) Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US6313042B1 (en) Cleaning contact with successive fluorine and hydrogen plasmas
JP5844390B2 (ja) 遠隔励起式のフッ素および水蒸気エッチング
US6630390B2 (en) Method of forming a semiconductor device using a carbon doped oxide layer to control the chemical mechanical polishing of a dielectric layer
KR100656214B1 (ko) 플라즈마 처리 방법
JP2005508078A (ja) 高アスペクト比形態のエッチング方法
US5956608A (en) Modulating surface morphology of barrier layers
JP2003506856A (ja) アルゴン、ヘリウム、及び、水素ガスによるプラズマ予備洗浄
KR101913676B1 (ko) 기판 처리 방법 및 기억 매체
CN109983558B (zh) 成膜装置及成膜方法
JP3331979B2 (ja) 半導体のエッチング方法
JP2019204815A (ja) 基板処理方法及び基板処理装置
WO2003056617A1 (fr) Procede de gravure et dispositif de gravure au plasma
JP3887123B2 (ja) ドライエッチング方法
JPH09228053A (ja) タングステンcvd反応室内のエッチング方法
JP3323190B2 (ja) ドライエッチング方法、半導体装置の製造方法及びドライエッチング装置
JP7178826B2 (ja) 処理方法
TW201829831A (zh) 成膜方法及成膜裝置
JPH05326515A (ja) 半導体装置の製造方法
JPH08130211A (ja) エッチング方法
JP2001035834A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070703