JP2000290638A - 研磨方法 - Google Patents

研磨方法

Info

Publication number
JP2000290638A
JP2000290638A JP11104882A JP10488299A JP2000290638A JP 2000290638 A JP2000290638 A JP 2000290638A JP 11104882 A JP11104882 A JP 11104882A JP 10488299 A JP10488299 A JP 10488299A JP 2000290638 A JP2000290638 A JP 2000290638A
Authority
JP
Japan
Prior art keywords
polishing
metal film
polishing method
acid
substance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11104882A
Other languages
English (en)
Other versions
JP3941284B2 (ja
JP2000290638A5 (ja
Inventor
Seiichi Kondo
誠一 近藤
Noriyuki Sakuma
憲之 佐久間
Yoshio Honma
喜夫 本間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP10488299A priority Critical patent/JP3941284B2/ja
Priority to KR10-2000-0017824A priority patent/KR100514536B1/ko
Priority to TW089106538A priority patent/TW478055B/zh
Priority to US09/548,289 priority patent/US6561883B1/en
Publication of JP2000290638A publication Critical patent/JP2000290638A/ja
Publication of JP2000290638A5 publication Critical patent/JP2000290638A5/ja
Application granted granted Critical
Publication of JP3941284B2 publication Critical patent/JP3941284B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

(57)【要約】 【課題】 スクラッチやはがれ、ディシング、エロージ
ョンを抑制し、且つ高い研磨速度で研磨する技術を提供
する。 【解決手段】 酸化性物質と、酸化物を水溶性化する物
質と増粘剤と水を含む研磨液で研磨する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は金属膜の研磨に関
し、特に半導体装置の埋め込み配線形成工程における研
磨方法に関する。
【0002】
【従来の技術】近年、半導体集積回路(以下LSIと記
す)の高集積化、高性能化に伴って新たな微細加工技術
が開発されている。化学機械研磨(以下CMPと記す)
法もその一つであり、LSI製造工程、特に多層配線形
成工程における層間絶縁膜の平坦化、金属プラグ形成、
埋め込み配線形成において頻繁に利用される技術であ
る。この技術は、例えば米国特許No.4944836
に開示されている。
【0003】また、最近はLSIの高速性能化を達成す
るために、配線材料を従来のアルミニウム合金から低抵
抗の銅合金を利用しようとすることが試みられている。
しかし、銅合金はアルミニウム合金配線の形成で頻繁に
用いられたドライエッチング法による微細加工が困難で
ある。そこで、溝加工の施された絶縁膜上に銅合金薄膜
を堆積し、溝内に埋め込まれた部分以外の銅合金薄膜を
CMPにより除去して埋め込み配線を形成する、いわゆ
るダマシン法が主に採用されている。この技術は、例え
ば特開平2−278822号公報に開示されている。絶
縁膜と銅合金薄膜との間には接着性向上と銅拡散バリア
の目的から、数10nm程度の厚さのTiN膜、Ta膜
及びTaN膜等を挿入することが一般的となっている。
【0004】また、金属プラグ形成には埋め込み性の高
い化学気相成長法(CVD法)によってタングステンを
用いることもある。この場合も同様にCMPでプラグを
形成することが多い(他にエッチバック法がある)。
【0005】配線に用いられる銅合金等の金属膜のCM
Pに用いられる研磨液は、固体砥粒と酸化性物質(酸化
剤と呼ぶこともある)を主成分とするものが一般的であ
る。酸化性物質の酸化作用で金属表面を酸化しながら、
固体砥粒によってその酸化物を機械的に除去するのが基
本的なCMPのメカニズムである。これに関しては、株
式会社サイエンスフォーラム発行、柏木正弘編集「CM
Pのサイエンス」1997年8月20日発行の第299
頁に開示されている。
【0006】固体砥粒としては、数10〜数100nm
の粒子径を持つアルミナ砥粒やシリカ砥粒が知られてい
るが、一般に市販されている金属研磨用の固体砥粒の多
くは前者である。
【0007】酸化性物質としては、過酸化水素(H
22)、硝酸第二鉄(Fe(NO33)、過ヨウ素酸カ
リウム(KIO3)が一般に用いられており、これらは
例えば、上記の「CMPのサイエンス」の第299頁か
ら第300頁に開示されている。これらの中でも過酸化
水素が金属イオンを含まないことから、最近では頻繁に
用いられるようになってきた。
【0008】
【発明が解決しようとする課題】しかしながら、従来の
金属膜研磨用の固体砥粒を主成分として含む研磨液を用
いてCMPにより配線及びプラグを形成する場合、以下
の(1)〜(7)に挙げる問題があった。
【0009】(1)絶縁膜に形成された溝の内部に埋め
込まれる金属配線の表面中央部分が周辺部分よりも過剰
に研磨されて凹む現象(以後ディシングと記す)や配線
部周囲の絶縁膜表面が研磨される現象(以後エロージョ
ンと記す)が発生する。ディシングやエロージョンは金
属部分の面積が広い電極パッド(0.1mm角程度の面
積)や密集配線パターンで顕著な問題となる。これら
は、ジャーナルオブ・エレクトロケミカル・ソサエテイ
第141巻第10号、1994年10月、第2842頁
〜第2848頁(J.Electrochem.So
c.Vol.141,No.10,October 1
994,p.2842〜p.2848)に記載されてい
る。
【0010】(2)研磨用の固体砥粒によりスクラッチ
(研磨傷)が発生する。配線用金属膜の表面だけでなく
CMPによって露出した絶縁膜の表面にもスクラッチが
発生する。
【0011】(3)CMPを行うと研磨砥粒と金属膜表
面の間に高い摩擦力が生じるため、金属膜と下地絶縁層
との間や、下地絶縁層内の塗布ガラス(SOG;Spin O
n Glass)と化学気相蒸着(以下CVDという)酸化膜
の間ではがれが発生する場合がある。
【0012】(4)CMP直後のウエハ表面には研磨砥
粒が多数残留するために、次工程を行う前に洗浄を行
い、規定値以下(例えば0.2マイクロメートル以上の
異物数がウエハ当たり100個以下)まで異物数を取り
除かなければならない。このためには化学的な洗浄だけ
でなく機械的な洗浄を併用する洗浄機を必要とした。
【0013】一般的には、薬液を併用したブラシ洗浄と
メガソニック洗浄が行われている。ブラシ材料は金属膜
表面を傷つけない特殊な材料でなくてはならず、薬液と
しては例えば水酸化アンモニウムやフッ酸水溶液等が用
いられる。以上のようなCMP後洗浄プロセスの例とし
ては、例えば「月刊セミコンダクターワールド(Sem
iconductor World)」1995年5月
号の172頁に開示されている。
【0014】(5)CMPに用いる消耗品のコストが高
い。これは研磨剤で用いる砥粒の製造コストが高く、粒
子サイズを揃えるためにも極めて注意を要するからであ
る。特にアルミナ砥粒はシリカ砥粒に比べて数倍高価で
ある。CMPプロセスのコストに関しては、例えばリア
ライズ社最新技術講座1996年5月「CMP装置と関
連材料の最新動向とその問題点」に記載されている。
【0015】(6)CMP関連装置及び設備の問題とし
て、上記のCMP装置や後洗浄装置以外にも研磨剤供給
装置、研磨剤を含む廃液の処理装置が必要となり、CM
P設備全体にかかるコストが非常に高いものになってい
た。研磨剤供給装置では砥粒の沈殿を防止するための攪
拌装置も必要とし、配管内にも沈殿しないように常に研
磨剤を循環するような機構も必要とした。その廃液処理
コストも高く、再利用技術も必要とされている。
【0016】(7)CMP装置は発塵の原因となる研磨
砥粒を多量に使用しているにもかかわらず、クリーンル
ーム内に設置しなければならないという問題がある。C
MP装置に排気ダクト等の発塵を抑制する機構を設け、
クリーンルーム内に特別の部屋を設置するなどしてクリ
ーン度を維持する必要があり、そのためのコストもかか
る。
【0017】上記の問題点は全て研磨砥粒を含む研磨剤
によってCMPを行うことが原因となっている。しか
し、従来のCMPの方法では、研磨砥粒は酸化剤によっ
て形成された酸化層を速やかに除去すべく機械的除去効
果を生じさせるために必要であり、研磨砥粒を加えない
と実用的な研磨速度に達しなかった。
【0018】一方、砥粒を含まない研磨液によって金属
膜を研磨し、埋め込み配線構造を形成する方法がこれま
での我々の研究によって見い出された。すなわち、酸化
性物質と、酸化物を水溶性化する物質と水と、必要であ
れば防食性物質を含む研磨液を用いて、金属膜表面を機
械的に摩擦することにより埋め込み金属配線を形成する
ことができる。例えば、過酸化水素水とクエン酸とベン
ゾトリアゾール(以下、BTAと略す。)を含む砥粒フ
リー研磨液で銅配線を形成する方法が1例である。
【0019】この砥粒を含まない研磨液を用いると上記
(1)〜(7)の問題は解決されるが、砥粒を含む研磨
液と比較して研磨速度が遅いという問題があった。特
に、高い研磨荷重(300g/cm2以上)をかけても
研磨速度が飽和して十分に上がらないという問題があっ
た。例えば、市販のアルミナ研磨液の研磨速度が200
〜400nm/分まで達する(但し、スクラッチ等の問
題点はより深刻になる)のに対して、上記の砥粒フリー
研磨液の研磨速度は100〜150nm/分程度であっ
た。
【0020】本発明は係る点を鑑みてなされたものであ
り、埋め込み金属配線を形成する研磨工程において、研
磨速度の高速化を実現することのできる研磨方法や半導
体装置の製造方法を提供することを目的とする。
【0021】
【課題を解決するための手段】上記目的は金属膜の研磨
方法において、酸化性物質と、酸化物を水溶性化する物
質と増粘剤と水を含む研磨液を用いて金属膜表面を機械
的に摩擦することにより達成される。
【0022】研磨液には必要に応じて腐食を抑制する物
質(防食性物質)を研磨液に添加しても良い。
【0023】増粘剤の分子量としては1万以上であるも
のが望ましい。さらに、10万以上であればより高い研
磨速度が得られる。また、架橋型重合体のように数百万
に達するものであれば、なお望ましい。
【0024】増粘剤自体の粘性率(粘度)としては、1
重量%水溶液の状態で100cP以上であるものが望ま
しい。さらに、1000cP以上であればより高い研磨
速度が得られる。また、研磨液の粘性率としては、室温
で10cP以上であるものが望ましい。さらに、100
cP以上であればより高い研磨速度が得られる。ちなみ
に純水の室温における粘性率は1cPである。
【0025】増粘剤の分子構造としては、カルボキシル
基やリン酸基を含むものが金属の研磨速度を向上する目
的から望ましい。例えば、ポリアクリル酸、ポリメタク
リル酸、及びこれらのアンモニウム塩、トリエタノール
アミン塩、モノエタノールアミン塩、トリエチルアミン
塩、ジイソプロパノールアミン塩等が挙げられ、上記の
分子量もしくは粘性率を満たすものが有効である。特
に、架橋型ポリアクリル酸もしくはその塩を用いること
により研磨速度はより一層高くなる。これらのポリマー
は複数の種類を組み合わせて用いても良い。また、共重
合ポリマーにしても良い。
【0026】上記の酸化物を水溶性化する物質としては
有機酸もしくはその塩があり、金属イオン(例えばCu
2+イオン)として水溶性化する作用を利用する。有機酸
の中でもヒドロキシカルボン酸は研磨速度を高める効果
が高い。例えば、クエン酸、リンゴ酸、マロン酸、コハ
ク酸、酒石酸、フタル酸、マレイン酸、フマル酸、乳
酸、ピメリン酸、アジピン酸、グルタル酸、シュウ酸、
サリチル酸、グルコール酸、安息香酸などのヒドロキシ
酸やギ酸、酢酸、プロピオン酸、酪酸、吉草酸などのカ
ルボン酸に代表される有機酸及びそれらの塩が挙げられ
る。その他にEDTA等のキレート剤も用いることがで
きる。塩は溶解度を高める効果があり、金属成分を含ま
ないもの、例えばアンモニウム塩、もしくは半導体素子
に悪影響を及ぼさない元素(例えばアルミニウム等)を
含むものが望ましい。また、これらの薬剤は複数を組み
合わせて用いても良い。
【0027】上記の酸のうち、クエン酸、リンゴ酸、マ
ロン酸、コハク酸、酒石酸、ギ酸が高研磨速度、低エッ
チング速度の観点から、本発明の研磨液に使用する酸と
して望ましい。
【0028】上記の酸のうち、クエン酸とリンゴ酸は食
品添加物としても一般に使用されており、毒性が低く、
廃液としての害も低く、臭いもなく、水への溶解度も高
いために本発明の研磨液に使用する酸として特に望まし
い。
【0029】酸化性物質としては過酸化水素が金属を含
まないことから最も適している。また、硝酸第二鉄、硝
酸、過ヨウ素酸カリウムも十分な酸化力があり、特にタ
ングステンの研磨に適している。これらの酸化性物質は
複数を組み合わせて用いても良い。
【0030】金属膜の過剰な酸化やエッチングを抑制す
る物質としては防食性物質が有効である。増粘剤自体に
も防食機能を有するものもあるが、さらに強い防食性物
質を研磨液中に混合することでディシングを抑制するこ
とができる。特に銅合金の防食性物質としてはベンゾト
リアゾール(以下BTAと記す)が最も効果が大きい。
他に実用上使えるものとしては、トリルトリアゾール
(以下TTAと記す)、BTAカルボン酸(以下BTA
−COOHと記す)等のBTAの誘導体、シスチン、ハ
ロ酢酸、グルコース、ドデシルメルカプタン、キナルジ
ン酸等も防食効果がある。
【0031】研磨砥粒に関しては、アルミナ砥粒やシリ
カ砥粒が本発明の研磨液に含まれていると研磨速度を増
加する効果が期待できる。但し、上に記載した問題点
(1)〜(7)が発生するため、このような問題点が障
害にならない場合には適用できる。
【0032】なお、研磨する金属膜としては、Cu、
W、Ti、TiN、Ta、TaN、Al等に適用でき
る。特にCuは研磨速度が高く、本発明の対象金属とし
て最も適している。W、Ti及びTiNは研磨速度はC
uほど高くないが、研磨膜厚が薄い場合(例えばCu配
線のバリア膜としては数10nm程度の膜厚)には適し
ている。
【0033】上記の物質が含まれた研磨液でCMPを行
うと、まず金属膜表面がポリマー(増粘剤)によって被
覆保護される。図3(a)に示したような金属膜表面の
凸部27は常に研磨布の機械的摩擦を受けるため、ポリ
マーによって形成された保護膜は容易に除去される。研
磨液に晒された金属膜表面は酸化性物質によって酸化さ
れ、表面に薄い酸化層が形成される。次に酸化物を水溶
性化する物質が供給されると前記酸化層が水溶液となっ
て溶出して前記酸化層の厚さが減る。酸化層が薄くなっ
た部分は再度酸化性物質に晒されて酸化層の厚さが増
し、この反応を繰り返してCMPが進行する。したがっ
て、金属膜表面の凸部27は表面の反応生成物は除去さ
れ易く、かつ局所的に加熱されるために反応が促進さ
れ、上記の酸化/水溶性化の繰り返し反応はポリマー保
護膜が形成された凹部26よりも速く進行する。すわな
ち、凸部27の研磨速度は速くなり平坦化される。
【0034】防食性物質は金属表面に付着して凹部の反
応をさらに抑制し、最終的にディシングの発生を阻止す
る効果がある。添加濃度の目安として、研磨速度を50
nm/min以上に保ち、かつエッチング速度が数nm
/min以下であることが望ましい(速度比が50程
度)。それ以上の濃度で添加するとCMP速度が低下す
ることがある。但し、無添加で研磨速度が十分に高く、
かつエッチング速度が数nm/min以下と小さい場合
には、防食性物質を添加しなくても平坦性よく研磨する
ことができる。
【0035】
【発明の実施の形態】以下、本発明を図面を用いて具体
的に説明する。
【0036】(実施例1)本実施例では銅のCMPを行
うことによって銅配線を形成する方法について説明す
る。図1は本発明の実施例において使用するCMP装置
を示す概略図である。 研磨布17が貼り付けられた定
盤11の上をバッキングパッド18によってウエハ14
を支持したホルダ12が回転してCMPを行う構造にな
っている。CMP中にウエハがはずれないようにリテー
ナリング13が設けられている。CMP中における研磨
荷重はホルダ12の上に重りを載せて調節した。標準的
な研磨荷重は220g/cm2、定盤の回転数は60r
pm、ホルダの回転数は40rpmとした。なお、研磨
荷重や回転数はこれに限られるものではない。研磨布は
ロデール社製の硬質布IC1000を用いた。
【0037】本発明の研磨液は定盤11上に設けられた
第1の供給口15から研磨布上に約100cc/min
の速度で滴下してCMPを行う。CMPが終了した段階
で第1の供給口15を閉じて研磨液の供給を停止し、第
2の供給口16から純水を約3000cc/minの速
度で供給してリンスを15〜30秒間行う。その後ウエ
ハを乾燥しないような状態で保持し、ブラシスクラブ洗
浄により研磨液を除去した後、ウエハを乾燥させる。
【0038】まず、配線パターンが形成されていないウ
エハを用いて本発明の研磨液の研磨特性を調べた。試料
はシリコンウエハ上に厚さ200nmのシリコン酸化膜
を形成した後、接着層として厚さ50nmのTiN膜と
厚さ800nmのCu膜をスパッタリング法によって真
空中で連続成膜したものである。ウエハ直径は5インチ
である。
【0039】本実施例で用いた研磨液は過酸化水素水
(市販の30%H22水溶液)とクエン酸と、分子量の
異なるポリアクリル酸から構成された水溶液である。組
成は過酸化水素水は30体積%、クエン酸は0.15重
量%、ポリアクリル酸は0.1重量%である。この研磨
液を用いて、Cu膜の研磨速度とエッチング速度を測定
した。エッチング速度とは研磨液中に試料を浸した際に
Cu膜表面がエッチングされる速度であり、CMP中に
過剰にエッチングが進行すると配線構造が形成されない
ため、できるだけ低い方が望ましい。なお、研磨液の温
度は室温である。研磨速度及びエッチング速度はCu膜
の電気抵抗値変化から換算して求めた。
【0040】図2にCuの研磨速度のポリアクリル酸の
分子量依存性を調べた結果を示す。分子量が1万以下の
ポリアクリル酸を含む研磨液の研磨速度は90nm/分
であった。これに対して、分子量が14万のものでは2
00nm/分になり、分子量100万を超える架橋ポリ
マーでは250nm/分になった。エッチング速度はい
ずれの研磨液においても1.0nm/分以下に抑制され
ており、ディシング発生の問題はない。
【0041】埋め込み配線を形成する試料の研磨前の断
面構造の例を図3(a)に示す。不純物ドープ層や絶縁
膜が形成されたシリコン基板25上に厚さ500nmの
BPSG膜(ホウ素とリンが添加されたシリコン酸化
膜)24と厚さ500nmのシリコン酸化膜23を成膜
し、リソグラフィ工程及びドライエッチ工程によって深
さ500nmの配線用の溝パターンをシリコン酸化膜2
3内に形成した。その上に接着層として厚さ50nmの
TiN層22を成膜した後に厚さ800nmの銅薄膜2
1をスパッタリング法により真空中で連続成膜した。さ
らに段差被覆性を良くするためにスパッタ装置内で摂氏
450度で3分間の真空熱処理を行った。シリコン基板
25にはソース、ドレイン等の不純物ドープ層が形成さ
れているが、ここでは省略して記載していない。
【0042】この試料を、前述の研磨液でCMPを行っ
た結果、図3(b)及び(c)のように、いずれの研磨
液でもディシングやエロージョンが約50nm以下とな
る形状に加工することができた。はがれも発生しなかっ
た。分子量が14万のポリアクリル酸を添加した研磨液
を用いた場合は1万のものと比較して半分の時間で研磨
が終了した。分子量が100万を超えるポリアクリル酸
を添加した研磨液を用いた場合は1万のものと比較して
40%の時間で研磨が終了した。
【0043】形成された銅配線の電気抵抗率を測定した
結果、TiN層の部分も含めて1.9マイクロオームセ
ンチメートルの値を得た。また、蛇行配線(配線幅0.
3マイクロメートルから3マイクロメートル、長さ40
mm)や櫛形配線(配線間隔0.3マイクロメートルか
ら3マイクロメートル、長さ40mm)を用いて導通/
絶縁試験を行った結果、ほぼ100%の歩留まりが得ら
れた。LSIの動作も正常であることがわかった。
【0044】(実施例2)本実施例で用いた研磨液は過
酸化水素水(市販の30%H22水溶液)とリンゴ酸
と、分子量の異なるポリアクリル酸アンモニウム塩から
構成された水溶液である。組成は過酸化水素水は30体
積%、リンゴ酸は0.15重量%、ポリアクリル酸アン
モニウム塩は0.1重量%である。この研磨液を用い
て、Cu膜の研磨速度とエッチング速度を測定した。研
磨特性の評価は実施例1と同様に行った。
【0045】図4にCuの研磨速度の研磨液の粘性率依
存性を調べた結果を示す。粘性率が10以下のポリアク
リル酸アンモニウム塩を含む研磨液の研磨速度は90n
m/分であった。これに対して、粘性率が120のもの
では200nm/分になり、1000を超える架橋ポリ
マーでは250nm/分になった。エッチング速度はい
ずれの研磨液においても1.0nm/分以下に抑制され
ており、ディシング発生の問題はない。
【0046】埋め込み配線を形成する試料を、前述の研
磨液でCMPを行った結果、図3(b)及び(c)のよ
うに、いずれの研磨液でもディシングやエロージョンが
約50nm以下となる形状に加工することができた。は
がれも発生しなかった。粘性率が10以下のポリアクリ
ル酸アンモニウム塩を添加した研磨液を用いた場合は1
20のものと比較して半分の時間で研磨が終了した。分
子量が1000を超えるポリアクリル酸アンモニウム塩
を添加した研磨液を用いた場合は10のものと比較して
40%の時間で研磨が終了した。
【0047】形成された銅配線の電気抵抗率を測定した
結果、TiN層の部分も含めて1.9マイクロオームセ
ンチメートルの値を得た。また、蛇行配線(配線幅0.
3マイクロメートルから3マイクロメートル、長さ40
mm)や櫛形配線(配線間隔0.3マイクロメートルか
ら3マイクロメートル、長さ40mm)を用いて導通/
絶縁試験を行った結果、ほぼ100%の歩留まりが得ら
れた。LSIの動作も正常であることがわかった。
【0048】(実施例3)本実施例ではWの埋め込みプ
ラグの形成方法について説明する。用いた研磨液は過酸
化水素水(市販の30%H22水溶液)とリンゴ酸とマ
ロン酸とアジピン酸と、分子量の異なるポリアクリル酸
トリエタノールアミン塩から構成された水溶液である。
組成に関しては過酸化水素水は10体積%、リンゴ酸は
0.01重量%、マロン酸は0.03%、アジピン酸は
0.1%、ポリアクリル酸トリエタノールアミン塩は
0.01重量%である。この研磨液を用いて、CVDに
より形成したW膜の研磨速度とエッチング速度を測定し
た。研磨特性の評価は実施例1と同様に行った。研磨特
性の評価は実施例1と同様に行った。但し、研磨荷重は
400g/cm2とした。
【0049】図5にWの研磨速度の研磨液の粘性率依存
性を調べた結果を示す。粘性率が10以下のポリアクリ
ル酸トリエタノールアミン塩を含む研磨液の研磨速度は
40nm/分であった。これに対して、粘性率が120
のものでは80nm/分となり、1000を超える架橋
ポリマーでは100nm/分になった。エッチング速度
はいずれの研磨液においても1.0nm/分以下に抑制
されており、ディシング発生の問題はない。
【0050】埋め込みWプラグを形成する試料(図6
(a))を、前述の研磨液でCMPを行った結果、図6
(b)及び(c)のように、いずれの研磨液でもディシ
ングやエロージョンが約50nm以下となる形状に加工
することができた。はがれも発生しなかった。粘性率が
10以下のポリアクリル酸トリエタノールアミン塩を添
加した研磨液を用いた場合は120のものと比較して半
分の時間で研磨が終了した。分子量が1000を超える
ポリアクリル酸トリエタノールアミン塩を添加した研磨
液を用いた場合は10のものと比較して40%の時間で
研磨が終了した。
【0051】形成されたWプラグの電気抵抗率を測定し
た結果、20マイクロオームセンチメートルの値を得
た。また、プラグが連続で100個形成されたビアチェ
ーンを用いて導通/絶縁試験を行った結果、100%の
歩留まりが得られた。LSIの動作も正常であることが
わかった。
【0052】
【発明の効果】本発明の増粘剤を含む研磨液でCMPを
行う方法は、従来の研磨液でCMPを行う方法と比較し
て、スクラッチやはがれ、ディシング、エロージョンを
抑制し、且つ高い研磨速度で研磨を行う効果がある。
【図面の簡単な説明】
【図1】本発明を実施したCMP装置を示す図である。
【図2】Cuの研磨速度に対する増粘剤の分子量依存性
を示す図である。
【図3】(a)はCMP前の試料の配線部の断面構造を
示す図、(b)はCMP後の試料の配線部の断面構造を
示す図、(c)はCMP後の試料の平面図である。な
お、点線は(b)の断面位置である。
【図4】Cuの研磨速度に対する研磨液の粘性率依存性
を示す図である。
【図5】Wの研磨速度に対する研磨液の粘性率依存性を
示す図である。
【図6】(a)はCMP前の試料のプラグ部の断面構造
を示す図、(b)はCMP後の試料のプラグ部の断面構
造を示す図、(c)はCMP後の試料の平面図である。
なお、点線は(b)の断面位置である。
【符号の説明】
11…研磨定盤、12…ウエハホルダ、13…リテーナ
ー、14…ウエハ、15…研磨液供給口、16…純水供
給口、17…研磨布、18…バッキングパッド、21…
Cu膜、22…TiN膜、23…1層目の配線層部分の
SiO2膜、24…BPSG膜、25…不純物ドープ層
や絶縁膜が形成されたSi基板、26…金属膜表面の凹
部、27…金属膜表面の凸部、28…W膜。

Claims (21)

    【特許請求の範囲】
  1. 【請求項1】絶縁膜上に形成された金属膜の少なくとも
    一部を除去する研磨方法において、酸化性物質と、酸化
    物を水溶性化する物質と増粘剤と水を含む研磨液を用
    い、前記金属膜表面を機械的に摩擦することを特徴とす
    る研磨方法。
  2. 【請求項2】前記増粘剤の分子量が、10000以上で
    あることを特徴とする請求項2記載の研磨方法。
  3. 【請求項3】前記増粘剤の粘性率が、1重量%水溶液の
    状態で100cP以上であることを特徴とする請求項1
    乃至2記載の研磨方法。
  4. 【請求項4】前記研磨液の粘性率が、10cP以上であ
    ることを特徴とする請求項1乃至3記載の研磨方法。
  5. 【請求項5】前記増粘剤が、ポリアクリル酸であること
    を特徴とする請求項1乃至4記載の研磨方法。
  6. 【請求項6】前記増粘剤が、ポリアクリル酸アンモニウ
    ム塩もしくはポリアクリル酸アミン塩であることを特徴
    とする請求項1乃至4記載の研磨方法。
  7. 【請求項7】前記増粘剤が、架橋型重合体であることを
    特徴とする請求項1乃至6記載の研磨方法。
  8. 【請求項8】絶縁膜上に形成された金属膜の少なくとも
    一部を除去する研磨方法において、酸化性物質と、酸化
    物を水溶性化する物質と、分子量が10000以上の防
    食性物質と水を含む研磨液を用い、前記金属膜表面を機
    械的に摩擦することを特徴とする研磨方法。
  9. 【請求項9】絶縁膜上に形成された金属膜の少なくとも
    一部を除去する研磨方法において、酸化性物質と、酸化
    物を水溶性化する物質と、防食性物質と水を含み、粘性
    率が10cP以上の研磨液を用い、前記金属膜表面を機
    械的に摩擦することを特徴とする研磨方法。
  10. 【請求項10】前記酸化性物質は、過酸化水素であるこ
    とを特徴とする請求項1乃至9記載の研磨方法。
  11. 【請求項11】前記酸化物を水溶性化する物質は、有機
    酸もしくはその塩を含むことを特徴とする請求項1乃至
    9記載の研磨方法。
  12. 【請求項12】前記有機酸は、ヒドロキシカルボン酸で
    あることを特徴とする請求項11記載の研磨方法。
  13. 【請求項13】前記ヒドロキシカルボン酸は、リンゴ酸
    もしくはクエン酸であることを特徴とする請求項12に
    記載の研磨方法。
  14. 【請求項14】前記金属膜は、銅もしくは銅を主成分と
    する合金もしくは銅化合物を含むことを特徴とする請求
    項1乃至9記載の研磨方法。
  15. 【請求項15】前記金属膜は、タングステンやタングス
    テン合金もしくはタングステン化合物を含むことを特徴
    とする請求項1乃至9記載の研磨方法。
  16. 【請求項16】前記金属膜は、窒化チタンもしくはタン
    タル、もしくは窒化タンタルを含むことを特徴とする請
    求項1乃至9記載の研磨方法。
  17. 【請求項17】絶縁膜上に形成された金属膜の少なくと
    も一部を除去する研磨方法において、過酸化水素水と、
    クエン酸もしくはリンゴ酸と、分子量が10000以上
    のポリアクリル酸もしくはポリアクリル酸アンモニウム
    を含む研磨液を用い、前記金属膜表面を機械的に摩擦す
    ることを特徴とする研磨方法。
  18. 【請求項18】不純物ドープ層を有する基体を準備する
    工程と、 前記不純物ドープ層上に開口部を有する絶縁膜を形成す
    る工程と、 前記絶縁膜が形成された基体上に金属膜を形成する工程
    と、酸化性物質と、酸化物を水溶性化する物質と増粘剤
    と水を含む研磨液を用い、前記金属膜表面を機械的に摩
    擦をかけることにより前記絶縁膜を露出させる工程と、
    その後、前記基体を洗浄する工程と、洗浄された前記基
    体を乾燥する工程とを有することを特徴とする半導体装
    置の製造方法。
  19. 【請求項19】第1の配線層を有する基体を準備する工
    程と、 前記第1の配線層が露出される開口部を有する第1の絶
    縁膜を形成する工程と、 前記絶縁膜が形成された基体
    上に金属膜を形成する工程と、 酸化性物質と、酸化物を水溶性化する物質と増粘剤と水
    を含む研磨液を用い、 前記金属膜表面を機械的に摩擦をかけることにより前記
    絶縁膜を露出させる工程と、 その後、前記基体を洗浄する工程と、洗浄された前記基
    体を乾燥する工程とを有することを特徴とする半導体装
    置の製造方法。
  20. 【請求項20】導電体層を有する基体を準備する工程
    と、 前記導電体層上に開口部を有する絶縁膜を形成する工程
    と、 前記絶縁膜が形成された基体上に、窒化チタン膜と銅を
    主成分とする金属膜を含む積層膜を形成する工程と、 過酸化水素水と、クエン酸もしくはリンゴ酸と、架橋型
    ポリアクリル酸もしくは架橋型ポリアクリル酸塩を含む
    研磨液を用い、前記金属膜表面を機械的に摩擦する工程
    と、その後、 前記基体を洗浄する工程と、 洗浄された前記基体を乾燥する工程とを有することを特
    徴とする半導体装置の製造方法。
  21. 【請求項21】導電体層を有する基体を準備する工程
    と、 前記導電体層上に開口部を有する絶縁膜を形成する工程
    と、 前記絶縁膜が形成された基体上に、窒化チタン膜と銅を
    主成分とする金属膜を含む積層膜を形成する工程と、 過酸化水素水と、クエン酸もしくはリンゴ酸と、ポリア
    クリル酸もしくはポリアクリル酸塩を含み、粘性率が1
    0cP以上の研磨液を用い、前記金属膜表面を機械的に
    摩擦する工程と、その後、 前記基体を洗浄する工程と、 洗浄された前記基体を乾燥する工程とを有することを特
    徴とする半導体装置の製造方法。
JP10488299A 1999-04-13 1999-04-13 研磨方法 Expired - Fee Related JP3941284B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP10488299A JP3941284B2 (ja) 1999-04-13 1999-04-13 研磨方法
KR10-2000-0017824A KR100514536B1 (ko) 1999-04-13 2000-04-06 연마방법
TW089106538A TW478055B (en) 1999-04-13 2000-04-08 Method for polishing
US09/548,289 US6561883B1 (en) 1999-04-13 2000-04-12 Method of polishing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10488299A JP3941284B2 (ja) 1999-04-13 1999-04-13 研磨方法

Publications (3)

Publication Number Publication Date
JP2000290638A true JP2000290638A (ja) 2000-10-17
JP2000290638A5 JP2000290638A5 (ja) 2004-09-16
JP3941284B2 JP3941284B2 (ja) 2007-07-04

Family

ID=14392567

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10488299A Expired - Fee Related JP3941284B2 (ja) 1999-04-13 1999-04-13 研磨方法

Country Status (4)

Country Link
US (1) US6561883B1 (ja)
JP (1) JP3941284B2 (ja)
KR (1) KR100514536B1 (ja)
TW (1) TW478055B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1356502A1 (en) * 2001-01-16 2003-10-29 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
KR100514536B1 (ko) * 1999-04-13 2005-09-13 가부시키가이샤 히타치세이사쿠쇼 연마방법
JP2007243209A (ja) * 2001-08-09 2007-09-20 Cheil Ind Co Ltd 金属配線用cmpスラリー組成物
JP2009200496A (ja) * 2008-02-22 2009-09-03 Rohm & Haas Electronic Materials Cmp Holdings Inc 銅含有パターン付きウエーハの研磨
CN109877656A (zh) * 2019-03-22 2019-06-14 湖南科技大学 一种电化学增稠抛光装置
JP2020019863A (ja) * 2018-07-31 2020-02-06 ニッタ・ハース株式会社 研磨用スラリー

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1137056B1 (en) 1998-08-31 2013-07-31 Hitachi Chemical Company, Ltd. Abrasive liquid for metal and method for polishing
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6632259B2 (en) * 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
TW503522B (en) * 2001-09-04 2002-09-21 Nanya Plastics Corp Method for preventing short circuit between metal conduction wires
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
US20030119692A1 (en) * 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
KR100919553B1 (ko) * 2002-10-25 2009-10-01 주식회사 하이닉스반도체 연마제를 포함하지 않는 금속용 cmp 용액
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6866560B1 (en) * 2003-01-09 2005-03-15 Sandia Corporation Method for thinning specimen
US20040175918A1 (en) * 2003-03-05 2004-09-09 Taiwan Semiconductor Manufacturing Company Novel formation of an aluminum contact pad free of plasma induced damage by applying CMP
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US20040266185A1 (en) * 2003-06-30 2004-12-30 Texas Instruments Incorporated Method for reducing integrated circuit defects
US20050022456A1 (en) * 2003-07-30 2005-02-03 Babu S. V. Polishing slurry and method for chemical-mechanical polishing of copper
US7186653B2 (en) * 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
KR100672940B1 (ko) * 2004-08-03 2007-01-24 삼성전자주식회사 금속막을 위한 화학적기계적 연마 슬러리 및 이를 이용한금속막의 화학적기계적 연마 방법
US20060163206A1 (en) * 2005-01-25 2006-07-27 Irina Belov Novel polishing slurries and abrasive-free solutions having a multifunctional activator
US7311856B2 (en) * 2005-03-30 2007-12-25 Cabot Microelectronics Corporation Polymeric inhibitors for enhanced planarization
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
JP4776269B2 (ja) * 2005-04-28 2011-09-21 株式会社東芝 金属膜cmp用スラリー、および半導体装置の製造方法
WO2006120727A1 (ja) * 2005-05-06 2006-11-16 Asahi Glass Company, Limited 銅配線研磨用組成物および半導体集積回路表面の研磨方法
CN101356628B (zh) * 2005-08-05 2012-01-04 高级技术材料公司 用于对金属膜进行平坦化的高通量化学机械抛光组合物
US20070117497A1 (en) * 2005-11-22 2007-05-24 Cabot Microelectronics Corporation Friction reducing aid for CMP
WO2007116770A1 (ja) * 2006-04-03 2007-10-18 Jsr Corporation 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
CN101636465A (zh) * 2007-01-31 2010-01-27 高级技术材料公司 用于化学机械抛光浆料应用的聚合物-二氧化硅分散剂的稳定化
US7988794B2 (en) * 2007-02-07 2011-08-02 Infineon Technologies Ag Semiconductor device and method
US7805976B2 (en) * 2007-04-02 2010-10-05 United Technologies Corporation Method for checking surface condition after cleaning
US8420529B2 (en) * 2008-09-19 2013-04-16 Mitsubishi Gas Chemical Company, Inc. Copper wiring surface protective liquid and method for manufacturing semiconductor circuit
KR101084676B1 (ko) * 2008-12-03 2011-11-22 주식회사 엘지화학 1차 화학적 기계적 연마용 슬러리 조성물 및 화학적 기계적 연마 방법
JP6779701B2 (ja) * 2016-08-05 2020-11-04 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理方法を実行させるプログラムが記録された記憶媒体

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ZA772965B (en) * 1976-05-19 1978-06-28 Dow Chemical Co Ore grinding process
NL8701407A (nl) * 1987-06-17 1989-01-16 Vunderink Ate Een oppervlakte techniek die het massaal slijpen en polijsten van metalen artikelen in rotofinish apparatuur sneller doet verlopen.
US4954142A (en) 1989-03-07 1990-09-04 International Business Machines Corporation Method of chemical-mechanical polishing an electronic component substrate and polishing slurry therefor
JPH08302338A (ja) * 1995-05-15 1996-11-19 Sony Corp スラリーおよびこれを用いた半導体装置の製造方法
US5860848A (en) * 1995-06-01 1999-01-19 Rodel, Inc. Polishing silicon wafers with improved polishing slurries
US6126528A (en) * 1995-09-18 2000-10-03 3M Innovative Properties Company Preformed ophthalmic lens base block with textured surface
KR100197535B1 (ko) * 1996-06-27 1999-06-15 김영환 반도체 소자의 금속 배선 형성방법
JPH1022241A (ja) * 1996-07-08 1998-01-23 Tokyo Fine Chem Kk シリコンウェハ用ラップ液およびラップ剤
US5664990A (en) * 1996-07-29 1997-09-09 Integrated Process Equipment Corp. Slurry recycling in CMP apparatus
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
JPH10204417A (ja) * 1997-01-27 1998-08-04 Kao Corp 加工用助剤組成物、研磨材組成物、表面加工方法及び基板の製造方法
US6022400A (en) * 1997-05-22 2000-02-08 Nippon Steel Corporation Polishing abrasive grains, polishing agent and polishing method
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US6019667A (en) * 1998-05-26 2000-02-01 Dow Corning Corporation Method for grinding silicon metalloid
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
TW455626B (en) * 1998-07-23 2001-09-21 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6206756B1 (en) * 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
JP3941284B2 (ja) * 1999-04-13 2007-07-04 株式会社日立製作所 研磨方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100514536B1 (ko) * 1999-04-13 2005-09-13 가부시키가이샤 히타치세이사쿠쇼 연마방법
EP1356502A1 (en) * 2001-01-16 2003-10-29 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
JP2007243209A (ja) * 2001-08-09 2007-09-20 Cheil Ind Co Ltd 金属配線用cmpスラリー組成物
JP2009200496A (ja) * 2008-02-22 2009-09-03 Rohm & Haas Electronic Materials Cmp Holdings Inc 銅含有パターン付きウエーハの研磨
JP2020019863A (ja) * 2018-07-31 2020-02-06 ニッタ・ハース株式会社 研磨用スラリー
JP7220532B2 (ja) 2018-07-31 2023-02-10 ニッタ・デュポン株式会社 研磨用スラリー
CN109877656A (zh) * 2019-03-22 2019-06-14 湖南科技大学 一种电化学增稠抛光装置
CN109877656B (zh) * 2019-03-22 2024-03-22 湖南科技大学 一种电化学增稠抛光装置

Also Published As

Publication number Publication date
JP3941284B2 (ja) 2007-07-04
TW478055B (en) 2002-03-01
US6561883B1 (en) 2003-05-13
KR20010014689A (ko) 2001-02-26
KR100514536B1 (ko) 2005-09-13

Similar Documents

Publication Publication Date Title
JP3941284B2 (ja) 研磨方法
JP3371775B2 (ja) 研磨方法
JP3805588B2 (ja) 半導体装置の製造方法
US6750128B2 (en) Methods of polishing, interconnect-fabrication, and producing semiconductor devices
JP2000315666A (ja) 半導体集積回路装置の製造方法
JP3970439B2 (ja) 半導体装置の製造方法
JPH1140526A (ja) 配線形成方法及び半導体装置の製造方法
JP2002110595A (ja) 配線形成方法、研磨方法及び半導体装置の製造方法
JP2004072099A (ja) 研磨方法
JP2004031442A (ja) 研磨液及び研磨方法
JP2000299320A (ja) 配線形成方法
JP3668694B2 (ja) 半導体装置の製造方法
US20040140288A1 (en) Wet etch of titanium-tungsten film
JP4618267B2 (ja) 半導体装置の製造方法
JP2003324084A (ja) 研磨方法
US20040229468A1 (en) Polishing method
JP2004063735A (ja) 半導体装置の製造方法および製造装置
JP2000299300A (ja) 研磨方法及び半導体装置の製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050927

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051128

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20060417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061219

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070313

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070326

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100413

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100413

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees