JP2000150330A - Semiconductor device and manufacture therefor - Google Patents

Semiconductor device and manufacture therefor

Info

Publication number
JP2000150330A
JP2000150330A JP10328865A JP32886598A JP2000150330A JP 2000150330 A JP2000150330 A JP 2000150330A JP 10328865 A JP10328865 A JP 10328865A JP 32886598 A JP32886598 A JP 32886598A JP 2000150330 A JP2000150330 A JP 2000150330A
Authority
JP
Japan
Prior art keywords
gas
film
chamber
temperature
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10328865A
Other languages
Japanese (ja)
Other versions
JP3904750B2 (en
Inventor
Hideaki Yamazaki
英亮 山崎
Satoshi Yonezawa
諭 米澤
Susumu Arima
進 有馬
Yumiko Kouno
有美子 河野
Mitsuhiro Tachibana
光博 立花
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP32886598A priority Critical patent/JP3904750B2/en
Priority to US09/425,971 priority patent/US6399484B1/en
Priority to KR1019990046706A priority patent/KR100610416B1/en
Priority to TW088118483A priority patent/TW495801B/en
Publication of JP2000150330A publication Critical patent/JP2000150330A/en
Application granted granted Critical
Publication of JP3904750B2 publication Critical patent/JP3904750B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a manufacturing method for a semiconductor device, and a semiconductor device, in which by-product of tungsten hexafluoride gas and ammonia gas is not generated in a film formation chamber or doesn't leave and remain in the film formation chamber even if the by-product is generated. SOLUTION: In a manufacturing method for a semiconductor device, a WF6 gas and an ammonia NH3 gas are fed through a shower head into a chamber to form a WHx film onto a semiconductor wafer(W). Each temperature at each gas contact part in the chamber is made at a given temperature, for example at 120 deg.C, for preventing the by-product (NH4F and compound of NH4F with W atoms) made of WF6 gas and NH3 gas from sticking.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法及び半導体製造装置に関し、更に詳しくはタングス
テンゲートを有する電界効果トランジスタ等の半導体装
置を製造する半導体装置の製造方法及び半導体製造装置
に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method and an apparatus for manufacturing a semiconductor device, and more particularly to a method and an apparatus for manufacturing a semiconductor device such as a field effect transistor having a tungsten gate.

【0002】[0002]

【従来の技術】半導体装置の微細化に伴い、電界効果ト
ランジスタ等の半導体装置のゲートが高抵抗化してい
る。そこで、ゲート構造が微細化しても従来にも増して
抵抗を抑えることができる電極配線材料が求められてい
る。従来の導電性多結晶シリコン膜に代わってタングス
テンシリサイド等の高融点金属シリサイド膜が使用され
るようになったが、最近、更なる低抵抗化を目指し、例
えばタングステンシリサイド膜に比べて一桁抵抗値を下
げることができるタングステン膜が有望視されている。
2. Description of the Related Art Along with miniaturization of a semiconductor device, the gate of a semiconductor device such as a field-effect transistor has a high resistance. Therefore, there is a demand for an electrode wiring material capable of suppressing the resistance even more than before even if the gate structure is miniaturized. A high melting point metal silicide film such as tungsten silicide has been used in place of the conventional conductive polycrystalline silicon film. A tungsten film whose value can be reduced is considered promising.

【0003】電極としてタングステン膜を用いる場合に
は、ゲート酸化膜、ポリシリコン膜、タングステン膜が
順次積層された構造となるが、ポリシリコン膜上にタン
グステン膜が直接積層されていると、その後の熱処理
(850〜900℃)工程でポリシリコン膜とタングス
テン膜の界面で両者が反応し、タングステンシリサイド
(WSi)を形成し、電極の抵抗を上昇させる。界面で
の反応が著しい場合には、更にタングステンが拡散して
ゲート酸化膜を突き破ってシリコン基板と反応し、トラ
ンジスタの漏洩電流を増大させたり、絶縁破壊電圧を低
くしたりするなどの問題を生じさせる。
When a tungsten film is used as an electrode, a structure in which a gate oxide film, a polysilicon film, and a tungsten film are sequentially laminated is adopted. However, if a tungsten film is directly laminated on the polysilicon film, the subsequent structure is used. In the heat treatment (850 to 900 ° C.) step, the two react at the interface between the polysilicon film and the tungsten film to form tungsten silicide (WSi) and increase the resistance of the electrode. If the reaction at the interface is significant, tungsten diffuses further and breaks through the gate oxide film and reacts with the silicon substrate, causing problems such as increasing the leakage current of the transistor and lowering the breakdown voltage. Let it.

【0004】そこで、電極としてタングステン膜を用い
る場合には、タングステン膜とポリシリコン膜の間に窒
化タングステン、窒化チタン等の高融点金属窒化膜をバ
リア膜として設け、バリア膜によりタングステンとポリ
シリコンの反応、タングステン膜中のフッ素原子のポリ
シリコン以下への拡散を防止することが一般的である。
このようなゲート用バリア膜としての窒化タングステン
膜と窒化チタン膜を比較した場合、窒化タングステン膜
は耐熱温度が高く、柱状晶以外の結晶相を得ることがで
き、しかも窒化タングステン膜上のタングステン膜の結
晶粒を大きく成長させ、低抵抗化することが可能であ
り、有望である。これに対して窒化チタン膜は通常柱状
晶しか得られないため、タングステン膜の結晶粒の大粒
径化には限界がある。
Therefore, when a tungsten film is used as an electrode, a refractory metal nitride film such as tungsten nitride or titanium nitride is provided as a barrier film between the tungsten film and the polysilicon film, and the barrier film is formed of tungsten and polysilicon. It is common to prevent the reaction and diffusion of fluorine atoms in the tungsten film below polysilicon.
When a tungsten nitride film and a titanium nitride film as such a gate barrier film are compared, the tungsten nitride film has a high heat-resistant temperature, can obtain a crystal phase other than columnar crystals, and has a tungsten film on the tungsten nitride film. It is promising, because it is possible to grow the crystal grains of the crystal greatly and to lower the resistance. On the other hand, a titanium nitride film can usually only obtain columnar crystals, so there is a limit to increasing the crystal grain size of the tungsten film.

【0005】ところで、ゲート用バリア膜として有望な
窒化タングステン膜の製法としては、次の〜の技術
が知られている。 WF6ガスとNH3ガスを用いた熱CVD法 WF6ガスとNH3ガスを用いたプラズマCVD法(例
えば、特開昭64−50515号公報) WF6ガス、N2ガス、H2ガスを用いたプラズマCV
D法(例えば、特開昭64−50515号公報) WF6ガスとNF3ガスを用いたプラズマCVD法(Suz
uki et.al, "Advanced Metalization and Innterconnec
t Systems for ULSI Application in 1997"Mter.Res.S
oc..1998,49) 有機タングステンソースを用いた熱CVD法(Sun et.
al.,Proc. of 13th VMIC, 151,1996)
The following techniques are known as methods for producing a tungsten nitride film that is promising as a gate barrier film. WF 6 gas and NH 3 plasma CVD method using a thermal CVD method WF 6 gas and NH 3 gas using a gas (for example, JP 64-50515 JP) WF 6 gas, N 2 gas, H 2 gas Plasma CV used
D method (for example, JP-A-64-50515) A plasma CVD method (Suz) using WF 6 gas and NF 3 gas
uki et.al, "Advanced Metalization and Innterconnec
t Systems for ULSI Application in 1997 "Mter.Res.S
oc. 1998, 49) Thermal CVD method using an organic tungsten source (Sun et.
al., Proc. of 13th VMIC, 151, 1996)

【0006】[0006]

【発明が解決しようとする課題】しかしながら、ゲート
用バリア膜として窒化タングステン膜を成膜する際にW
6ガスとNH3ガスを原料ガスとして用いる場合にはこ
れらの原料ガスの副生成物ができ、この副生成物がパー
ティクル源になるという課題があった。
However, when a tungsten nitride film is formed as a gate barrier film, W
When F 6 gas and NH 3 gas are used as source gases, there is a problem in that by-products of these source gases are formed, and the by-products serve as a particle source.

【0007】本発明は、上記課題を解決するためになさ
れたもので、六フッ化タングステンガスとアンモニアガ
スの副生成物が成膜室内で発生せず、あるいは発生して
も成膜室内から脱離して成膜室内に残存しない半導体装
置の製造方法及び半導体製造装置を提供することを目的
としている。また、成膜後のin-situクリーニングで六
フッ化タングステンガスとアンモニアガスの副生成物を
除去し、成膜室内を常に清浄な状態に保持できる半導体
装置の製造方法を併せて提供するものである。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned problems, and the by-products of tungsten hexafluoride gas and ammonia gas are not generated in the film formation chamber, or even if they are generated, they are removed from the film formation chamber. It is an object of the present invention to provide a method for manufacturing a semiconductor device and a semiconductor manufacturing apparatus which do not remain in a deposition chamber apart from each other. In addition, the present invention also provides a method for manufacturing a semiconductor device capable of removing by-products of tungsten hexafluoride gas and ammonia gas by in-situ cleaning after film formation and keeping the film formation chamber always clean. is there.

【0008】[0008]

【課題を解決するための手段】本発明者等はWF6ガス
とNH3ガスを原料ガスとしてWNX膜を形成する場合の
副生成物の膜質への影響について種々検討した結果、副
生成物としてNH4F及びNH4FにWが結合した化合物
が成膜室内で付着し、これらにより原料ガスが吸収され
て成膜効率を低下させ、更にこの付着物がパーティクル
源になることを知見した。更に、副生成物が成膜室内の
各構成部品に付着する温度について検討した結果、成膜
室内の特定の構成部品を特定の温度範囲に制御すること
により副生成物の付着を防止できることを知見した。
Means for Solving the Problems The present inventors have result of various investigations on effect on the quality of the by-products in the case of forming a WN X film WF 6 gas and NH 3 gas as a source gas by-products It has been found that NH 4 F and a compound in which W is bonded to NH 4 F adhere to the inside of the film-forming chamber, thereby absorbing the source gas and reducing the film-forming efficiency, and furthermore, this adhered substance becomes a particle source. . Furthermore, as a result of examining the temperature at which by-products adhere to each component in the deposition chamber, it was found that by controlling specific components in the deposition chamber to a specific temperature range, adhesion of by-products can be prevented. did.

【0009】本発明は上記知見に基づいてなされたもの
で、請求項1に記載の半導体装置の製造方法は、六フッ
化タングステンガスとアンモニアガスをガス供給機構か
ら成膜室内へ供給して被処理体に窒化タングステン膜を
成膜する半導体装置の製造方法であって、上記ガス供給
機構及び/または上記成膜室内のガス接触部位それぞれ
の温度を、六フッ化タングステンガスとアンモニアガス
の副生成物の付着を防止する温度に設定することを特徴
とするものである。
The present invention has been made based on the above findings, and a method of manufacturing a semiconductor device according to the first aspect of the present invention is to supply a tungsten hexafluoride gas and an ammonia gas from a gas supply mechanism into a film forming chamber to form a semiconductor device. A method of manufacturing a semiconductor device for forming a tungsten nitride film on a processing body, wherein the temperature of the gas supply mechanism and / or the temperature of each gas contact portion in the film formation chamber is controlled by the by-product of tungsten hexafluoride gas and ammonia gas. It is characterized in that the temperature is set to prevent the adhesion of the object.

【0010】また、本発明の請求項2に記載の半導体装
置の製造方法は、請求項1に記載の発明において、上記
設定温度が100〜300℃であることを特徴とするも
のである。
According to a second aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to the first aspect, wherein the set temperature is 100 to 300 ° C.

【0011】また、本発明の請求項3に記載の半導体装
置の製造方法は、請求項1または請求項2に記載の発明
において、六フッ化タングステンガスとアンモニアガス
をガス供給機構から成膜室内へ供給して被処理体に窒化
タングステン膜を成膜する半導体装置の製造方法であっ
て、六フッ化タングステンガスとアンモニアガスによる
成膜後に、上記ガス供給機構及び/または上記成膜室内
のガス接触部位それぞれの温度を所定温度に設定した状
態で、上記成膜室内へ三フッ化塩素ガスを供給すること
を特徴とするものである。
According to a third aspect of the present invention, there is provided a method for manufacturing a semiconductor device according to the first or second aspect, wherein the tungsten hexafluoride gas and the ammonia gas are supplied from the gas supply mechanism to the film forming chamber. A method for manufacturing a semiconductor device in which a tungsten nitride film is formed on an object to be processed by supplying the gas to the gas supply mechanism and / or the gas in the film formation chamber after forming the film with a tungsten hexafluoride gas and an ammonia gas. The method is characterized in that chlorine trifluoride gas is supplied into the film forming chamber with the temperature of each contact portion set at a predetermined temperature.

【0012】また、本発明の請求項4に記載の半導体装
置の製造方法は、請求項3に記載の発明において、上記
設定温度が80〜500℃であることを特徴とするもの
である。
According to a fourth aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to the third aspect, wherein the set temperature is 80 to 500 ° C.

【0013】また、本発明の請求項5に記載の半導体製
造装置は、原料ガスを供給するガス供給機構と、このガ
ス供給機構に連設された成膜室と、この成膜室内に配設
され且つ被処理体を保持する温度調整可能な保持体とを
備え、六フッ化タングステンガスとアンモニアガスを用
いて上記保持体で保持された被処理体に窒化タングステ
ン膜を形成する装置であって、上記ガス供給機構及び/
または上記成膜室内のガス接触部位に温度調整機構を設
けたことを特徴とするものである。
According to a fifth aspect of the present invention, there is provided a semiconductor manufacturing apparatus, comprising: a gas supply mechanism for supplying a raw material gas; a film forming chamber connected to the gas supply mechanism; And an apparatus for forming a tungsten nitride film on the object held by the holder using a tungsten hexafluoride gas and an ammonia gas. , The above gas supply mechanism and / or
Alternatively, a temperature adjustment mechanism is provided at a gas contact portion in the film formation chamber.

【0014】[0014]

【発明の実施の形態】以下、図1、図2に示す実施形態
に基づいて本発明を説明する。まず本発明の半導体装置
の製造方法に好適に使用される熱CVD成膜装置(以
下、単に「成膜装置」と称す。)について図1を参照し
ながら説明する。本実施形態の成膜装置は、例えば図1
に示すように、原料ガスを供給するガス供給機構(シャ
ワーヘッド)10と、このシャワーヘッド10に連設さ
れた略円筒状で気密構造の成膜室(チャンバー)20
と、このチャンバー20内の中央に配設され且つ被処理
体(例えば、半導体ウエハ)Wを水平に保持する温度調
整可能な保持体(サセプタ)30と、このサセプタ30
で保持された半導体ウエハWの成膜処理後のガスを排気
する排気管40とを備えている。従って、シャワーヘッ
ド10からチャンバー20内へ原料ガスとして六フッ化
タングステン(WF6)とアンモニア(NH3)を供給す
ると、半導体ウエハWに窒化タングステン(WNX)膜
を成膜することができる。そして、各原料ガスは図示し
ないマスフローコントローラで流量制御する。尚、図1
において31はサセプタ30を支持する支持部材であ
る。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below with reference to the embodiments shown in FIGS. First, a thermal CVD film forming apparatus (hereinafter, simply referred to as a “film forming apparatus”) suitably used in the method of manufacturing a semiconductor device according to the present invention will be described with reference to FIG. The film forming apparatus according to the present embodiment is, for example, shown in FIG.
As shown in FIG. 1, a gas supply mechanism (shower head) 10 for supplying a raw material gas, and a substantially cylindrical air-tight film-forming chamber (chamber) 20 connected to the shower head 10
And a temperature-adjustable holder (susceptor) 30 which is disposed at the center of the chamber 20 and horizontally holds an object to be processed (for example, a semiconductor wafer) W; and the susceptor 30
And an exhaust pipe 40 for exhausting the gas after the film forming process of the semiconductor wafer W held by the above. Therefore, when tungsten hexafluoride (WF 6 ) and ammonia (NH 3 ) are supplied as raw material gases from the shower head 10 into the chamber 20, a tungsten nitride (WN x ) film can be formed on the semiconductor wafer W. The flow rate of each source gas is controlled by a mass flow controller (not shown). FIG.
Reference numeral 31 denotes a support member that supports the susceptor 30.

【0015】図1に示すようにシャワーヘッド10はチ
ャンバー20の上壁中央を貫通し、その下面がサセプタ
30と平行になっている。更に、シャワーヘッド10は
上下三段のブロック体10A、10B、10Cが一体化
して形成されている。上段ブロック体10A上面にはW
6ガス及びNH3ガスそれぞれのガス供給源50、60
にそれぞれの配管51、61を介して接続された第1、
第2ガス流入口11、12が形成されている。各ガス流
入口11、12はそれぞれ上段ブロック体11内で分岐
して第1、第2分岐ガス流路11A、12Aとして形成
され、各分岐ガス流路11A、12Aは上段ブロック体
10Aの下面全面で均等に分散して開口している。中段
ブロック体10B上面には第1、第2分岐ガス流路11
A、12Aそれぞれの開口部と連通する第1、第2中段
ガス流路11B、12Bが形成され、各中段ガス流路1
1B、12Bはそれぞれ中段ブロック体10Bを貫通し
中段ブロック体10Bの下面で開口している。下段ブロ
ック体10Cの上面には第1、第2中段ガス流路11
B、12Bそれぞれの開口部と連通する第1、第2下段
ガス流路11C、12Cが形成され、各下段ガス流路1
1C、12Cはそれぞれ下段ブロック体10Cの下面全
面で均等に分散して開口している。従って、ガス供給源
5 0、60からWF6ガス及びNH3ガスが供給する
と、各ガスは第1、第2ガス流入口11、12からシャ
ワーヘッド10内へ流入した後、下段ガス流路11C、
12Cの開口部から個別に分散して流出し、チャンバー
20内で初めて均等に混合され、シャワーヘッド10内
での各原料ガスの反応を防止している。
As shown in FIG. 1, the shower head 10 passes through the center of the upper wall of the chamber 20, and its lower surface is parallel to the susceptor 30. Further, the shower head 10 is formed by integrating upper and lower three-stage block bodies 10A, 10B, and 10C. W is placed on the upper surface of the upper block body 10A.
Gas supply sources 50 and 60 for F 6 gas and NH 3 gas, respectively
Connected via respective pipes 51 and 61 to
Second gas inlets 11 and 12 are formed. Each of the gas inlets 11 and 12 is branched in the upper block body 11 to form first and second branch gas flow paths 11A and 12A, respectively, and each of the branch gas flow paths 11A and 12A is formed on the entire lower surface of the upper block body 10A. The openings are evenly distributed. The first and second branch gas passages 11 are provided on the upper surface of the middle block body 10B.
A and 12A are formed with first and second middle gas passages 11B and 12B communicating with the respective openings.
1B and 12B respectively penetrate the middle block body 10B and are opened at the lower surface of the middle block body 10B. The first and second middle gas passages 11 are provided on the upper surface of the lower block body 10C.
B, 12B, the first and second lower gas passages 11C, 12C communicating with the respective openings are formed.
1C and 12C are uniformly distributed and opened on the entire lower surface of the lower block body 10C. Accordingly, when the WF 6 gas and the NH 3 gas are supplied from the gas supply sources 50 and 60, the respective gases flow into the shower head 10 from the first and second gas inlets 11 and 12, and then the lower gas flow path 11C ,
12C separately flows out of the opening, and is uniformly mixed for the first time in the chamber 20 to prevent the reaction of each raw material gas in the shower head 10.

【0016】また、上記シャワーヘッド10には三フッ
化塩素(ClF3)ガスの供給源70に配管71を介し
て接続された第3ガス流入口13が第1、第2ガス流入
口11、12と同様に形成され、第3ガス流入口13か
らシャワーヘッド10内へ流入したClF3ガスを上段
ガス流路12A、中段ガス流路12Bを経由して下段ガ
ス流路12Cからクリーニングガスとしてチャンバー2
0内へ供給するようにしてある。また、図示してない
が、シャワーヘッド10にはアルゴン(Ar)ガスや窒
素ガス等の不活性ガスを原料ガスの希釈用ガスとしてチ
ャンバー20内へ供給するガス流路も形成されている。
The shower head 10 has a third gas inlet 13 connected to a chlorine trifluoride (ClF 3 ) gas supply source 70 via a pipe 71, and a third gas inlet 11. The ClF 3 gas formed into the shower head 10 from the third gas inlet 13 through the upper gas passage 12A and the middle gas passage 12B is used as a cleaning gas from the lower gas passage 12C as a cleaning gas. 2
0 is supplied. Although not shown, the shower head 10 is also provided with a gas flow path for supplying an inert gas such as an argon (Ar) gas or a nitrogen gas into the chamber 20 as a gas for diluting the source gas.

【0017】上記シャワーヘッド10の上面にはシャワ
ーヘッドヒータ14が取り付けられ、このヒータ14で
シャワーヘッド10の温度を制御し、もってシャワーヘ
ッド10内で原料ガス、クリーニングガス、希釈用ガス
の温度をぞれぞれ所定の温度に調整し、チャンバー20
内へ供給するようにしてある。また、チャンバー20の
周壁及び上下両壁それぞれの外面にはチャンバーヒータ
21が配設され、これらのヒータ21によってチャンバ
ー20の内壁面を所定温度に制御するようにしてある。
シャワーヘッドヒータ14とチャンバーヒータ21はそ
れぞれ図示しないコントローラの制御下で個別に制御で
きるようにしてある。
A shower head heater 14 is mounted on the upper surface of the shower head 10, and controls the temperature of the shower head 10 with the heater 14, so that the temperatures of the raw material gas, the cleaning gas, and the dilution gas are set in the shower head 10. Each is adjusted to a predetermined temperature, and the chamber 20 is adjusted.
It is designed to be supplied inside. Further, chamber heaters 21 are disposed on the outer surfaces of the peripheral wall and the upper and lower walls of the chamber 20, and the inner wall surface of the chamber 20 is controlled to a predetermined temperature by these heaters 21.
The shower head heater 14 and the chamber heater 21 can be individually controlled under the control of a controller (not shown).

【0018】上記チャンバー20内のサセプタ30の外
周縁部には図示しない搬送機構から搬入された半導体ウ
エハWをサセプタ30の中央へガイドするガイドリング
32が設けられ、サセプタ30内には半導体ウエハWの
温度を制御するステージヒータ80が埋設されている。
このステージヒータ80には電源81及びコントローラ
82が接続され、電源81はコントローラ82の制御下
でステージヒータ80へ給電するようにしてある。
A guide ring 32 for guiding a semiconductor wafer W loaded from a transfer mechanism (not shown) to the center of the susceptor 30 is provided at an outer peripheral edge of the susceptor 30 in the chamber 20. A stage heater 80 for controlling the temperature of the heater is embedded.
A power supply 81 and a controller 82 are connected to the stage heater 80, and the power supply 81 supplies power to the stage heater 80 under the control of the controller 82.

【0019】上記排気管40には真空ポンプ90が接続
され、更に、排気管40にはその流路の開度を調整する
バルブ91が開度調整機構として設けられ、このバルブ
91の開度を調整することによりチャンバー20内の圧
力を適宜調整するようにしてある。
A vacuum pump 90 is connected to the exhaust pipe 40. Further, the exhaust pipe 40 is provided with a valve 91 for adjusting the opening of its flow path as an opening adjusting mechanism. By adjusting the pressure, the pressure in the chamber 20 is appropriately adjusted.

【0020】次に、図1に示す成膜装置を用いた本発明
の半導体装置の製造方法について説明する。本発明方法
ではWF6ガスとNH3ガスを用いて半導体ウエハWに窒
化タングステン(WNX)膜を形成して半導体装置を製
造するに当たり、シャワーヘッドヒータ14及びチャン
バーヒータ21を介してシャワーヘッド10及びチャン
バー20の温度を、WF6ガスとNH3の副生成物である
NH4F及びこれにWが結合した化合物の付着を防止す
る温度に設定する点に特徴がある。本発明方法を実施す
ることにより、WF6ガスとNH3の副生成物がチャンバ
ー20内で発生せず、あるいは発生してもチャンバー2
0内から脱離して内部に副生成物が残存しない。
Next, a method for manufacturing a semiconductor device of the present invention using the film forming apparatus shown in FIG. 1 will be described. In the method of the present invention, when a tungsten nitride (WN x ) film is formed on a semiconductor wafer W using a WF 6 gas and an NH 3 gas to manufacture a semiconductor device, the shower head 10 is passed through a shower head heater 14 and a chamber heater 21. In addition, the temperature of the chamber 20 is set to a temperature that prevents the adhesion of NH 4 F, which is a by-product of WF 6 gas and NH 3 , and a compound having W bonded thereto. By performing the method of the present invention, by-products of WF 6 gas and NH 3 are not generated in the chamber 20 or even if they are generated,
0 and no by-products remain inside.

【0021】本実施形態ではWF6ガスとNH3ガスの副
生成物が如何なる温度範囲で形成されるかを把握するた
めに、WNX膜の成膜時と同様にチャンバー20内へW
6ガスとNH3ガスを供給し、サセプタ30の温度を1
00℃から400℃まで50℃間隔に変化させた。この
時、その上に載置された半導体ウエハWの温度はサセプ
タ30に比べて約50℃低く推移する。そして、それぞ
れの温度における半導体ウエハW上の堆積物中のW量を
XRF(蛍光X線分析)の強度に基づいて評価し、その
結果を図2に示した。図2によればサセプタ30の温度
が100℃の時(半導体ウエハWの温度が約50℃の
時)には青色の膜状堆積物が認められ、僅かではあるが
W原子が存在することが判った。また、サセプタ30の
温度が150℃から250℃の範囲(半導体ウエハWの
温度が約100℃から200℃の範囲)では目視で半導
体ウエハWへの副生成物の堆積は認められず、図2から
も明らかなようにXRF−W強度もWF6ガスとNH3
スを供給しない時の半導体ウエハWと同一レベルであっ
た。この事実から約100〜200℃に保持した表面に
は殆ど堆積物(副生成物)が付着しないことが推察され
る。サセプタ30の温度が300℃以上(半導体ウエハ
Wが約250℃以上)ではXRF−W強度も僅かに上昇
しており、実際にも半導体ウエハWが若干金銀色に変化
していることから、非常に薄いながらもWNX膜が形成
されていることが推察される。これらの結果から、WF
6ガスとNH3ガスをチャンバー20内に供給した時に
は、チャンバー20内部への堆積物について推察する
と、強弱の差はあっても表面が100℃未満の低温部分
ではNH4FとWの化合物が形成されるが、表面を10
0℃以上、250℃未満に保持することによりこの化合
物が脱離して堆積せず、250℃以上ではWNX膜が形
成されるというモデルが考えられる。
[0021] In order to by-products of the WF 6 gas and NH 3 gas in the present embodiment is to grasp either formed in any temperature range, WN X film W into the deposition time as well as the chamber 20 of the
F 6 gas and NH 3 gas are supplied, and the temperature of the susceptor 30 is set to 1
The temperature was changed at intervals of 50 ° C. from 00 ° C. to 400 ° C. At this time, the temperature of the semiconductor wafer W mounted thereon changes about 50 ° C. lower than that of the susceptor 30. Then, the W amount in the deposit on the semiconductor wafer W at each temperature was evaluated based on the intensity of XRF (X-ray fluorescence analysis), and the results are shown in FIG. According to FIG. 2, when the temperature of the susceptor 30 is 100 ° C. (when the temperature of the semiconductor wafer W is about 50 ° C.), a blue film-like deposit is recognized, and although a slight amount of W atoms is present. understood. When the temperature of the susceptor 30 is in the range of 150 ° C. to 250 ° C. (the temperature of the semiconductor wafer W is in the range of about 100 ° C. to 200 ° C.), by-products are not visually observed on the semiconductor wafer W, and FIG. As is clear from FIG. 7, the XRF-W intensity was the same level as that of the semiconductor wafer W when the WF 6 gas and the NH 3 gas were not supplied. From this fact, it is presumed that almost no deposits (by-products) adhere to the surface maintained at about 100 to 200 ° C. When the temperature of the susceptor 30 is 300 ° C. or more (the semiconductor wafer W is about 250 ° C. or more), the XRF-W intensity also slightly increases, and in fact, the semiconductor wafer W slightly changes to gold-silver. thin while also WN X film is inferred to be formed on. From these results, WF
When the 6 gas and the NH 3 gas are supplied into the chamber 20, it can be inferred from the deposits inside the chamber 20 that the compound of NH 4 F and W is formed in a low temperature portion where the surface is lower than 100 ° C. Formed, but with a surface of 10
0 ℃ above, the compound by kept below 250 ° C. is not deposited desorbed model is considered that WN X film is formed at 250 ° C. or higher.

【0022】また、本発明者等は、WF6ガスとNH3
スによるWNX膜の成膜後のClF3ガスを用いたクリー
ニングについても種々検討した。その結果、成膜時には
上述したようにチャンバー20内部の部材を副生成物が
付着しない温度に保持することにより成膜後にClF3
ガスを用いたクリーニングで、成膜前と略同様な清浄表
面が得られることを知見した。
The present inventors have also conducted various studies on cleaning using a ClF 3 gas after forming a WN x film with a WF 6 gas and an NH 3 gas. As a result, during film formation, the members inside the chamber 20 are maintained at a temperature at which by-products do not adhere as described above, so that ClF 3
It has been found that a cleaning surface using a gas can provide substantially the same clean surface as that before film formation.

【0023】即ち、実際に、成膜時にシャワーヘッド1
0及びチャンバー20内面の温度が120℃となるよう
に設定し、成膜後にシャワーヘッド10及びチャンバー
20内面の温度が100℃となるように設定してClF
3ガスによるクリーニングを行った。その後、チャンバ
ー20を開放し、その内部を目視観察したところ、シャ
ワーヘッド10及びチャンバー20内面に付着物が認め
られなかった。また、シャワーヘッド10及びチャンバ
ー20内面の温度が低い温度、例えば80℃となるよう
に設定し、成膜を行い、その後ClF3ガスによるクリ
ーニングを行ったところ、内面での付着物が認められ
た。この事実から、成膜時に、チャンバー20内部のサ
セプタヒータ以外の部材を、副生成物が付着しない温度
に保持することにより、成膜後に、ClF3ガスを用い
たクリーニングで成膜前と略同等な清浄表面を得られる
ことが判る。
That is, the shower head 1 is actually used during film formation.
0 and the temperature of the inner surface of the chamber 20 are set to 120 ° C., and after the film formation, the temperature of the shower head 10 and the inner surface of the chamber 20 are set to 100 ° C.
Cleaning with three gases was performed. Thereafter, the chamber 20 was opened, and the inside thereof was visually observed. As a result, no deposit was found on the shower head 10 and the inner surface of the chamber 20. Further, the temperature of the shower head 10 and the inner surface of the chamber 20 was set to a low temperature, for example, 80 ° C., a film was formed, and then cleaning with ClF 3 gas was performed. . From this fact, at the time of film formation, members other than the susceptor heater inside the chamber 20 are maintained at a temperature at which by-products do not adhere, so that after film formation, cleaning using ClF 3 gas is substantially equivalent to that before film formation. It can be seen that a clean surface can be obtained.

【0024】ClF3ガスを用いたクリーニングの時
に、チャンバー20内部の部材を保持すべき温度として
は約80℃以上、約500℃以下にすることが好まし
い。約500℃より高温になるとクリーニングガスによ
り部材を損傷し、約80℃より低温になるとクリーニン
グの効率が悪いためである。
At the time of cleaning using ClF 3 gas, the temperature at which the members inside the chamber 20 should be maintained is preferably about 80 ° C. or more and about 500 ° C. or less. When the temperature is higher than about 500 ° C., the member is damaged by the cleaning gas, and when the temperature is lower than about 80 ° C., cleaning efficiency is poor.

【0025】上述のことを前提にして所定の半導体ウエ
ハWにWNX膜及びW膜を成膜する場合には、まず真空
ポンプ90を駆動し、バルブ91の開度を調整してチャ
ンバー20内を所定の真空度まで真空引きすると共にシ
ャワーヘッドヒータ14、チャンバーヒータ21及びス
テージヒータ80を介してシャワーヘッド10、サセプ
タ30及びチャンバー20をそれぞれ所定の温度まで加
熱する。次いで、WNX膜の成膜処理に先だってチャン
バー20内の前処理を行う。それにはチャンバー20内
に半導体ウエハWが存在しない状態でWF6ガス、NH3
ガス及びArガスをそれぞれ所定の流量でチャンバー2
0内へ供給し、チャンバー20内のサセプタ及びその周
辺にWNX膜をプリコートする。この前処理を行わない
と、半導体ウエハWでの成膜初期にサセプタ及びその周
辺でWF6ガスあるいはNH3ガスが消費され、肝心の成
膜時にこれらのガスが半導体ウエハに到達せず、所望の
WNX膜を形成できないからである。
[0025] When forming a WN X film and the W film at a predetermined semiconductor wafer W on the assumption that the above-described first to drive the vacuum pump 90, the chamber 20 by adjusting the opening of the valve 91 Is evacuated to a predetermined degree of vacuum, and the showerhead 10, the susceptor 30, and the chamber 20 are each heated to a predetermined temperature via the showerhead heater 14, the chamber heater 21, and the stage heater 80. Next, a pre-process in the chamber 20 is performed prior to the WN x film formation process. For this, WF 6 gas and NH 3 gas are used in a state where the semiconductor wafer W does not exist in the chamber 20.
Gas and Ar gas are supplied at a predetermined flow rate to the chamber 2 respectively.
Fed into 0, precoating the WN X film susceptor and around the chamber 20. If this pretreatment is not performed, WF 6 gas or NH 3 gas is consumed in and around the susceptor at the initial stage of film formation on the semiconductor wafer W, and these gases do not reach the semiconductor wafer during the essential film formation. of it can not be formed a WN X film.

【0026】前処理を行う場合には、例えばガス供給源
50、60等のWF6ガス、Arガス及びNH3ガスをそ
れぞれの配管51、61等を介してシャワーヘッド10
の第1、第2ガス流入口11、12等へ個別に供給す
る。WF6ガス、Arガス及びNH3ガスはそれぞれの第
1、第2ガス分岐流路11A、12A及び中段ガス流路
11B、12Bを経由して下段ガス流路11C、12C
へ達し、これらの開口部からチャンバー20内へシャワ
ー状に吐出し、チャンバー20内で初めて均一に混合さ
れる。この際、WF6ガス、Arガス及びNH3ガスそれ
ぞれの流量は例えば半導体ウエハWにWNX膜を形成す
る場合と同一条件に設定する。その流量でこれらの原料
ガスをチャンバー20内に所定時間(例えば、20数分
程度)だけ個別に供給すると、サセプタ30及びその周
辺にWNX膜が形成される。
When the pretreatment is performed, for example, WF 6 gas, Ar gas and NH 3 gas from the gas supply sources 50 and 60 are supplied to the shower head 10 through the respective pipes 51 and 61 and the like.
Are supplied individually to the first and second gas inlets 11, 12 and the like. The WF 6 gas, the Ar gas and the NH 3 gas pass through the first and second gas branch passages 11A and 12A and the middle gas passages 11B and 12B, respectively, and the lower gas passages 11C and 12C.
, And are discharged from these openings into the chamber 20 in the form of a shower, and are first uniformly mixed in the chamber 20. In this case, WF 6 gas, Ar gas and NH 3 gas respectively flow rate is set to the same conditions as the case of forming a WN X film on a semiconductor wafer W, for example. Predetermined time chamber 20 these material gases at the flow rate (e.g., 20 about several minutes) by supplying individually, WN X film is formed on the susceptor 30 and its periphery.

【0027】本実施形態では原料ガスの副生成物の発
生、付着を防止するために、シャワーヘッドヒータ14
及びチャンバーヒータ21を介してシャワーヘッド10
及びチャンバー20を例えば、表面温度として100℃
以上250℃未満、より好ましくは120〜180℃に
なるように設定する。
In this embodiment, the showerhead heater 14 is used to prevent generation and adhesion of by-products of the raw material gas.
And the shower head 10 via the chamber heater 21
And the chamber 20 is set to a surface temperature of 100 ° C.
The temperature is set to not less than 250 ° C., more preferably 120 to 180 ° C.

【0028】本実施形態では前処理を行った後、WNX
膜の成膜前に半導体ウエハWの表面に下地処理を施す。
即ち、半導体ウエハWとしては例えばゲート酸化膜20
0オングストローム及びポリシリコン膜500オングス
トロームがそれぞれ下層から順次積層されたものを用い
る。この半導体ウエハWをチャンバー20内へ搬入し、
サセプタ30上で保持し、半導体ウエハWを加熱して所
定温度に設定する。引き続きシャワーヘッド10及びチ
ャンバー20を所定の温度に設定した状態で半導体ウエ
ハWへWNX膜を成膜する前に、WF6ガスとArガスを
所定の圧力及び流量でシャワーヘッド10からチャンバ
ー20内へ所定時間(例えば、10秒程度)だけ個別に
供給する。
In this embodiment, after performing the pre-processing, WN X
Prior to the formation of the film, a surface treatment is performed on the surface of the semiconductor wafer W.
That is, as the semiconductor wafer W, for example, the gate oxide film 20 is used.
A film in which 0 Å and a 500 Å polysilicon film are sequentially laminated from the lower layer is used. This semiconductor wafer W is carried into the chamber 20,
The semiconductor wafer W is held on the susceptor 30 and heated to a predetermined temperature. Continuing the shower head 10 and the chamber 20 before forming the WN X film to the semiconductor wafer W in a state set to a predetermined temperature, the chamber 20 in the WF 6 gas and Ar gas from the shower head 10 at a predetermined pressure and flow rate For a predetermined time (for example, about 10 seconds).

【0029】半導体ウエハWの所定温度は350〜55
0℃、より好ましくは450〜500℃に設定する。半
導体ウエハWの温度が350℃未満では密着層の形成速
度が許容範囲より遅くなる虞があり、550℃を超える
と例えばCu配線のバリア膜に使用する場合には層間絶
縁膜によっては耐熱温度を超える等の問題があり、使用
上の制限ができて好ましくない。WF6ガスの所定流量
は例えば0.5〜10sccm、より好ましくは0.5〜2
sccmに設定する。WF6ガスの流量が0.5sccm未満で
はWF6と半導体ウエハW表面のポリシリコンとの反応
が不十分でその表面を活性化することができず、密着層
の形成速度が許容範囲より遅くなり、WF6ガスを接触
させる意義がなくなる虞があり、10sccmを超えると密
着層の形成速度が高すぎ、特に薄膜を得たい場合に膜厚
の制御が難しくなる虞がある。また、半導体ウエハWの
所定の処理圧力は0.1〜10Torr、より好ましくは
0.3〜3Torrに設定する。0.1Torr未満では密着層
の形成速度が許容範囲より遅くなり、10Torrを超える
と密着層の形成速度が高すぎ、特に薄膜を得たい場合に
膜厚の制御が難しくなる虞がある。
The predetermined temperature of the semiconductor wafer W is 350 to 55
The temperature is set to 0 ° C, more preferably 450 to 500 ° C. If the temperature of the semiconductor wafer W is lower than 350 ° C., the formation speed of the adhesion layer may be lower than an allowable range. There is a problem such as exceeding, and it is not preferable because the use can be restricted. The predetermined flow rate of the WF 6 gas is, for example, 0.5 to 10 sccm, more preferably 0.5 to 2 sccm.
Set to sccm. If the flow rate of the WF 6 gas is less than 0.5 sccm, the reaction between the WF 6 and the polysilicon on the surface of the semiconductor wafer W is insufficient, so that the surface cannot be activated, and the formation speed of the adhesion layer becomes slower than an allowable range. There is a possibility that the contact with the WF 6 gas may not be meaningful, and if it exceeds 10 sccm, the formation speed of the adhesion layer may be too high, and it may be difficult to control the film thickness particularly when a thin film is desired. Further, the predetermined processing pressure of the semiconductor wafer W is set to 0.1 to 10 Torr, more preferably 0.3 to 3 Torr. If it is less than 0.1 Torr, the formation speed of the adhesion layer is slower than the allowable range. If it exceeds 10 Torr, the formation speed of the adhesion layer is too high, and it may be difficult to control the film thickness particularly when it is desired to obtain a thin film.

【0030】下地処理を行った後、バリア膜であるWN
X膜の成膜処理を行う。それには、シャワーヘッド10
からチャンバー20内へWF6ガス、NH3ガス及びAr
ガスをそれぞれ所定の流量で所定時間(例えば、10秒
程度)供給し、サセプタ30上で所定の温度に設定され
た半導体ウエハWのポリシリコン膜上にWNX膜を形成
する。引き続き、通常のW−CVD法により1000オ
ングストロームのW膜をWNX膜上に成膜する。その
後、従来公知のように半導体ウエハWを例えば所定温度
(例えば、850〜900℃)まで加熱し、WNX膜及
びW膜を所定時間熱処理して膜質を改善する。
After performing the base treatment, the barrier film WN
An X film is formed. To do that, we have a shower head 10
WF 6 gas, NH 3 gas and Ar
Gas each predetermined time at a predetermined flow rate (e.g., about 10 seconds) was supplied, to form a WN X film on the polysilicon film of the semiconductor wafer W which is set to a predetermined temperature on the susceptor 30. Subsequently, a 1000 Å W film is formed on the WN x film by a normal W-CVD method. Then, conventionally known as a semiconductor wafer W, for example, a predetermined temperature (e.g., 850 to 900 ° C.) was heated to improve the film quality of the WN X film and the W film was heat-treated for a predetermined time.

【0031】半導体ウエハWの所定温度は350〜55
0℃、より好ましくは450〜500℃に設定する。半
導体ウエハWの温度が350℃未満ではWNX膜がアモ
ルファスになり易くRTN後の膜剥離が起き易くなり、
550℃を超えると下地処理の場合と同様に理由に使用
上の制限ができて好ましくない。WF6ガスの所定流量
は例えば0.5〜10sccm、より好ましく、1〜5sccm
に設定する。WF6ガスの流量が0.5sccm未満では成
膜速度が許容範囲より遅くなる虞があり、10sccmを超
えるとWNX膜がアモルファスになり易くRTN後の膜
剥離が起き易くなる虞がある。NH3ガスの所定流量は
例えば20〜500sccm、より好ましくは150〜50
0sccmに設定する。NH3ガスの流量が20sccm未満で
はWNX膜がアモルファスになり易くRTN後の膜剥離
が起き易くなる虞があり、500sccmを超えるとWF6
ガスの分圧が低下し成膜速度が許容範囲を下回る虞があ
る。Arガスの所定流量は例えば10〜500sccm、よ
り好ましくは50〜300cmに設定する。Arガスの流
量が10sccm未満ではWF6ガスがNH3ガスの供給ライ
ンへ拡散し、あるいはNH3ガスがWF6ガスの供給ライ
ンへ拡散し、著しい場合にはこれら両者が反応してパー
ティクルを生じ、パーティクルで配管が詰まる虞があ
り、500sccmを超えるとWF6ガスの分圧が低下し成
膜速度が許容範囲を下回る虞がある。
The predetermined temperature of the semiconductor wafer W is 350 to 55
The temperature is set to 0 ° C, more preferably 450 to 500 ° C. The temperature of the semiconductor wafer W is likely occur delamination after easily RTN WN X film becomes amorphous is less than 350 ° C.,
If the temperature exceeds 550 ° C., it is not preferable because the use can be restricted for the same reason as in the case of the base treatment. The predetermined flow rate of the WF 6 gas is, for example, 0.5 to 10 sccm, more preferably, 1 to 5 sccm.
Set to. WF 6 gas flow rate is there is a possibility that the deposition rate is slower than the allowable range is less than 0.5 sccm, there is a possibility that the WN X film exceeds 10sccm is liable occur delamination after liable RTN become amorphous. The predetermined flow rate of the NH 3 gas is, for example, 20 to 500 sccm, more preferably 150 to 50 sccm.
Set to 0 sccm. NH 3 flow rate of gas there is a possibility that easily occur delamination after liable RTN becomes WN X film amorphous is less than 20 sccm, if it exceeds 500 sccm WF 6
There is a possibility that the partial pressure of the gas decreases and the deposition rate falls below an allowable range. The predetermined flow rate of the Ar gas is set to, for example, 10 to 500 sccm, more preferably 50 to 300 cm. If the flow rate of the Ar gas is less than 10 sccm, the WF 6 gas diffuses into the NH 3 gas supply line, or the NH 3 gas diffuses into the WF 6 gas supply line. If the pipe exceeds 500 sccm, the partial pressure of the WF 6 gas may decrease, and the deposition rate may fall below an allowable range.

【0032】[0032]

【実施例】次に、具体的な実施例について説明する。 実施例1 本実施例では、チャンバー内の前処理を下記1の条件で
行い、サセプタ及びその周辺にWNX膜をプリコートし
た。プリコート膜の膜厚を把握するために、チャンバー
内のサセプタ上に半導体ウエハを載置した状態で、本前
処理と同一条件で半導体ウエハ表面にWNX膜を形成し
た。この条件でサセプタ上に半導体ウエハを載置した場
合には半導体ウエハの表面温度はサセプタより56℃低
い温度に相当する。また、サセプタ及びその周辺に形成
されたWNX膜の膜厚は同じ条件で半導体ウエハに形成
されたWNX膜の膜厚以上となる。そこで、半導体ウエ
ハに形成されたWNX膜の膜厚を測定した結果、その膜
厚は約1.5μmであった。従って、上記プリコート膜
の膜厚は少なくとも約1.5μm以上と考えられる。 1.前処理の条件 (1)半導体製造装置の条件 チャンバー内の圧力 :0.3Torr チャンバーの内壁面の温度:170℃ シャワーヘッドの温度 :170℃ サセプタの温度 :506℃ (2)前処理時の原料ガスの条件 第一段階 原料ガス流量:WF6/NH3/Ar=2/50/200
(sccm) 処理時間 :60秒 第二段階 原料ガス流量:WF6/NH3/Ar=10/50/20
0(sccm) 処理時間 :1360秒
Next, specific embodiments will be described. Example 1 In this example, performs a pretreatment chamber under the following conditions 1 was precoated with WN X film susceptor and its vicinity. To understand the thickness of pre-coating film, while placing the semiconductor wafer on the susceptor in the chamber, thereby forming a WN X film on a semiconductor wafer surface in the pre-treatment under the same conditions. When the semiconductor wafer is placed on the susceptor under these conditions, the surface temperature of the semiconductor wafer corresponds to a temperature 56 ° C. lower than the susceptor. The thickness of WN X film formed on the susceptor and its vicinity becomes more the thickness of the WN X film formed on a semiconductor wafer under the same conditions. As a result of measuring the film thickness of the WN X film formed on a semiconductor wafer, the film thickness was about 1.5 [mu] m. Therefore, it is considered that the thickness of the precoat film is at least about 1.5 μm or more. 1. Conditions of pretreatment (1) Conditions of semiconductor manufacturing equipment Pressure in chamber: 0.3 Torr Temperature of inner wall surface of chamber: 170 ° C Shower head temperature: 170 ° C Susceptor temperature: 506 ° C (2) Raw materials during pretreatment Gas conditions First stage Source gas flow rate: WF 6 / NH 3 / Ar = 2/50/200
(sccm) Processing time: 60 seconds Second stage Source gas flow rate: WF 6 / NH 3 / Ar = 10/50/20
0 (sccm) Processing time: 1360 seconds

【0033】上記前処理を行った後、表面からポリシリ
コン膜(500オングストローム)/ゲート酸化膜(2
00オングストローム)の各膜を形成した半導体ウエハ
をチャンバー内のサセプタ上に載置した後、WF6ガス
を用いて下記2の条件で半導体ウエハのポリシリコン膜
表面の下地処理を行った後、下記3の条件で成膜処理を
行ってポリシリコン膜上にWNX膜を形成した。 2.下地処理 (1)半導体製造装置の条件 チャンバー内の圧力 :0.3Torr チャンバーの内壁面の温度:170℃ シャワーヘッドの温度 :170℃ サセプタの温度 :506℃ 半導体ウエハの表面温度 :450℃ (2)原料ガスの流量:WF6/NH3/Ar=1.3/0
/200(sccm) 処理時間 :10秒 3.成膜処理 (1)半導体製造装置の条件:下地処理と同一条件 (2)原料ガス流量:WF6/NH3/Ar=2/50/2
00(sccm) 処理時間 :10秒
After performing the above pre-treatment, a polysilicon film (500 Å) / gate oxide film (2
After the semiconductor wafer on which each film of (00 angstrom) was formed was placed on the susceptor in the chamber, the underlayer treatment of the polysilicon film surface of the semiconductor wafer was performed using WF 6 gas under the following two conditions. A film was formed under the conditions of No. 3 to form a WN x film on the polysilicon film. 2. Base treatment (1) Conditions of semiconductor manufacturing equipment Pressure in chamber: 0.3 Torr Temperature of inner wall surface of chamber: 170 ° C. Shower head temperature: 170 ° C. Susceptor temperature: 506 ° C. Surface temperature of semiconductor wafer: 450 ° C. (2 ) Source gas flow rate: WF 6 / NH 3 /Ar=1.3/0
/ 200 (sccm) Processing time: 10 seconds 3. Film formation process (1) Conditions for semiconductor manufacturing equipment: same conditions as underlayer treatment (2) Source gas flow rate: WF 6 / NH 3 / Ar = 2/50/2
00 (sccm) Processing time: 10 seconds

【0034】上記成膜処理を行った後、WNX膜のシー
ト抵抗を四探針法により測定したところ、185オーム
/sqであった。下地処理層を含むWNX膜の膜厚はSE
M観察から250オングストロームであったので、比抵
抗値は462μΩcmとなった。
[0034] After the film forming process, was measured by the four point probe method the sheet resistance of the WN X film was 185 ohms / sq. The film thickness of the WN X film containing undercoating layer SE
Since it was 250 Å from M observation, the specific resistance was 462 μΩcm.

【0035】次いで、通常のW−CVD法により成膜処
理を行ってWNX膜上に1000オングストロームのW
膜を形成した。この時のW/WN膜のシート抵抗を四探
針法により測定したところ、1.314オーム/sqとい
う低いシート抵抗値が得られた。更に、膜剥離の有無を
知るために、W/WN膜の耐熱性試験を行った。即ち、
半導体ウエハのW/WN膜に対して900℃で600秒
間熱負荷を掛けて熱処理(RTN)を行った。RTN後
のシート抵抗値の上昇の有無及びSIMS分析からバリ
ア性を、また、RTN後の膜剥がれの有無から密着性を
それぞれ評価した。RTNによりWNX膜のバリア性が
破壊されれば、Si原子がW膜へ拡散し、その結果シー
ト抵抗値が上昇し、SIMS分析でもSi原子のW膜内
への拡散あるいはW原子のポリシリコン膜への拡散が観
測されるはずである。また、W/WN/ポリシリコン膜
のいずれかの界面における密着性が不十分であれば、R
TNにより膜剥離が発生するはずである。ところが、本
実施例で得られた半導体ウエハを上述のように評価した
結果、RTN後のシート抵抗値は1.111Ω/sqとな
り、W膜のシート抵抗値が低下しており、背面SIMS
によるW原子のポリシリコン膜への拡散及び膜剥離も認
められなかった。シート抵抗値が低下したのはSi原子
への拡散がなく、しかもWの結晶粒が大粒径化したため
と考えられる。
Next, a film forming process is performed by a usual W-CVD method to form 1000 Å of W on the WN x film.
A film was formed. When the sheet resistance of the W / WN film at this time was measured by a four probe method, a low sheet resistance value of 1.314 ohm / sq was obtained. Further, a heat resistance test of the W / WN film was performed in order to know the presence or absence of film peeling. That is,
Heat treatment (RTN) was performed on the W / WN film of the semiconductor wafer by applying a thermal load at 900 ° C. for 600 seconds. The barrier property was evaluated from the presence or absence of an increase in sheet resistance value after RTN and SIMS analysis, and the adhesion was evaluated from the presence or absence of film peeling after RTN. If the barrier property of the WN x film is destroyed by RTN, Si atoms diffuse into the W film, and as a result, the sheet resistance increases. In SIMS analysis, the diffusion of Si atoms into the W film or the polysilicon of W atoms occurs. Diffusion into the film should be observed. If the adhesion at any interface of the W / WN / polysilicon film is insufficient, R
TN should cause film peeling. However, as a result of evaluating the semiconductor wafer obtained in this example as described above, the sheet resistance after RTN was 1.111 Ω / sq, the sheet resistance of the W film was low, and the backside SIMS
No diffusion of W atoms into the polysilicon film and no peeling of the film were observed. It is considered that the reason why the sheet resistance value decreased was that there was no diffusion into Si atoms, and that the W crystal grains had a large grain size.

【0036】上記各条件で半導体ウエハを所定枚数の成
膜処理を行った後、チャンバー20内のin-situクリー
ニングを行う。それにはサセプタの温度を300℃、チ
ャンバーの内壁面及びシャワーヘッドの温度を100℃
に保持し、以下の条件でClF3ガス及びArガスをク
リーニングガスとして同時にチャンバー内へ供給し、C
lF3ガスでチャンバー内のクリーニングを行った。ク
リーニング終了後、サセプタ、チャンバー及びシャワー
ヘッドの加熱を止め、常温に戻した後、チャンバーを開
放し、内部を目視観察した結果、サセプタ、チャンバー
及びシャワーヘッドの全てが成膜前と同様に清浄な表面
であることが観察され、チャンバー内がクリーニングガ
スで十分に清浄になることが判った。 (1)チャンバー内の圧力 :1Torr (2)クリーニングガスの流量:ClF3/Ar=500/
50(sccm) (3)クリーニング時間 :600秒
After a predetermined number of semiconductor wafers are formed under the above conditions, in-situ cleaning of the chamber 20 is performed. To do this, set the temperature of the susceptor to 300 ° C and the temperature of the inner wall of the chamber and the showerhead to 100 ° C.
, And ClF 3 gas and Ar gas are simultaneously supplied as cleaning gases into the chamber under the following conditions.
The inside of the chamber was cleaned with 1F 3 gas. After cleaning, heating of the susceptor, the chamber and the shower head was stopped, and after returning to room temperature, the chamber was opened and the inside was visually observed.As a result, all of the susceptor, the chamber and the shower head were as clean as before the film formation. The surface was observed, and it was found that the inside of the chamber was sufficiently cleaned with the cleaning gas. (1) Pressure in chamber: 1 Torr (2) Flow rate of cleaning gas: ClF 3 / Ar = 500 /
50 (sccm) (3) Cleaning time: 600 seconds

【0037】実施例2 本実施例では、予め表面に200オングストロームのシ
リコン酸化(SiO2)膜が形成された半導体ウエハに
対して実施例1と同一条件で成膜処理を施し、WN
X膜、W膜の順で積層してW/WN膜を形成した。
Embodiment 2 In this embodiment, a semiconductor wafer having a 200 Å silicon oxide (SiO 2 ) film formed on the surface thereof in advance is subjected to a film forming process under the same conditions as in Embodiment 1, and
An X film and a W film were stacked in this order to form a W / WN film.

【0038】上記成膜処理を行った後、WNX膜のシー
ト抵抗を四探針法により測定したところ、2027オー
ム/sqであった。XRF法による測定値から換算される
WNX膜の膜厚を397オングストロームとすると、比
抵抗値は8052μΩcmとなった。
[0038] After the film forming process, was measured by the four point probe method the sheet resistance of the WN X film was 2027 ohms / sq. When the thickness of the WN X film to be converted from the measured values by XRF method and 397 Angstroms, the resistivity became 8052Myuomegacm.

【0039】次いで、通常のW−CVD法により成膜処
理を行ってWNX膜上に1000オングストロームのW
膜を形成した。このW/WN膜の耐熱性試験を行った。
即ち、半導体ウエハのW/WN膜に対して600℃で6
00秒間熱負荷を掛けて熱処理(RTN)を行い、膜剥
離の有無からW/WN膜の密着度を評価した。その結
果、W/WN/SiO2膜は密着性に問題がないことが
判った。
Next, a film forming process is performed by a usual W-CVD method to form 1000 Å of W on the WN x film.
A film was formed. A heat resistance test of this W / WN film was performed.
That is, at 600 ° C. for the W / WN film of the semiconductor wafer, 6
Heat treatment (RTN) was performed by applying a heat load for 00 seconds, and the degree of adhesion of the W / WN film was evaluated based on the presence or absence of film peeling. As a result, it was found that the W / WN / SiO 2 film had no problem in adhesion.

【0040】上記条件で半導体ウエハを所定枚数の成膜
処理を行った後、下記条件でチャンバー20内のin-sit
uクリーニングを行い、クリーニング終了後、サセプ
タ、チャンバー及びシャワーヘッドの加熱を止め、常温
に戻した後、チャンバーを開放し、内部を目視観察した
結果、サセプタ、チャンバー及びシャワーヘッドの全て
が成膜前と同様に清浄な表面であることが観察された。 (1)チャンバー内の圧力 :1Torr (2)クリーニングガスの流量:ClF3/Ar=200/
50(sccm) (3)クリーニング時間 :180秒
After a predetermined number of semiconductor wafers are formed under the above conditions, the in-situ
u After cleaning, after the cleaning is completed, heating of the susceptor, chamber and shower head is stopped, and after returning to normal temperature, the chamber is opened and the inside is visually observed. Was observed as a clean surface. (1) Pressure in chamber: 1 Torr (2) Flow rate of cleaning gas: ClF 3 / Ar = 200 /
50 (sccm) (3) Cleaning time: 180 seconds

【0041】比較例1 本比較例では、シャワーヘッド10及びチャンバー20
の内面をそれぞれ60℃及び80℃に設定した以外は実
施例1と同一条件でWNX膜及びW膜を形成したとこ
ろ、成膜量が少なくなる問題が発生した。また、成膜後
に実施例1と同様のクリーニングを行い、チャンバーの
冷却後にその内部を目視観察したところ、実施例1には
観られなかった、茶色の付着物がシャワーヘッド10及
びチャンバー20の内面に認められた。本比較例では前
処理のプリコート時及び成膜時においてシャワーヘッド
10及びチャンバー20の加熱が不足していたため、副
生成物である、NH4FとWが結合した化合物がシャワ
ーヘッド10及びチャンバー20の内面に残留し、成膜
時に半導体ウエハに到達すべき原料ガスを吸収して成膜
量を減少させ、更にクリーニング時に付着物がクリーニ
ングしきれずに残留したものと推察される。
Comparative Example 1 In this comparative example, the shower head 10 and the chamber 20
The inner surface except set at 60 ° C. and 80 ° C. respectively were formed a WN X film and the W film under the same conditions as in Example 1, a problem occurs that the amount of deposition is reduced. Further, after the film was formed, the same cleaning as in Example 1 was performed, and the inside of the chamber was visually observed after cooling of the chamber. As a result, brown deposits, which were not observed in Example 1, were found on the inner surfaces of the shower head 10 and the chamber 20. Was recognized. In the present comparative example, the heating of the shower head 10 and the chamber 20 was insufficient during the pre-coating and the film formation in the pretreatment, so that the by-product, a compound in which NH 4 F and W were combined, was not applied to the shower head 10 and the chamber 20. It is presumed that the material gas remaining on the inner surface of the substrate and absorbing the source gas to reach the semiconductor wafer at the time of film formation reduces the film formation amount, and that the adhered material remains without being completely cleaned at the time of cleaning.

【0042】比較例2 本比較例では、実施例1と同一の条件でプリコート、成
膜処理を行い、成膜後にサセプタを500℃に設定して
クリーニングを行った。冷却後、チャンバーを開放し内
部を目視観察したところ、シャワーヘッド及びサセプタ
周辺の金属部分に腐食が認められた。
Comparative Example 2 In this comparative example, precoating and film formation were performed under the same conditions as in Example 1, and after the film formation, the susceptor was set at 500 ° C. for cleaning. After cooling, the chamber was opened and the inside was visually observed. Corrosion was observed in the metal parts around the showerhead and the susceptor.

【0043】比較例3 本比較例では、実施例1と同一の条件でプリコート、成
膜処理を行い、成膜後にシャワーヘッド及びチャンバー
内面を50℃に設定してクリーニングを行った。冷却
後、チャンバーを開放し内部を目視観察したところ、プ
リコート膜の残留が認められた。この事実からシャワー
ヘッド及びチャンバーの加熱不足のため、実施例1と同
一時間ではクリーニングが十分に行われないことが推察
される。
Comparative Example 3 In this comparative example, precoating and film formation were performed under the same conditions as in Example 1, and after forming the film, the shower head and the inner surface of the chamber were cleaned at 50 ° C. After cooling, the chamber was opened and the inside was visually observed. As a result, the precoat film remained. From this fact, it is inferred that cleaning is not sufficiently performed in the same time as in Example 1 due to insufficient heating of the shower head and the chamber.

【0044】尚、本発明は上記実施形態に何等制限され
るものではなく、要は半導体装置を製造するに当たり、
ガス供給機構及び/または成膜室内のガス接触部位それ
ぞれの温度を、六フッ化タングステンガスとアンモニア
ガスの副生成物の付着を防止する温度に設定する方法、
六フッ化タングステンガスとアンモニアガスによる成膜
後に、ガス供給機構及び/または成膜室内のガス接触部
位それぞれの温度を所定温度に設定した状態で、成膜室
内へ三フッ化塩素ガスを供給する方法であれば本発明に
包含される。
Note that the present invention is not limited to the above embodiment at all.
A method of setting the temperature of each of the gas supply mechanism and / or the gas contact site in the film formation chamber to a temperature at which by-products of tungsten hexafluoride gas and ammonia gas are prevented from adhering;
After the film formation with the tungsten hexafluoride gas and the ammonia gas, the chlorine trifluoride gas is supplied into the film formation chamber with the temperature of the gas supply mechanism and / or the temperature of each gas contact portion in the film formation chamber set to a predetermined temperature. A method is included in the present invention.

【0045】[0045]

【発明の効果】本発明の請求項1、請求項2及び請求項
5に記載の発明によれば、六フッ化タングステンガスと
アンモニアガスの副生成物が成膜室内で発生せず、ある
いは発生しても成膜室内から脱離して成膜室内に残存し
ない半導体装置の製造方法及び半導体製造装置を提供す
ることができる。
According to the first, second and fifth aspects of the present invention, by-products of tungsten hexafluoride gas and ammonia gas are not generated or generated in the film forming chamber. Accordingly, a method for manufacturing a semiconductor device and a semiconductor manufacturing apparatus which are separated from a deposition chamber and do not remain in the deposition chamber can be provided.

【0046】本発明の請求項3及び請求項4に記載の発
明によれば、成膜後のin-situクリーニングで六フッ化
タングステンガスとアンモニアガスの副生成物を除去
し、成膜室内を常に清浄な状態に保持できる半導体装置
の製造方法を提供することができる。
According to the third and fourth aspects of the present invention, the by-products of tungsten hexafluoride gas and ammonia gas are removed by in-situ cleaning after film formation, and the film formation chamber is formed. It is possible to provide a method for manufacturing a semiconductor device which can always be kept in a clean state.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の半導体装置の製造方法に好適に用いら
れる本発明の半導体製造装置の一実施形態を示す断面図
である。
FIG. 1 is a cross-sectional view showing one embodiment of a semiconductor manufacturing apparatus of the present invention suitably used in a method of manufacturing a semiconductor device of the present invention.

【図2】図1に示すサセプタの温度とサセプタに載置さ
れた半導体ウエハの表面の堆積物との関係を示すグラフ
である。
FIG. 2 is a graph showing a relationship between a temperature of a susceptor shown in FIG. 1 and a deposit on a surface of a semiconductor wafer placed on the susceptor.

【符号の説明】[Explanation of symbols]

10 シャワーヘッド(ガス供給機構) 14 シャワーヘッドヒータ(温度調整機構) 20 チャンバー(成膜室) 21 チャンバーヒータ(温度調整機構) 30 サセプタ(保持体) Reference Signs List 10 shower head (gas supply mechanism) 14 shower head heater (temperature adjustment mechanism) 20 chamber (film formation chamber) 21 chamber heater (temperature adjustment mechanism) 30 susceptor (holding body)

───────────────────────────────────────────────────── フロントページの続き (72)発明者 有馬 進 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 河野 有美子 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 立花 光博 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 Fターム(参考) 4K030 AA03 AA04 AA13 BA20 BA38 CA04 DA02 DA06 EA01 FA10 JA10 KA08 KA22 KA25 KA41 KA47 LA15 4M104 BB01 BB18 CC05 DD44 DD45 FF18 HH20  ──────────────────────────────────────────────────続 き Continued on the front page (72) Susumu Arima, 2381, Kita-Shimojo, Fujii-cho, Nirasaki, Yamanashi Prefecture Inside Tokyo Electron Yamanashi Co., Ltd. 1 Tokyo Electron Yamanashi Co., Ltd. (72) Inventor Mitsuhiro Tachibana 2381 Kita Shimojo, Fujii-machi, Nirasaki City, Yamanashi Prefecture 1 Tokyo Electron Yamanashi Co., Ltd. F term (reference) 4K030 AA03 AA04 AA13 BA20 BA38 CA04 DA02 DA06 EA01 FA10 JA10 KA08 KA22 KA25 KA41 KA47 LA15 4M104 BB01 BB18 CC05 DD44 DD45 FF18 HH20

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 六フッ化タングステンガスとアンモニア
ガスをガス供給機構から成膜室内へ供給して被処理体に
窒化タングステン膜を成膜する半導体装置の製造方法で
あって、上記ガス供給機構及び/または上記成膜室内の
ガス接触部位それぞれの温度を、六フッ化タングステン
ガスとアンモニアガスの副生成物の付着を防止する温度
に設定することを特徴とする半導体装置の製造方法。
1. A method for manufacturing a semiconductor device for forming a tungsten nitride film on an object to be processed by supplying a tungsten hexafluoride gas and an ammonia gas from a gas supply mechanism into a film formation chamber, the method comprising: And / or setting the temperature of each of the gas contact portions in the film forming chamber to a temperature at which by-products of tungsten hexafluoride gas and ammonia gas are prevented from adhering.
【請求項2】 上記設定温度が100〜300℃である
ことを特徴とする請求項1に記載の半導体装置の製造方
法。
2. The method according to claim 1, wherein the set temperature is 100 to 300 ° C.
【請求項3】 六フッ化タングステンガスとアンモニア
ガスをガス供給機構から成膜室内へ供給して被処理体に
窒化タングステン膜を成膜する半導体装置の製造方法で
あって、六フッ化タングステンガスとアンモニアガスに
よる成膜後に、上記ガス供給機構及び/または上記成膜
室内のガス接触部位それぞれの温度を所定温度に設定し
た状態で、上記成膜室内へ三フッ化塩素ガスを供給する
ことを特徴とする半導体装置の製造方法。
3. A method for manufacturing a semiconductor device in which a tungsten hexafluoride gas and an ammonia gas are supplied from a gas supply mechanism into a deposition chamber to form a tungsten nitride film on an object to be processed. After forming the film with ammonia gas, supplying the chlorine trifluoride gas into the film forming chamber with the temperature of the gas supply mechanism and / or the temperature of each gas contact portion in the film forming chamber set to a predetermined temperature. A method for manufacturing a semiconductor device.
【請求項4】 上記設定温度が80〜500℃であるこ
とを特徴とする請求項3に記載の半導体装置の製造方
法。
4. The method for manufacturing a semiconductor device according to claim 3, wherein said set temperature is 80 to 500 ° C.
【請求項5】 原料ガスを供給するガス供給機構と、こ
のガス供給機構に連設された成膜室と、この成膜室内に
配設され且つ被処理体を保持する温度調整可能な保持体
とを備え、六フッ化タングステンガスとアンモニアガス
を用いて上記保持体で保持された被処理体に窒化タング
ステン膜を形成する装置であって、上記ガス供給機構及
び/または上記成膜室内のガス接触部位に温度調整機構
を設けたことを特徴とする半導体製造装置。
5. A gas supply mechanism for supplying a raw material gas, a film formation chamber connected to the gas supply mechanism, and a temperature-adjustable holder disposed in the film formation chamber and holding an object to be processed. An apparatus for forming a tungsten nitride film on an object held by the holder using a tungsten hexafluoride gas and an ammonia gas, wherein the gas supply mechanism and / or the gas in the film formation chamber are provided. A semiconductor manufacturing apparatus, wherein a temperature adjusting mechanism is provided at a contact portion.
JP32886598A 1998-10-26 1998-11-04 Semiconductor device manufacturing method and semiconductor manufacturing apparatus Expired - Fee Related JP3904750B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP32886598A JP3904750B2 (en) 1998-11-04 1998-11-04 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US09/425,971 US6399484B1 (en) 1998-10-26 1999-10-25 Semiconductor device fabricating method and system for carrying out the same
KR1019990046706A KR100610416B1 (en) 1998-10-26 1999-10-26 Semiconductor device fabricating method and system for carrying out the same
TW088118483A TW495801B (en) 1998-10-26 1999-10-26 Semiconductor device fabricating method and system for carrying out the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32886598A JP3904750B2 (en) 1998-11-04 1998-11-04 Semiconductor device manufacturing method and semiconductor manufacturing apparatus

Publications (2)

Publication Number Publication Date
JP2000150330A true JP2000150330A (en) 2000-05-30
JP3904750B2 JP3904750B2 (en) 2007-04-11

Family

ID=18214969

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32886598A Expired - Fee Related JP3904750B2 (en) 1998-10-26 1998-11-04 Semiconductor device manufacturing method and semiconductor manufacturing apparatus

Country Status (1)

Country Link
JP (1) JP3904750B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002266073A (en) * 2001-03-07 2002-09-18 Tokyo Electron Ltd Film deposition method
JP2004228565A (en) * 2003-01-21 2004-08-12 Ngk Insulators Ltd Component for semiconductor manufacturing equipment and semiconductor manufacturing equipment
JP2004273648A (en) * 2003-03-06 2004-09-30 Tokyo Electron Ltd Method for forming precoating layer and method for forming film
JP2007073692A (en) * 2005-09-06 2007-03-22 Hitachi Kokusai Electric Inc Substrate processor
JP2015127453A (en) * 2013-11-29 2015-07-09 株式会社日立国際電気 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002266073A (en) * 2001-03-07 2002-09-18 Tokyo Electron Ltd Film deposition method
JP2004228565A (en) * 2003-01-21 2004-08-12 Ngk Insulators Ltd Component for semiconductor manufacturing equipment and semiconductor manufacturing equipment
JP4671262B2 (en) * 2003-01-21 2011-04-13 日本碍子株式会社 Semiconductor heating device
JP2004273648A (en) * 2003-03-06 2004-09-30 Tokyo Electron Ltd Method for forming precoating layer and method for forming film
JP4543611B2 (en) * 2003-03-06 2010-09-15 東京エレクトロン株式会社 Precoat layer forming method and film forming method
JP2007073692A (en) * 2005-09-06 2007-03-22 Hitachi Kokusai Electric Inc Substrate processor
JP4616734B2 (en) * 2005-09-06 2011-01-19 株式会社日立国際電気 Substrate processing equipment
JP2015127453A (en) * 2013-11-29 2015-07-09 株式会社日立国際電気 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium

Also Published As

Publication number Publication date
JP3904750B2 (en) 2007-04-11

Similar Documents

Publication Publication Date Title
JP3590416B2 (en) Thin film forming method and thin film forming apparatus
US6399484B1 (en) Semiconductor device fabricating method and system for carrying out the same
US6825126B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US5817576A (en) Utilization of SiH4 soak and purge in deposition processes
JP5046506B2 (en) Substrate processing apparatus, substrate processing method, program, and recording medium recording program
US7514120B2 (en) Precoat film forming method
JP2009144242A (en) Method for improving uniformity and adhesiveness of low-resistivity tungsten film
JPH10247627A (en) Film forming method and device therefor
JP2004006699A (en) Manufacturing method for semiconductor device, and substrate processing apparatus
JP2000235962A (en) Manufacture of barrier film
JP3381774B2 (en) Method of forming CVD-Ti film
JP3667038B2 (en) CVD film forming method
JP2001110747A (en) Method of manufacturing semiconductor device
US6573180B2 (en) PECVD method of forming a tungsten silicide layer on a polysilicon layer
JPH1140518A (en) Film formation of cvd-titanium film
JP2012212899A (en) METHOD FOR FORMING Cu FILM
JP2000150330A (en) Semiconductor device and manufacture therefor
WO1999053537A1 (en) Method for relaxing stress in blanket tungsten film formed by chemical vapor deposition
KR20010054441A (en) Tungsten Chemical Vapor Deposition Method And Tungsten Plug Forming Method
US6387445B1 (en) Tungsten layer forming method and laminate structure of tungsten layer
JP3224548B2 (en) Apparatus and method for minimizing deposition stress of tungsten silicide film
KR101462154B1 (en) Method for depositing W thin film
JP2000200762A (en) Manufacture of semiconductor device and semiconductor manufacture device
JP4804636B2 (en) Deposition method
JPH1064848A (en) Method and device for manufacturing semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050517

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070110

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees