JP2000021890A - Carbon heater - Google Patents

Carbon heater

Info

Publication number
JP2000021890A
JP2000021890A JP10254513A JP25451398A JP2000021890A JP 2000021890 A JP2000021890 A JP 2000021890A JP 10254513 A JP10254513 A JP 10254513A JP 25451398 A JP25451398 A JP 25451398A JP 2000021890 A JP2000021890 A JP 2000021890A
Authority
JP
Japan
Prior art keywords
carbon
heater
quartz glass
wire
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10254513A
Other languages
Japanese (ja)
Other versions
JP4185194B2 (en
Inventor
Eiichi Sotodani
栄一 外谷
Masahiko Ichijima
雅彦 市島
Tomio Kin
富雄 金
Tomohiro Nagata
智浩 永田
Shigeru Yamamura
茂 山村
Norihiko Saito
紀彦 齋藤
Koji Teraoka
浩治 寺岡
Takeshi Inaba
毅 稲葉
Hiroyuki Honma
浩幸 本間
Masaru Nakao
中尾  賢
Takanori Saito
孝規 斎藤
Choei Osanai
長栄 長内
Toshiyuki Makitani
敏幸 牧谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Coorstek KK
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Toshiba Ceramics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Toshiba Ceramics Co Ltd filed Critical Tokyo Electron Ltd
Priority to JP25451398A priority Critical patent/JP4185194B2/en
Publication of JP2000021890A publication Critical patent/JP2000021890A/en
Application granted granted Critical
Publication of JP4185194B2 publication Critical patent/JP4185194B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a superior heat uniformity and flexibility and permit the rapid temp. change by comprising a heater member with a plurality of C fiber bundles of specified diameter which are woven in a longitudinal shape like a wire or tape and has an impurity content set to specified value or less in ash content. SOLUTION: A plurality of bundles of C fibers of 5-15 μm diameter are woven in a longitudinal shape like a wire or tape and the impurity content thereof is set to 10 ppm or less in ash content, thereby ensuring a tensile strength at high temps. as a heater member, the adhesion of the C fibers becomes uniform in its length direction to thereby reduce the heating nonuniformity in the length direction. If the diameter is less than 5 μm, each fiber is too weak to make specified longitudinal shape and if exceeding 15 μm, it is difficult to weave and the density greatly reduces.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【発明の属する技術分野】この発明は、カーボンヒータ
に関し、特に半導体製造装置に用いるのに好適なカーボ
ンヒータに関するものである。
The present invention relates to a carbon heater, and more particularly to a carbon heater suitable for use in a semiconductor manufacturing apparatus.

【従来の技術】半導体の製造工程では、例えばシリコン
ウエハの種々の加熱処理が行われる。このように何かし
らの加熱を伴う半導体の製造工程では、厳密な温度管理
が求められる。また、加熱処理雰囲気をクリーンに保つ
ことも重要である。このため、均熱性及び昇温・降温性
に優れ、汚染物質を放出しない高性能の半導体製造装置
用ヒータの開発、製品化が強く望まれている。特開平7
−161725号公報は、ウエハ加熱装置において樹脂
を用いて固化し、一体化した黒鉛−炭素繊維複合材(以
下C/Cと記す)をヒーター部材に用いた電極構造を開
示している。また、従来より同装置において平板状渦巻
き型のSiCヒータ部材もしくは溶接処理を行なった複
雑形状のMo−Siヒータ部材などが用いられてきた。
2. Description of the Related Art In a semiconductor manufacturing process, for example, various heat treatments of a silicon wafer are performed. As described above, strict temperature control is required in a semiconductor manufacturing process involving some kind of heating. It is also important to keep the heat treatment atmosphere clean. For this reason, there is a strong demand for the development and commercialization of a high-performance heater for a semiconductor manufacturing apparatus which has excellent heat uniformity, temperature rise / fall properties, and does not emit pollutants. JP 7
Japanese Patent Publication No. 161725 discloses an electrode structure in which a graphite-carbon fiber composite material (hereinafter, referred to as C / C) solidified by using a resin in a wafer heating device and integrated is used as a heater member. Conventionally, a flat spiral SiC heater member or a Mo-Si heater member having a complicated shape subjected to a welding process has been used in the apparatus.

【発明が解決しようとする課題】しかしながら、前記C
/Cヒーター部材においては、その構造上薄肉でも2次
元において各々の長さが充分に採れる形状であれば、極
めて高い機械的強度を有するが、例えば1次元の長さつ
まり幅が5mm以下の縦長形状とした場合には、充分な
機械的強度が得られず、また、半導体製造装置用のヒー
タとして用いた場合には長さ方向の両端を端子に固定す
ると、C/Cの熱膨張に伴い、特にこの端子固定部近傍
において、熱的負荷が生じ破損し易いといった問題があ
った。そこで、前記幅を広くとると、今度は抵抗値が小
さくなり、所定の発熱を行うためには、電流値を大幅に
アップしなければならず、また、熱容量が大きくなり急
速加熱が困難であった。また、前記特開平7−1617
25号公報図3の如き略渦巻き形状のような複雑形状を
得ようとすると、均熱構造とするためのスリット加工が
難しく、また上述のように高抵抗とするためには、同部
材の幅を狭くする必要があるが、このような加工が難し
く、コストの増大をまねき、もしくはコストにみあう加
工を行うと、均熱性がけっして充分なものとはなってい
ないのが現状であった。また、前記SiCヒーター部材
やMo−Siヒーター部材においては昇華に伴う劣化を
抑制するために電気負荷密度を約20W/cm程度と
することしかできず、その結果、昇温速度の短縮化に限
界があった。また、これらのヒーター部材においても、
屈曲部を要するような複雑形状においては充分な耐熱強
度が得られていなかった。また、従来、半導体熱処理装
置用ヒータとしては、金属ヒータが用いられることもあ
った。しかし、金属ヒータは金属汚染を生じ易く、ま
た、品質が不安定になり易い問題があった。半導体の熱
処理効率を向上するためには、急速昇降温の可能なヒー
タが必要となる。しかし、金属ヒータは熱容量が大きい
ため、昇降温特性の向上には限界があった。また、一般
に、金属ヒータは断熱材等の付帯設備や金属ヒータ自体
の熱容量が大きいので、急速昇降温が難しいという不具
合もあった。そこで、熱容量が小さく、非酸化性雰囲気
での耐高温性に優れるカーボン材がヒータとして利用さ
れるようになってきた。しかしながら、通常の電極材等
を用いるカーボン材は、柔軟性の面で問題があり、形状
設計のネックとなっていた。また、一般に、カーボンワ
イヤを発熱体として用いるカーボンヒータでは、酸化防
止のため、非酸化性雰囲気に保った容器内にカーボンワ
イヤを配置する。そして、カーボンワイヤは発熱時に非
常に高温になるため、カーボンワイヤを複数本束ねたも
のを端子線として用いている。従来、カーボンワイヤ
は、カーボンペーストによる含浸+焼成によって固定し
ていた。また、比較的細いカーボンワイヤの場合には、
ねじ止めによって固定することもあった。しかしなが
ら、カーボンペーストを用いる固定法では、カーボンペ
ースト焼成体が剥離し、ダスト発生の原因となることが
あった。一方、ねじ止め式の固定法では、カーボンワイ
ヤ束のワイヤ本数が多い場合にねじ止め作業が繁雑であ
った。また、太めのカーボンワイヤの場合には、しっか
りと固定できないこともあった。また、カーボンワイヤ
を発熱体として用いるヒータでは、カーボンヒータ束か
らなる端子線と金属製の端子線とを接続するための端子
装置も必要となる。しかしながら、両方の端子線を確実
且つ容易に接続できる端子装置は未だ提供されていな
い。また、一般に、カーボン発熱体の周辺雰囲気を非酸
化性雰囲気に保つためには、カーボン発熱体の封着技術
が重要となる。ところが、カーボン発熱体を2枚の石英
ガラス板で挟み、外周を溶接する従来の封着方式では、
部分的な加熱により接触面に歪みや変形が生じ、溶接固
定部に応力が集中して石英ガラス板が破損する恐れが大
であった。本発明は、均熱性及びフレキシビリティーに
優れ、急昇降温が可能であり低コストで製造できるカー
ボンヒータを提供することを目的としている。
However, the above C
The / C heater member has extremely high mechanical strength as long as it has a sufficient length in two dimensions even if it is structurally thin, but it has, for example, a one-dimensional length, that is, a vertical length of 5 mm or less. In the case of a shape, sufficient mechanical strength cannot be obtained, and when used as a heater for a semiconductor manufacturing apparatus, if both ends in the length direction are fixed to terminals, the thermal expansion of C / C occurs. In particular, there is a problem that a thermal load is generated in the vicinity of the terminal fixing portion and the terminal is easily damaged. Therefore, if the width is widened, the resistance value is reduced this time, and the current value must be significantly increased in order to perform predetermined heat generation, and the heat capacity becomes large, making rapid heating difficult. Was. Further, Japanese Unexamined Patent Application Publication No.
In order to obtain a complicated shape such as a substantially spiral shape as shown in FIG. 3 of Japanese Patent Publication No. 25, it is difficult to form a slit so as to have a uniform temperature structure. However, such a process is difficult, leading to an increase in cost, or a process that meets the cost has not achieved sufficient thermal uniformity at all. Further, in the SiC heater member and the Mo-Si heater member, the electric load density can be reduced to only about 20 W / cm 2 in order to suppress the deterioration due to the sublimation. As a result, the heating rate is reduced. There was a limit. Also, in these heater members,
Sufficient heat resistance has not been obtained in a complicated shape requiring a bent portion. Conventionally, a metal heater has been used as a heater for a semiconductor heat treatment apparatus. However, the metal heater has a problem that metal contamination is apt to occur and the quality tends to be unstable. In order to improve the heat treatment efficiency of a semiconductor, a heater capable of rapidly raising and lowering the temperature is required. However, since the metal heater has a large heat capacity, there is a limit in improving the temperature rise / fall characteristics. Further, in general, a metal heater has a problem that it is difficult to rapidly raise and lower the temperature because the heat capacity of ancillary equipment such as a heat insulating material and the metal heater itself is large. Therefore, a carbon material having a small heat capacity and excellent in high temperature resistance in a non-oxidizing atmosphere has been used as a heater. However, a carbon material using a normal electrode material or the like has a problem in flexibility and has been a bottleneck in shape design. Generally, in a carbon heater using a carbon wire as a heating element, the carbon wire is placed in a container kept in a non-oxidizing atmosphere to prevent oxidation. Since the carbon wire becomes extremely hot during heat generation, a bundle of a plurality of carbon wires is used as a terminal wire. Conventionally, carbon wires have been fixed by impregnation with carbon paste and firing. In the case of relatively thin carbon wire,
In some cases, it was fixed with screws. However, in the fixing method using the carbon paste, the fired carbon paste may peel off, which may cause dust. On the other hand, in the screw fixing method, the screwing operation is complicated when the number of carbon wire bundles is large. Also, in the case of a thick carbon wire, it may not be possible to fix it firmly. Further, in a heater using a carbon wire as a heating element, a terminal device for connecting a terminal wire formed of a bundle of carbon heaters to a metal terminal wire is also required. However, a terminal device that can reliably and easily connect both terminal wires has not yet been provided. Generally, in order to keep the atmosphere around the carbon heating element in a non-oxidizing atmosphere, a sealing technique of the carbon heating element is important. However, in a conventional sealing method in which a carbon heating element is sandwiched between two quartz glass plates and the outer periphery is welded,
Due to the partial heating, the contact surface was distorted or deformed, and stress was concentrated on the weld fixing portion, and the quartz glass plate was likely to be damaged. An object of the present invention is to provide a carbon heater which is excellent in heat uniformity and flexibility, capable of rapidly rising and falling in temperature, and which can be manufactured at low cost.

【課題を解決するための手段】本発明は、直径が5〜1
5μmであるカーボンファイバーを複数本束ねたカーボ
ンファイバー束を複数本用いてワイヤー形状やテープ形
状のような縦長形状に編み込み,その含有不純物量を灰
分で10ppm以下としたヒータ部材(11,111,
121…161,212,222,411,515,6
12)を具備するカーボンヒータを解決手段としてい
る。
According to the present invention, there is provided a method for manufacturing a semiconductor device having a diameter of 5 to 1 mm.
A heater member (11,111,11) in which a plurality of carbon fiber bundles each formed by bundling a plurality of carbon fibers each having a length of 5 μm are woven into a vertically long shape such as a wire shape or a tape shape and the content of impurities thereof is reduced to 10 ppm or less by ash.
121 ... 161, 212, 222, 411, 515, 6
The solution is a carbon heater having 12).

【発明の実施の形態】本発明のカーボンヒータは、直径
が5〜15μmであるカーボンファイバーを複数本束ね
たカーボンファイバー束を複数本用いてワイヤー形状や
テープ形状のような縦長形状に編み込み,その含有不純
物量を灰分で10ppm以下としたヒータ部材を具備す
る。上記ヒータ部材は、1本もしくは複数本を石英ガラ
ス製もしくはアルミナ製の密封形部材丙に封入すること
ができる。上記ヒータ部材の1000℃での抵抗値は、
好ましくは1〜20Ω/m・本である。上記密封形部材
は、二重管形状、直管形状、環状管形状から選んだ形状
であり、複数個組み合わせて所定形状のヒーティングゾ
ーンを形成することができる。上記密封形部材内に形成
される空間には、非酸化性ガスを流入させる構成とする
ことができる。上記密封形部材内に形成される空間を2
0torr以下の真空にすることができる。上記密封形
部材は、板状の石英ガラス支持体によって実質的に一体
化されており、この石英ガラス支持体中の上記ヒータ部
材の周辺部には、中空の空間が形成されている構成にす
ることができる。上記石英ガラス支持体が複数枚の石英
ガラス板の各々の接合面全体を融着により一体化したも
のであり、少なくとも一枚の石英ガラス板の接合面に配
線用溝を形成し、そこに上記ヒータ部材を配置した構成
にすることができる。上記石英ガラス支持体が2枚の石
英ガラス板を融着したものであり、少なくとも1枚の石
英ガラス板の接合面に所定深さの配線用溝が形成されて
おり、上記深さ分を含まない各々の石英ガラス板の厚さ
が略同一である構成にすることができる。上記石英ガラ
ス支持体が厚さの異なる2枚の石英ガラス板を融着した
ものであり、少なくとも1枚の石英ガラス板の接合面に
所定深さの配線用溝が形成されており、上記深さ分を含
まない一方の石英ガラス板の厚さを他方の厚さの1/2
以下にすることができる。上記配線用溝は、その長さ方
向に垂直な断面形状の少なくとも下方側に湾曲形状を有
し、かつ、つや出し処理されていることが好ましい。上
記配線用溝は、その長さ方向に垂直な断面形状が全体的
に「凸」の字状に形成できる。第1の石英ガラス板に配
線用溝を形成し、第2の石英ガラス板に配線用溝と対に
なる幅狭の挿入用溝もしくは貫通スリットを形成し、第
1・第2石英ガラス板を溝同士が対向するように接合
し、第2石英ガラス板の表面を研磨又は研削して挿入用
溝もしくは貫通スリットの底部を削除することによりこ
れを挿入用窓として露出させ、そこから第1の石英ガラ
ス板の配線用溝内にヒータ部材を押し込み、研磨面又は
研削面に第3の石英ガラス板を合わせ、しかる後に3枚
の石英ガラス板を融着させ溝以外の部分全面を実質的に
一体化させることにより、上記配線用溝を、その長さ方
向に垂直な断面形状が全体的に「凸」の字状にすること
ができる。さらに、第1の石英ガラス板に凸形状の溝加
工をほどこし、第3の石英を融着しても同様の構造を得
ることが出来る。上記配線用構内部を減圧又は非酸化性
ガス雰囲気に保った状態で融着させたものであることが
好ましい。石英ガラス支持体は、不透明石英ガラス層を
備えていても良い。複数枚の石英ガラス板のうちの1枚
を、不透明石英ガラス板にすることができる。上記ヒー
タ部材と、少なくとも片面が鏡面のカーボン製反射板と
が、板状の石英ガラス支持体に封入されている構成にす
ることができる。3枚の石英ガラス板を用い、いずれか
の石英ガラス板の2つの接合面に設けた配線用溝と反射
板設定座ぐりに、それぞれヒータ部材と少なくとも片面
が鏡面のカーボン製反射板を配置し、各石英ガラス板の
接合部を融着により一体化することができる。全体的に
板状の石英ガラス支持体に、少なくとも片面が鏡面のカ
ーボン製反射板が封入されて反射板状体を上記密封形部
材に隣接配置することができる。板状の石英ガラス支持
体の少なくとも一つの外表面に、断面半円状もしくは台
形状の凸部が形成されており、この外表面がつや出し処
理された構成にすることができる。上記密閉形部材が配
線用溝を有する石英ガラス製の設定部材及び石英ガラス
製の蓋部材からなり、上記配線溝に上記ヒータ部材を配
置することができる。上記の設定部材及び蓋部材のいず
れかもしくは両者の外周部に防炎堤を形成し、この防炎
堤以外の対向面が0.2〜1.0mmの間隔において配
置され、両部材を石英ガラスの肉盛り法によって、一体
化することができる。上記ヒータ部材の両端にカーボン
ターミナルを配置し、カーボンターミナルに電極を接続
し、この電極のカーボンターミナル側の部分に石英ガラ
スパイプを被せた構成にすることができる。ヒータ部材
が封入された空間内に非酸化性ガスを導入し、電極に被
せた石英ガラスパイプからガスを排出することができ
る。上記カーボンターミナルの不純物濃度に灰分で10
ppm以下にすることが好ましい。配置用溝にアルミナ
粉を配置し、アルミナ粉の焼結体によってヒータ部材を
支持することができる。上記アルミナ粉の鉄不純物濃度
が5ppm以下であることが好ましい。上記ヒータ部材
もしくはヒータ部材の両端に接続された端子部が、ヒー
タ部材の形成するヒータ面に対して実質的に垂直に引き
出される構成にすることができる。ヒータ部材の両端を
ヒータ面の反対側に突出させ、突出したヒータ部材を石
英ガラス支持板に当接する石英ガラス筒内に配列された
複数のもしくは少なくとも一方の端部が複数に分割され
たワイヤー状カーボンにより固定し、上記石英ガラス筒
に石英ガラス管を被せて上記密閉形部材を密封すること
ができる。上記ワイヤー状カーボンの他端側を、中空部
が形成されその内側に中子部材を有する第2のワイヤー
状カーボン接続部材に、この中子部材での押圧によって
接続し、割型中子を利用する金属線接続部材により金属
製の内接線を接続し、両接続部材を任意の接続部材によ
って接続することができる。上記割型中子の外側にテー
パ面を形成し、端子部本体にテーパ面と係合するテーパ
部を形成し、割型中子に形成した支持部で金属製の内接
線を挟み込み、これを押圧しつつ任意の接続部材に接続
することができる。上記密閉形部材内でヒータ部材を線
対称に配置し、その対称軸上にガス導入・排出口を形成
し、容器の溶接時にガス導入・排出口から非酸化性ガス
を導入し、容器の封着時にガス導入・排出口から排気を
行う構成にすることができる。カーボンワイヤからなる
ヒータ部材とワイヤー状カーボンを石英ガラス筒内に配
列された複数のもしくは少なくとも端部が複数に分割さ
れたワイヤー状カーボンにより固定し、前記ワイヤー状
カーボンと電源側の金属製の内接線を第2の端子装置で
接続する構成にし、第2の端子装置が、複数のもしくは
端部が複数に分割されたワイヤー状カーボンを接続する
ための第2のワイヤー状カーボン接続部材を備え、割型
中子を利用して金属製の内接線を接続する構成の金属線
接続部材を備え、第2のワイヤー状カーボン接続部材と
金属線接続部材を接続するための端子部本体を備え、両
接続部材を端子部本体の一端側と他端側に接続する構成
にすることができる。上記ヒータ部材が複数のもしくは
少なくとも端部が複数に分割されたワイヤー状カーボン
が内部に配列された石英ガラス筒及び第2端子装置が石
英ガラス管内に封入された構成にすることができる。上
記石英ガラス管の内側に配置された金属製の内接線と電
源側の外接線をMo箔を介して接続し、Mo箔を石英ガ
ラス製のピンチシール部で封着した構成の第3の端子装
置を有するようにすることがより好ましい。ヒータ部材
の両端に端子部材を接続してヒータ面の反対側に突出さ
せ、端子部材に石英ガラス管を被せて石英ガラス部材を
密封した構成にすることができる。上記石英ガラス管の
自由端側に端子部本体を配置し、端子部材と端子部本体
を複数本のもしくは少なくとも一方の端部が複数に分割
されたワイヤー状カーボンで接続した構成にすることが
できる。上記密閉形部材内でヒータ部材を線対称に配置
し、その対称軸上にガス導入・排出口を形成し、容器の
溶接時にガス導入・排出口から非酸化性ガスを導入し、
容器の封着時にガス導入・排出口から排気を行う構成に
することができる。上記密閉形部材が、全体的に平板半
円形状で中心部に切欠きを有する割型になっており、こ
れを2個組み合わせて円形平板ヒータを形成して、その
中心部に治具を貫通できる構成にすることが好しい。上
記端子部材が上記ヒータ部材と上記複数本のワイヤー状
カーボンを接続するものであり、その一端側にヒータ部
材接続部を形成してヒータ部材を接続し、複数のもしく
は端部が複数に分割されたワイヤー状カーボンを一括し
て接続するための第1のワイヤー状カーボン接続部材を
設け、端子部材の他端側に第1のワイヤー状カーボン接
続部材を接続する構成にし、第1のワイヤー状カーボン
接続部材を中空に形成してその内側に中子部材を配置
し、上記複数のワイヤー状カーボンを中子部材で押圧し
て接続することが好しい。上記端子部材と第1のワイヤ
ー状カーボン接続部材の相方の接続端側に夫々めねじ部
を形成し、中間部材に各めねじ部に対応するおねじ部を
形成し、中間部材を介して両部材を接続することが好し
い。上記ワイヤー状カーボンの他端側を、中空部が形成
されその内側に中子部材を有する第2のワイヤー状カー
ボン接続部材に、この中子部材での押圧によって接続
し、割型中子を利用する金属線接続部材により金属製の
内接線を接続し、両接続部材をこの中間に位置する端子
部本体によって接続することが好しい。上記割型中子の
外側にテーパ面を形成し、端子部本体にテーパ面と係合
するテーパ部を形成し、割型中子に形成した支持部で金
属製の内接線を挟み込み、、これを押圧しつつ端子部本
体に接続することが好しい。上記金属製の内接線をMo
製金属棒にすることが好しい。上記ワイヤー状カーボン
接続部材と端子部本体、及び、端子部本体と金属線接続
部材を、それぞれネジ式で接続することが好しい。カー
ボンワイヤからなるヒータ部材とワイヤー状カーボンを
第1の端子装置で接続し、前記ワイヤー状カーボンと電
源側の金属製の内接線を第2の端子装置で接続する構成
にし、第1の端子装置が、端子部材を備え、その一端側
に形成したヒータ部材接続部にヒータ部材を接続するよ
うになっており、複数のもしくは端部が複数に分割され
たワイヤー状カーボンを接続するための第1のワイヤー
状カーボン接続部材を備え、端子部材の他端側に第1の
ワイヤー状カーボン接続部材を接続するようになってお
り、第1のワイヤー状カーボン接続部材を中空に形成し
てその内側に中子部材を配置し、分割したワイヤー状カ
ーボンを中子部材で押圧して接続する構成になってお
り、第2の端子装置が、複数のもしくは端部が複数に分
割されたワイヤー状カーボンを接続するための第2のワ
イヤー状カーボン接続部材を備え、割型中子を利用して
金属製の内接線を接続する構成の金属線接続部材を備
え、第2のワイヤー状カーボン接続部材と金属線接続部
材を接続するための端子部本体を備え、両接続部材を端
子部本体の一端側と他端側に接続する構成にすることが
できる。上記ヒータ部材と上記第1及び第2の端子装置
が石英ガラス管内に封入され、石英ガラス管の内側に配
置された金属製の内接線と電源側の外接線をMo箔を介
して接続し、Mo箔を石英ガラス製のピンチシール部で
封着した構成の第3の端子装置を備えることがより好ま
しい。上記ヒータ部材を封入した密閉形部材は、石英ガ
ラス製もしくはアルミナ製平板状容器で形成できる。上
記ヒータ部材を複数の端子部材及びワイヤー支え治具に
よって上記平板状容器内に非接触で支持されて封入した
構成にできる。上記端子部材の長さ方向に、ボルト差し
込み用の略円筒状の穴部が形成されており、かつ少なく
ともこの穴部を貫通する略円筒状横穴が形成されてお
り、該横穴に上記ヒータ部材を差し込み、該穴部に少な
くとも該横穴の下端に達する長さを有するボルトを回転
挿入する構造にすることができる。上記ボルト差し込み
用の略円筒状穴部の径を上記略円筒状横穴の径より大き
くし、該横穴に差し込まれた上記ヒータ部材が、上記ボ
ルトによって加圧され、該穴部に達するように偏平に変
形された構成にすることが好しい。上記ボルトと上記ヒ
ータ部材の間に、膨張黒鉛シートを介在させることが好
しい。上記ワイヤー支え治具が透光性アルミナ単体もし
くは、高純度カーボン及び透光性アルミナの組立部材か
ら成ることが好ましい。上記糾立部材の、ヒータ部材に
接する部分が、高純度カーボン材料から成り、上記組立
部材の、平板状容器に配置接続する部分が、透光性アル
ミナ材料から成ることが好ましい。上記ヒータ部材の下
側にカーボン製反射板を配置することができる。上記平
板状容器の発熱面に、断面半円状もしくは台形状の凸部
が形成されており、この表面につや出し処理を施すこと
ができる。上記ヒータ部材を封入した密閉形部材は、湾
曲形であっても良い。上記板状の石英ガラス支持体に上
記ヒータ部材を封入し、ヒータ部材の周辺部以外の石英
ガラス支持体を実質的に一体化した板状のカーボンヒー
タを、所定形状の断面を有するカーボン製下型と、それ
と対になるカーボン製上型との間で押圧して、板状のカ
ーボンヒータを所定形状に湾曲させることができる。上
記カーボン製上型・下型が半円形の断面形状を有してお
り、実質的に半円筒形のカーボンヒータにすることがで
きる。上記板状の石英ガラス支持体に少なくとも一面が
鏡面のカーボン製反射板を、上記ヒータ部材とは独立し
て封入することができる。第1グループの発明の要約を
以下に述べる。第1グループの発明は、均熱性及びフレ
キシビリティーに優れ、低コストで製造できる特に半導
体製造装置用として有効なカーボンヒータを提供するこ
とを目的としている。第1グループの発明のカーボンヒ
ータは、直径が5〜15μmであるカーボンファイバー
を複数本束ねたカーボンファイバー束を複数本用いてワ
イヤー形状やテープ形状のような縦長形状に編み込み、
その含有不純物量を灰分で10ppm以下としたヒータ
部材を具備している。これによって、ヒータ部材として
の高温時の引張強度が確保され、またカーボンファイバ
ーの密着性がその長さ方向において均一になり、よって
長さ方向での発熱ムラが低減される。ここで、複数本束
ねるカーボンファイバーの各々の直径を5〜15μmと
したのは、5μm未満では1本1本のファイバーが弱
く、これを束ねて所定の縦長形状に編み込んだヒータ部
材とすることが困難となる。また、ファイバーが細いた
め、所定の抵抗値を得るためのファイバー本数が多くな
り実用的でない。また、15μmを超える場合には、柔
軟性が悪く複数本束ねたカーボンファイバー束を編み込
むことが困難なばかりか、カーボンファイバーが切断さ
れ、強度が著しく低下する、といった不具合が生ずるた
めである。また、カーボンヒータの不純物を灰分で10
ppm以下に制限したのは、不純物が10ppmを超え
ると、微量の酸素でも酸化され易くなり、このために異
常発熱を起し易くなるからである。実際、不純物が灰分
で10ppmを超える場合には、800℃の大気中で1
0分間で異常発熱を起こし、窒素中に100ppmの酸
素が存在する雰囲気においては800℃で10時間使用
すると異常発熱を起こすことが確認されている。一方、
不純物が灰分で10ppm以下の場合には、窒素中に1
00ppmの酸素が存在する雰囲気において800℃で
50時間以上使用しても異常発熱を起こさないこと、及
び、800℃の大気中においては10分未満であれば異
常発熱を起こさないことが確認されている。不純物は灰
分で3ppm以下であることがより好ましい。この場合
には、特に異常発熱を抑える効果が大きくなり、より長
寿命化を達成できる。上記ヒータ部材は、上記5〜15
μmのカーボンファイバーを100〜800本を束ね
て、この束を3本以上、好ましくは6〜12本束ねてワ
イヤー形状やテープ形状のような縦長形状に編み込んだ
ものであることが好ましい。カーボンファイバを束ねる
本数が100本未満では所定の強度と抵抗値を得るため
に6〜12束では足りなくなり、編み込みが困難であ
る。また、本数が少ないために部分的な破断に対して編
み込みがほぐれ、形状を維持することが困難となる。ま
た、前記本数が800本を超えると、所定の抵抗値を得
るために束ねる本数が少なくなり、編み込みによるワイ
ヤー形状の維持が困難となる。さらに、上記ヒータ部材
は、1000℃での抵抗値を1〜20Ω/m・本とする
ことが好ましい。その理由は、一般的な半導体製造装置
用加熱装置において、従来からのトランス容量にマッチ
ングさせる必要があるからである。すなわち、抵抗値が
20Ω/m・本を超える場合には、抵抗が大きいためヒ
ータ長を長くとることができず、端子間で熱が奪われて
温度むらが生じ易くなる。一方、抵抗値が1Ω/m・本
未満の場合には、反対に抵抗が低いためヒータ長を必要
以上に長くとらなければならず、カーボンワイヤーやカ
ーボンテープのような細長のヒータ部材の組織むらや雰
囲気のむらにより温度のばらつきが生じる恐れが大きく
なる。尚、上記ヒータ部材の1000℃での電気抵抗値
は、上記特性をより高い信頼性で得るためには、2〜1
0Ω/m・本とすることがより好ましい。また、カーボ
ンファイバー束を編み込むことによって、断面形状がほ
ぼ円形であるヒータ部材の径を、その長さ方向において
一定化することができ、結果、発熱量を長さ方向で安定
化させることができる。さらに、この編み込みによっ
て、後述するヒータ部材表面でのカーボンファイバーに
よる毛羽立ち状態を形成することを可能せしめる。ま
た、このヒータ部材は、発熱されることによって、これ
自身熱膨張を起こし、例えば2本の端子間に張られた同
ヒータ部材は、もし編み込みのないものであったとした
ら、たれが生じ、発熱むらを起こすが、編み込まれてい
ることによってこのような問題が生ずることがない。第
1グループの発明において、カーボンヒータ材料となる
カーボンファイバーは従来のC/Cとは異なり、樹脂で
固化し一体化したものでないことが好ましい。これはカ
ーボンファイバーのもつフレキシビリティーが損なわ
れ、また樹脂の熱収縮に伴い、該ファイバーの切断など
が生ずるからである。上記ヒータ部材の1本もしくは複
数本を配置し、石英ガラス製または透光性アルミナ製の
密封形部材に封入し、例えば半導体製造装置用のカーボ
ンヒータを構成することが好ましい。これによって、上
記ヒータ部材を酸化性雰囲気、高温雰囲気等の種々の条
件での使用可能せしめることができる。特に、石英ガラ
ス製とすることによって、より高い高純度化を達成する
ことができ、半導体製造装置用として有効なものとする
ことができる。尚、複数本の上記ヒータ部材を上記密封
形部材内に封入するときには、これらを並列に配置する
ことが好ましい。この場合、ヒータ部材を複数本並列に
配置するというのは、ヒータ部材の全長に渡って2本以
上が、隣接し略平行して、配置することを意味する。こ
れによって、カーボンヒータ全体での電気抵抗値を容易
に調整することができるとともに、複数本のヒータ部材
が隣接している、つまり長さ方向の多数の箇所で接触し
た状態が形成されることによって、例え、1本のヒータ
部材が所定の部分で部分的な切断等の不具合が生じた場
合でも、その近傍で、上記接触する部分が存在すること
で上記不具合に伴う発熱ムラを防止することができる。
また、ここでいう密封形部材とは、上記ヒータ部材を石
英ガラス製または、透光性アルミナ製材料で物理的に密
封する部材を意味することは、もちろんであるが、後述
するように、同部材内に、窒素等の非酸化性ガスを流入
・流出する構造を付加し、結果、上記ヒータ部材が、外
気(空気)と接することを防止した実質的に密封された
部材をも意味するものである。前記密封形部材の形状
は、二重管形状、直管形状、環状管形状等から選ぶこと
ができる。このような形状の複数個の石英ガラスまたは
透光性アルミナ容器を組み合わせて所定形状のヒーティ
ングゾーンを形成することにより、その形状に合致した
被処理物を均一に加熱することができる。また、上記密
封形部材内に窒素等の非酸化性ガスを流入したり、容器
内を20torr以下の真空にすることが好ましい。こ
れによって、上記カーボンヒータの劣化を防止し、長寿
命化及び均熱性の長時間の持続を可能せしめるからであ
る。次に第2グループの発明の要約を述べる。第2グル
ープの発明の目的の1つは発熱ムラが少なく、例えば半
導体(ウエハ)等の被加熱体を均一に熱処理することが
でき、長時間の高温強度を維持でき、高い耐用寿命が得
られるカーボンヒータを提供することである。第2グル
ープの発明の他の目的は、カーボンファイバーを複数本
束ねたカーボンファイバー束を複数本用いてワイヤー形
状やテープ形状のような縦長形状に編み込んだヒータ部
材を支持する密封形部材を構成する石英ガラス支持体へ
の応力集中が生じない形態で、封入を確実に実施でき、
しかも、上記ヒータ部材を支持する石英ガラス支持体の
厚さを自由に設定できるカーボンヒータを提供すること
である。第2グループの発明のカーボンヒータは、上記
ヒータ部材を1本もしくは複数本封入した密封形部材
が、板状の石英ガラス支持体によって実質的に一体化さ
れたものであって、この石英ガラス支持体中の上記ヒー
タ部材の周辺部には中空の空間が形成された構成になっ
ている。ここで、実質的に一体化された構成とは、後述
するように複数の石英ガラス板の接合面を融着させて、
本発明のカーボンヒータを接合剤を用いないで製造した
場合に、カーボンヒータ内部のヒータ部材を配置した溝
や端子部などの空間が、外部(大気や炉内雰囲気)と融
着部で縁切られるようにカーボンヒータ周辺部が融着さ
れており、さらに内部の石英ガラス接触面が全面にわた
り融着されている状態を示す。ただし、融着の効果に支
障のない程度で未融着部が接触面積に対し30%以下程
度ならば存在してもよい。また、この30%以下程度と
したのは、ヒーター部材からの放射光が不均一になるこ
とを防止するためである。これによって、上記ヒータ部
材を支持する石英ガラス支持体への応力集中が生じない
形態で密着を確実に実施でき、また厚さが5mm以下の
低強度の石英ガラス板を用いた場合でも、減圧環境下で
石英ガラスに破損が生ずることがない。尚、上記のヒー
タ部材周辺部の中空の空間は、後述するようなヒータ部
材表面に形成されるカーボンファイバーの毛羽立ち部分
が存在する空間となる。第2グループの発明のカーボン
ヒータは、上記石英ガラス支持体が複数枚の石英ガラス
板の各々の接合面全体を融着により実質的に一体化した
ものであり、少なくとも一枚の石英ガラス板の接合面に
配線用溝を形成し、そこに上記ヒータ部材を配置するこ
とが好ましい。このように、少なくとも一枚の石英ガラ
ス板の接合面に配線用溝を形成し、この配線用溝内に直
径が5〜15μmであるカーボンファイバーを複数本束
ねたカーボンファイバー束を複数本用いてワイヤー形状
やテープ形状のような縦長形状に編み込み、その含有不
純物量を灰分で10ppm以下としたヒータ部材を配置
する構成とすることによって、このカーボン質のヒータ
部材が、ワイヤー形状やテープ形状のヒータ部材の表面
で毛羽立った多数の直径が5〜15μmのカーボンファ
イバーによって、石英ガラス製の密封形部材と接触する
構造となるため、ヒータ部材に通電を行い高温に発熱さ
せた状態にしたとしても、カーボンと石英ガラスの反応
が進行し、結果、カーボン質のヒータ部材が劣化するの
を防止することができる。(ヒータ部材の表面に毛羽立
ったカーボンファイバーは、石英ガラス製の密封形部材
と接触するため、接触した部分から珪化が進むが、この
径が極めて微細であり、体積が小さいことから、この珪
化反応がヒータ部材全体に進行するのを抑制するものと
推測される。)つまり、このことは発熱ムラが生ずるこ
とを防止し、また耐用寿命の長期化を図れることを意味
する。尚、このヒータ部材は、発熱量を調整あるいは品
質を安定化するために、1本もしくは2本さらにそれ以
上の本数を並列に配置することも可能である。その場
合、配線用溝の底部に、この本数に対応する二段溝をさ
らに設けることが好ましい。第2グループの発明のカー
ボンヒータは、上記ヒータ部材を1本もしくは複数本封
入した密封形部材が、板状の石英ガラス支持体によって
実質的に一体化されたものであって、この石英ガラス支
持体の上記ヒータ部材の周辺部には中空の空間が形成さ
れた構成になっているカーボンヒータであって、上記石
英ガラス支持体が2枚の石英ガラス板を融着したもので
あり、少なくとも1枚の石英ガラス板の接合面に所定深
さの配線用溝が形成されており、上記深さ分を含まない
各々の石英ガラス板の厚さが略同一となる構成になって
いる。これによって、均等に放熱することができる。ま
た、第2グループの発明の他のカーボンヒータは、上記
ヒータ部材を1本もしくは複数本封入した密封形部材
が、板状の石英ガラス支持体によって実質的に一体化さ
れたものであって、この石英ガラス支持体の上記ヒータ
部材の周辺部には中空の空間が形成された構成になって
いるカーボンヒータであって、上記石英ガラス支持体が
厚さの異なる2枚の石英ガラス板を融着したものであ
り、少なくとも1枚の石英ガラス板の接合面に所定深さ
の配線用溝が形成されており、上記深さ分を含まない一
方の石英ガラス板の厚さが他方の厚さの1/2以下とな
る構成になっている。これによって、一方に対しての放
熱を大きくすることができる。上記2つの形態のカーボ
ンヒータは、これを配置する場所によって、適宜、いず
れかを選択することができる。さらに第2グループの発
明のカーボンヒータは上記配線用溝が、その長さ方向に
垂直な断面形状の少なくとも下方側に湾曲形状を有し、
かつ、つや出し処理されていることがより好ましい。も
ちろん、横断面全体を湾曲形状にしても良い。これによ
り、複数枚の石英ガラス板を融着一体化する際に、配線
用溝の横断面形状が熱変形しカーボンワイヤと面接触す
るのを極力防止することができ、石英ガラスとカーボン
の反応に伴うカーボンワイヤの劣化を防止することがで
きる。これは、上記湾曲形状によって、溝内部に湾曲形
状の曲率を持つことにより応力を分散することができ、
溝内部の変形がおさえられることに起因する。また、同
熱変形に伴う石英ガラス支持体の内部歪みの蓄積を抑制
することができ、この割れ等の不具合を防止することが
できる。さらには、この面接触に伴うヒータ部材からの
発熱量の吸収による、当該カーボンヒータとしての発熱
ムラを防止することができる。尚、上記配線用溝を例え
ば酸水素バーナーによって所定時間あぶることによって
つや出し処理するのは、石英ガラス板に配線用溝を機械
加工によって形成したままの多少の凹凸が多数存在する
通常の状態では、当該カーボンヒータを発熱させ配線用
溝が加熱された場合に、上記凹凸のうち、特に凸部にお
いて、極部加熱状態となり、まばらにつや出し処理がな
されたような構造となってしまい、当該カーボンヒータ
による加熱ムラが生じてしまうことから、これを防止す
ることを意図している。第2グループの発明のカーボン
ヒータは上記配線用溝内部を減比又は非酸化性ガス雰囲
気に保った状態で融着させたものであることが好まし
い。これは、カーボンワイヤの製造時における酸化劣化
を防止するためである。第2グループの発明の他のカー
ボンヒータは、上記ヒータ部材を1本もしくは複数本封
入した密封形部材が、板状の石英ガラス支持体によって
実質的に一体化されたものであって、この石英ガラス支
持体の上記ヒータ部材の周辺部には中空の空間が形成さ
れた構成になっているカーボンヒータであって、上記配
線用溝が、その長さ方向に垂直な断面形状が全体的に
「凸」の字状となる構成になっている。これにより、カ
ーボンワイヤを配線用溝に配置する際に、同ワイヤが上
方に浮き上がるのを防止することができ、作業性を良好
とすることができる。また、溝周囲に、特に溝の上辺
(上板)のたわみによる熱歪を緩和することが可能とな
る。尚ここで「凸」の字状とは、横長の長方形の上辺の
ほぼ中央部上方に、この上辺よりも一辺の長さが短い正
方形もしくは、この上辺よりも上辺が短い縦長の長方形
を結合したような形状を意味する。また、第2グループ
の発明のカーボンヒータは、第1の石英ガラス板に配線
用溝を形成し、第2の石英ガラス板に配線用溝と対にな
る幅狭の挿入用溝もしくは貫通スリットを形成し、第1
・第2石英ガラス板を溝同士が対向するように接合し、
第2石英ガラス板の表面を研磨又は研削して挿入用溝も
しくは貫通スリットの底部を削除することによりこれを
挿入用窓として露出させ、そこから第1の石英ガラス板
の配線用溝内にヒータ部材を押し込み、研磨面又は研削
面に第3の石英ガラス板を合わせ、しかる後に3枚の石
英ガラス板を融着させ溝以外の部分全面を実質的に一体
化させることにより、上記配線用溝をその長さ方向に垂
直な断面形状が全体的に「凸」の字状にしていることが
好ましい。これによって、上記作業性の良好化及び上記
熱歪の緩和をより確実にせしめることができる。第2グ
ループの発明の他のカーボンヒータは、上記ヒータ部材
を1本もしくは複数本封入した密封形部材が、板状の石
英ガラス支持体によって実質的に一体化されたものであ
って、この石英ガラス支持体の上記ヒータ部材の周辺部
には中空の空間が形成された構成になっているカーボン
ヒータであって、石英ガラス支持体が、不透明石英ガラ
ス層を有する構成になっている。また、上記の複数枚の
石英ガラス板のうちの1枚が不透明石英ガラス板であっ
てもよい。これによって、カーボンヒータで加熱したく
ない側への放熱を上記不透明石英ガラス層によって防止
することができる。第2グループの発明の他のカーボン
ヒータは、上記ヒータ部材と、少なくとも片面が鏡面の
カーボン製反射板とが、板状の石英ガラス支持体に封入
されている構成になっている。尚、上記カーボン製反射
板は、上記ヒータ部材と対向する側の面が鏡面となって
いることが好ましい。この時、3枚の石英ガラス板を用
いる場合には、石英ガラス板の2つの接合面に設けた配
線用溝と反射板設定座ぐりに、それぞれヒータ部材と少
なくとも片面が鏡面のカーボン製反射板を配置し、石英
ガラス板の接合部を融着により実質的に一体化すること
が好ましい。尚、この場合のより好ましい形態は、次の
とおりである。つまり、第1の石英ガラス板の上面に反
射板設定座ぐりを形成し、この座ぐり部に少なくとも上
面が鏡面のカーボン製反射板を配置し、また第2の石英
ガラス板の上面に上記配線用溝を形成し、この溝部に上
記ヒータ部材を配置し、上記第1の石英ガラス板の上に
上記第2の石英ガラス板を重ね、さらに、その上に第3
の石英ガラス板を重ね合せた後、各々接する部分を融着
により、実質的に一体化させたものである。これによっ
て、反射板方向への放熱をおさえ、一方向の面に放熱を
多くすることができる。さらに反射板の存在により放熱
される熱が均一に畜熱分散され、ヒータ面内の温度分布
を均一にすることができる。特にカーボンを選択した理
由は、カーボン材が純化処理が容易であり、その高純度
化されたカーボン材を用いることによって不純物の拡散
によるヒータ部材及び被処理物への金属汚染を防止する
ことができるからである。また、第2グループの発明の
カーボンヒータは、上記ヒータ部材を1本もしくは複数
本封入した密封形部材が、板状の石英ガラス支持体によ
って実質的に一体化されたものであって、この石英ガラ
ス支持体の上記ヒータ部材の周辺部には中空の空間が形
成された構成になっているカーボンヒータであって、全
体的に板状の石英ガラス支持体に少なくとも片面が鏡面
のカーボン製反射板が封入されている反射板状体を、上
記密封形部材に隣接配置する構成になっている。これに
よって、上記反射板状体が輻射をさえぎり、および反射
させることにより加熱させたくない部分を保護すること
が極めて容易に達成できる。第2グループの発明のカー
ボンヒータにおいては、上述のいずれの形態において
も、板状の石英ガラス支持体の少なくとも一つの外表面
に、断面半円状もしくは台形状の凸部が形成されてお
り、この外表面がつや出し処理されていることが好まし
い。尚、上記凸部は、ヒータ面上方から見た場合には、
これがストライプ状もしくは同心部状に形成されている
か、あるいは、これが格子状に多数形成された状態とな
っている。これにより本発明のヒータ部材の如き線状発
熱体によるヒータ面上方への発熱を、光の散乱によって
均一化せしめるといったプリズム効果が得られる。通
常、同様の効果を得るために、ヒータ面をサンドプラス
ト処理する方法が採用されるが、この場合には、表面が
砂目状となっており、この表面からの放熱が抑制され、
石英ガラス自身に熱を蓄積してしまいエネルギー効率が
低下してしまう。この意味で、上記つや出し処理されて
いることは、重要な事項である。さらに、第2グループ
の発明のカーボンヒータにおいては、ヒータ部材もしく
はヒータ部材の両端に接続された端子部が、ヒータ部材
の形成するヒータ面に対して実質的に垂直に引き出すこ
とが好ましい。端子部をヒータ面と垂直に引き出すこと
により、石英ガラス支持体の融着面を大きくすることが
でき、強度の点で有利となる。また、この構成によれ
ば、下方側もしくは上方側から被処理物である一枚もし
くは複数枚の半導体ウエハを加熱する半導体製造装置
に、上記カーボンヒータを容易に配置することができ
る。尚、この第2グループの発明のカーボンヒータの端
子部における具体的構成については、後述する第4グル
ープの発明を適用することができる。第2グループの発
明の他のカーボンヒータは、上記ヒータ部材を1本もし
くは複数本封入した密封形部材が、板状の石英ガラス支
持体によって実質的に一体化されたものであって、この
石英ガラス支持体の上記ヒータ部材の周辺部には中空の
空間が形成された構成になっているカーボンヒータであ
って、上記密閉形部材が、湾曲形である構成となってい
る。これにより、発熱ムラが少なく、長時間の高温強度
を維持でき、高い耐用寿命が得られ、かつ例えば、半導
体(ウエハ)等の被加熱体を配列したロッドを均一に熱
処理することのできる例えば円筒の2分割形状である湾
曲形カーボンヒータを提供することができる。上記湾曲
形のカーボンヒータにおいては、上記板状の石英ガラス
支持体に上記ヒータ部材を封入し、ヒータ部材の周辺部
以外の石英ガラス支持体を実質的に一体化した板状のカ
ーボンヒータを、所定形状の断面を有するカーボン製下
型と、それと対になるカーボン製上型との間で押圧し
て、板状のカーボンヒータを所定形状に湾曲させたもの
であることが好ましい。これにより、上記ヒータ部材が
石英ガラス支持体に密封されており、上記ヒータ部材の
周辺部以外の石英ガラス支持体が実質的に一体化した湾
曲形カーボンヒータが得られ、これによって、上記ヒー
タ部材を支持する石英ガラス支持体への応力集中が生じ
ない形態で封着を確実に実施でき、また厚さが5mm以
下の低強度の石英ガラス板を用いた場合でも、減圧環境
下で石英ガラスに破損が生ずることがない。上記カーボ
ン製上型・下型が半円形の断面形状を有しており、実質
的に半円筒形のカーボンヒータとすることが好ましく、
さらに、上記板状の石英ガラス支持体に少なくとも一面
が鏡面のカーボン製反射板を、上記ヒータ部材とは独立
して封入することがより好ましい。これは非加熱部を保
護するためであり、輻射を食い止めることができるため
である。なお、第2グループの発明のカーボンヒータ
は、半導体の酸化、拡散、CVDなどの熱処理装置のみ
ならず、洗浄装置などにおいても何かしらの加熱を伴う
半導体製造装置であれば、あらゆるものに適応可能であ
る。次に、第3グループの発明の要約を述べる。前述の
第1グループの発明は、カーボンファイバーを編み込ん
だ柔軟なカーボンワイヤを用いてカーボンヒータを構成
するものである。このように、カーボンワイヤを用いる
ことにより、ヒータの形状に自由度が生れ、設計面や取
り扱い上の利点を享受できる。第3グループの発明は、
このような利点を持つカーボンワイヤ状のヒータ部材を
用い、耐用寿命を大幅に向上でき、また急速昇降温が可
能な例えば半導体製造装置用のカーボンヒータを提供す
ることを目的としている。第3グループの発明のカーボ
ンヒータは、直径が5〜15μmであるカーボンファイ
バーを複数本束ねたカーボンファイバー束を複数本用い
てワイヤー形状やテープ形状のような縦長形状に編み込
み、その含有不純物量を灰分で10ppm以下としたヒ
ータ部材を1本もしくは複数本封入した密封形部材が、
板状の石英ガラス支持体によって実質的に一体化された
ものであって、この石英ガラス支持体中の上記ヒータ部
材の周辺部には中空の空間が形成された構成になってい
るカーボンヒータであって、上記密閉形部材が配線用溝
を有する石英ガラス製の設定部材及び石英ガラス製の蓋
部材からなり、上記配線溝に上記ヒータ部材を配置した
構成からなっている。この構造によって発熱ムラが少な
く、つまり被加熱体である半導体(ウエハ)を均一に熱
処理することができ、かつ長時間の高温強度を維持で
き、高い耐用寿命が得られる。上記する複数本のカーボ
ンファイバーを束ねたカーボンファイバー束を複数本用
いてワイヤー状に編み込んだヒータ部材の表面を直径5
〜15μmのカーボンファイバーでも毛羽立った構造と
することによって、石英ガラスとカーボンの実質的接触
面積が極端に少なくなり(実質的には、ヒータ部材のう
ち石英がラスと接しているのは、この毛羽立ったカーボ
ンファイバー部分のみである)、その結果1350℃程
度でも相当長時間使用できることが確認されている。第
3グループの発明のカーボンヒータは、上記設定部材及
び蓋部材のいずれかもしくは両者の外周部に防炎堤を形
成し、この防炎堤以外の対向面が0.2〜1.0mmの
間隔において配置され、両部材を石英ガラスの肉盛り法
によって、一体化していることが好ましい。なお、石英
ガラス製の設定部材及び蓋部材の被せにあたっては、両
部材を所定の間隔で略平行に離した状態で石英ガラスの
肉盛り溶着法によって隙間のないよう固定することが好
ましい。これは、両部材を、例えば略全域で当接させた
状態で外周部を溶着もしくは肉盛り溶着すると、この際
の外周部加熱に伴い、両部材もしくはいずれかの部材に
亀裂やクラックが生ずるのに対し、上記固定によれば、
このような問題が生ずることなく強固な被せが可能とな
る。これは、加工時の部分加熱による熱応力の集中及
び、設定部材及び蓋部材の温度差による反り発生を緩和
したことによると考えられる。また、上記好ましい形態
によって防炎堤が存在することで、加熱源である酸水素
バーナーの炎が両部材間に入り込み、内部のカーボンヒ
ータを酸化するのを極力防止することができる。また、
設定部材及び蓋部材の間隔を全域にわたって均一にする
ことができ、さらには設定部材及び蓋部材の外周部にS
iO微粉による白色の曇りが発生するのを防止し、本
カーボンヒータの均熱性を向上せしめることができる。
尚、この防炎堤の相手部材と接する面は、全面において
加熱・溶着されていることが重要である。溶着されない
で単に面接触している部分が存在すると上記のような亀
裂やクラックが溶着時に生じてしまうからである。この
上記防炎堤は、所定形状の両部材を形成する際に一体的
に設けてもよく、各々の外周部に後から溶接して設けて
もよい。そして両部材の溶着されない部分の対向面は
0.2〜1.0mmの間隔において配置されることが好
ましい。これは0.2mm未満では両部材の亀裂やクラ
ックを十分に防止することができず、また1mmを超え
る場合には、溶着用火炎が入り込み易くカーボンヒータ
が酸化される恐れが大きくなるからである。また、第3
グループの発明のカーボンヒータにおいては、上記ヒー
タ部材の両端にカーボンターミナルを配置し、カーボン
ターミナルに電極を接続し、この電極のカーボンターミ
ナル側の部分に石英ガラスパイプを被せた構成とするこ
とが好ましい。カーボンターミナルを設けることによ
り、カーボンターミナルをヒータ部材より低温にして、
若干の酸素が入り込んでも、酸化防止することができ
る。特に、カーボンターミナルの周辺から非酸化性ガス
を導入すれば、酸化防止をより徹底することができる。
また、上記ヒータ部材と金属電極が直接接触している
と、金属汚染によりヒータ部材のライフが著しく低下す
る。カーボンターミナルを介在させることは、ヒータ部
材と金属電極との接触をなくす意味からも役立つ。金属
電極の少なくともカーボンターミナル側の部分には、石
英ガラスパイプを被せることが好ましい。そして、金属
電極や端子の露出部は、炉外に配置する。このように、
金属電極の炉内部分を石英ガラスで覆うことによって、
金属電極からのFeやAl等の不純物汚染を低減するこ
とができる。このカーボンターミナルの不純物濃度は、
灰分で10ppm以下であることが好ましい。これによ
りヒータ部材の劣化をおさえ、長寿命にすることができ
るからである。ヒータ内に窒素やアルゴンガス等の非酸
化性ガスを導入する構成にすることが好ましい。このよ
うに非酸化性ガスを導入することによって、ヒータ部材
の酸化を防止することができる。カーボンターミナルに
接続する金属電極も高温になると不純物を発生する可能
性があるが、金属電極を収容する石英ガラスパイプから
非酸化性ガスを排出すれば、不純物の発生を防止でき
る。更に、また、上記金属電極としてはモリブデン(M
o)を用いることが好ましい。これはMoの熱膨張係数
がカーボン材のそれと近似し、カーボンターミルとの良
好な接合が高温時でも維持されるからである。ヒータ部
材としては、第1グループの発明で述べたヒータ部材を
用いることが好ましい。設定部材と蓋部材を平板状にす
ることが可能である。更に、第3グループの発明のカー
ボンヒータにおいては、カーボン製のヒータ部材と石英
ガラス製の設定部材との反応をより確実に抑制するため
には、配置用溝にアルミナ粉を配置し、アルミナ粉の焼
結体によってヒータ部材を支持することが好ましい。こ
れによってカーボンヒータの使用最高温度をより確実に
1350℃程度まで引き上げることができる。上記アル
ミナ粉の焼結体は、設定凹所にアルミナ粉及び上記ヒー
タ部材を設置した後に1300℃程度の熱処理を行うこ
とによって形成される。また、アルミナ粉の鉄不純物濃
度は、鉄汚染によってカーボン質のヒータ部材のライフ
が低下しないように、5ppm以下に抑えることが好ま
しい。なお、第3グループの発明のカーボンヒータは、
半導体の酸化、拡散、CVDなどの熱処理装置のみなら
ず、洗浄装置などにおいても何かしらの加熱を伴う半導
体製造装置であれば、あらゆるものに適応可能である。
次に、第4グループの発明の要約を述べる。第4グルー
プの発明は、前述のような利点を持つカーボンワイヤ状
のヒータ部材を用い、耐用寿命を大幅に向上でき、また
急速昇降温が可能な例えば半導体製造装置用のカーボン
ヒータを提供することを目的としている。また、第4グ
ループの発明は、上記ヒータ部材とワイヤー状カーボン
からなる端子線とを、さらには、ワイヤー状カーボンか
らなる端子線と金属製の端子線とを確実にかつ容易に接
続できるシンプルな構造のカーボンヒータを提供するこ
とを目的としている。第4グループの発明のカーボンヒ
ータは、上記ヒータ部材を1本もしくは複数本封入した
密封形部材が、板状の石英ガラス支持体によって実質的
に一体化されたものであって、この石英ガラス支持体の
上記ヒータ部材の周辺部には中空の空間が形成された構
成になっているカーボンヒータであって、上記ヒータ部
材もしくはヒータ部材の端子部が、ヒータ部材の形成す
るヒータ面に対して実質的に垂直に引き出される構成と
なっている。このような構成によれば、下方側もしくは
上方側から被処理物である半導体ウエハを加熱する半導
体製造装置に、上記カーボンヒータを容易に配置するこ
とができ、かつ面内均熱性に優れ、耐用寿命が長いヒー
タとすることができる。上記のヒータ部材の好ましい形
態は、第1グループの発明で述べたとおりである。上記
第4グループの発明のカーボンヒータの1つの形態は、
上記ヒータ部材自身がヒータ部材の形成するヒータ面に
対して実質的に垂直に引き出される構造のものである
(以下、これを第4−1グループの発明として詳述す
る)が、この場合には、ヒータ部材の両端をヒータ面の
反対側に突出させ、突出したヒータ部材を石英ガラス支
持板に当接する石英ガラス筒内に配列された複数のもし
くは少なくとも端部が複数に分割されたワイヤー状カー
ボンにより固定し、上記石英ガラス筒に石英ガラス管を
被せて上記密閉形部材を密封した構成とすることが好ま
しい。これにより、ヒータ部材を上記ワイヤー状カーボ
ンからなる端子線に強固にかつ確実に接線することがで
きる。また上記ヒータ部材が同種の複数本のワイヤー状
カーボンに接し、電気的接触抵抗を下げるため、スパー
ク等の不具合を防止することができる。さらには、この
ような構成によれば、後述するようなヒータ部材の端部
を上記石英ガラス製密閉形部材内において端子部材を配
置し、端子線に接続する方法に比べ、同密閉形部材内に
ヒータ部材以外の異物が存在しない分だけ、ヒータ面上
方への発熱ムラがより低減される傾向にある。第4−1
グループの発明のカーボンヒータにおいては、端子線と
なる上記ワイヤー状カーボンの他端側を、中空部が形成
されその内側に中子部材を有する第2のワイヤー状カー
ボン接続部材に、この中子部材での押圧によって接続
し、割型中子を利用する金属線接続部材により金属製の
内接線を接続し、両接続部材を任意の接続部材によって
接続することがより好ましい。特に、上記中子部材での
押圧を利用した複数のワイヤー状カーボン接続方法によ
って、電気的接触抵抗をおさえスパーク発生を防止する
ことができる。また上記割型中子を用いた内接線の接続
方法によって、広い面で金属製内接線とカーボン端子部
材を接触させることができ、スパーク発生を防止するこ
とができる。上記割型中子を利用する金属線接続部材で
の内接線を接続する方法としては、特に、上記割型中子
の外側にテーパ面を形成し、端子部本体にテーパ面と係
合するテーパ部を形成し、割型中子に形成した支持部で
金属製の内接線を挟み込み、これを押圧しつつ任意の接
続部材に接続する構成にすることが好ましい。これによ
り、接触抵抗を押え、スパーク発生を防止することがで
きる。さらにまた、上記密閉形部材内でヒータ部材を線
対称に配置し、その対称軸上にガス導入・排出口を形成
し、容器の溶接時にガス導入・排出口から非酸化性ガス
を導入し、容器の封着時にガス導入・排出口から排気を
行う構成にすることが好ましい。これにより、ヒータ内
部で均一に非酸化ガスを導入することが可能となり、カ
ーボンの酸化防止とヒータ面内温度分布を均一にするこ
とができる。上記の説明から理解されるとおり、第4−
1グループの発明のカーボンヒータにおいては、カーボ
ンワイヤからなるヒータ部材とワイヤー状カーボンを石
英ガラス筒内に配列された複数のもしくは少なくとも端
部が複数に分割されたワイヤー状カーボンにより固定
し、前記ワイヤー状カーボンと電源側の金属製の内接線
を第2の端子装置で接続する構成にし、第2の端子装置
が、複数のもしくは端部が複数に分割されたワイヤー状
カーボンを接続するための第2のワイヤー状カーボン接
続部材を備え、割型中子を利用して金属製の内接線を接
続する構成の金属線接続部材を備え、第2のワイヤー状
カーボン接続部材と金属線接続部材を接続するための端
子部本体を備え、両接続部材を任意の接続部材に接続す
る構成になっていることが、より好ましいことは明らか
である。そして、このような構成でありかつ、上記ヒー
タ部材が複数のもしくは少なくとも端部が複数に分割さ
れたワイヤー状カーボンが内部に配列された石英ガラス
筒及び第2端子装置が石英ガラス管内に封入する構成に
よって、石英ガラス密閉形部材に配置されるヒータ部材
から複数のワイヤー状カーボンからなる端子線、第2の
ワイヤー状カーボン接続部材・端子部本体・金属線接続
部材及び金属製の内接線を接続する一連の電気的接続系
をすべて、外気から遮断することができ、結果、上記一
連の電気的接続系を構成する部材すべての酸化を防止で
き、長寿命かつ安定した均熱性を確保したカーボンヒー
タとすることができる。尚、上記任意の接続部材として
は、例えば外周にネジ部を有する円筒中子を採用するこ
とができる。そして、第4−1グループの発明のカーボ
ンヒータを最適なものとするためには、さらに、上記石
英ガラス管の内側に配置された金属製の内接線と電源側
の外接線をMo箔を介して接続し、Mo箔を石英ガラス
製のピンチシール部で封着した構成とすることが重要と
なる。尚、上記ピンチシール部とは、一端が封じられた
(キャップ)石英ガラス管のキャップ部を、高温化でカ
ーボン製平板状治具ではさみ込んで、平板状に密着・溶
接したような構造を意味する。これに反して、内接線を
そのままキャップの外に出してピンチした場合には、M
oと石英の熱膨脹係数差によって石英ガラス製のピンチ
シールに亀裂等が発生し、密閉性が阻害されてしまう不
具合が生じる。このような不具合を解消するために、M
oの箔体を介在させ、石英ガラスでピンチして密封する
のである。上記第4グループの発明のカーボンヒータに
おいては、石英ガラス容器を、中心部に開口を有する平
板ドーナツ形状とすることができ、また、全体的に、平
板半円形状で中心部に切欠きを有する割型にして、これ
を組み合わせてドーナツ状平板ヒータを形成することも
できる。これは、ドーナツ形状の中心部開口に被処理物
をささえるための軸を、挿入する構造にするためであ
る。また、石英ガラス容器内で発熱体を線対称に配置
し、その対称軸上にガス導入・排出口を形成し、ガス導
入・排出口から非酸化性ガスを導入しながら容器を溶接
により組立て、さらに、ガス導入・排出口から排気しな
がら容器内を常温で減圧封着することが好ましい。石英
ガラス容器内を常温で0.2気圧以下の減圧あるいは非
酸化性ガス雰囲気にして封着することが好ましい。第4
グループの発明のカーボンヒータの1つの形態は、上記
ヒータ部材の両端に接続された端子部がヒータ部材の形
成するヒータ面に対して垂直に引き出される構成のもの
である(以下、これを第4−2グループの発明として、
詳述する)が、この場合には、ヒータ部材の両端に端子
部材を接続してヒータ面の反対側に突出させ、端子部材
に石英ガラス管を被せて石英ガラス部材を密封した構成
とすることが好ましい。第4−2グループの発明のカー
ボンヒータは上記形態にさらに上記石英ガラス管の自由
端側に端子部本体を配置し、端子部材と端子部本体を複
数本のもしくは少なくとも一方の端部が複数に分割され
たワイヤー状カーボンで接続した構成にすることがより
好ましい。これによって、端子線部での電気抵抗を下
げ、この部分の発熱をおさえることができる。また熱伝
導が小さいために伝熱により下部封止端子への熱伝達を
おさえることができる。さらに、上記密閉形部材内でヒ
ータ部材を線対称に配置し、その対称軸上にガス導入・
排出口を形成し、容器の溶接時にガス導入・排出口から
非酸化性ガスを導入し、容器の封着時にガス導入・排出
口から排気を行う構成にすることが好ましい。これによ
り、ヒータ内部で均一に非酸化性ガスを導入することが
可能となり、カーボンの酸化防止とヒータ面内温度分布
を均一にすることができる。また、第4−2グループの
発明のカーボンヒータにおいては、上記端子部材が上記
ヒータ部材と上記複数本のワイヤー状カーボンを接続す
るものであり、その一端側にヒータ部材接続部を形成し
てヒータ部材を接続し、複数のもしくは一方の端部が複
数に分割されたワイヤー状カーボンを一括して接続する
ための第1のワイヤー状カーボン接続部材を設け、端子
部材の他端側に第1のワイヤー状カーボン接続部材を接
続する構成にし、第1のワイヤー状カーボン接続部材を
中空に形成してその内側に中子部材を配置し、上記複数
のワイヤー状カーボンを中子部材で押圧して接続する構
成にすることが好ましく、さらに上記端子部材と第1の
ワイヤー状カーボン接続部材の相方の接続端側に夫々め
ねじ部を形成し、中間部材に各めねじ部に対応するおね
じ部を形成し、中間部材を介して両部材を接続する構成
にすることがより好ましい。そして、さらに好ましい形
態としては、上記ワイヤー状カーボンの他端側を、中空
部が形成されその内側に中子部材を有する第2のワイヤ
ー状カーボン接続部材に、この中子部材での押圧によっ
て接続し、割型中子を利用する金属線接続部材により金
属製の内接線を接続し、両接続部材をこの中間に位置す
る端子部本体によって接続し、端子部本体にテーパ面と
係合するテーパ部を形成し、割型中子に形成した支持部
で金属製の内接線を挟み込み、これを押圧しつつ端子部
本体に接続する上記金属製の内接線をMo製金属棒とす
る構成、上記ワイヤー状カーボン接続部材と端子部本体
及び、端子部本体と金属線接続部材を、それぞれネジ式
で接続する構成がある。また、第4−2グループの発明
のカーボンヒータは、カーボンワイヤからなるヒータ部
材とワイヤー状カーボンを第1の端子装置で接続し、前
記ワイヤー状カーボンと電源側の金属製の内接線を第2
の端子装置で接続する構成にし、第1の端子装置が、端
子部材を備え、その一端側に形成したヒータ部材接続部
にヒータ部材を接続するようになっており、複数のもし
くは端部が複数に分割されたワイヤー状カーボンを接続
するための第1のワイヤー状カーボン接続部材を備え、
端子部材の他端側に第1のワイヤー状カーボン接続部材
を接続するようになっており、第1のワイヤー状カーボ
ン接続部材を接続するようになっており、第1のワイヤ
ー状カーボン接続部材を中空に形成してその内側に中子
部材を配置し、分割したワイヤー状カーボンを中子部材
で押圧して接続する構成になっており、第2の端子装置
が、複数のもしくは端部が複数に分割されたワイヤー状
カーボンを接続するための第2のワイヤー状カーボン接
続部材を備え、割型中子を利用して金属製の内接線を接
続する構成の金属線接続部材を備え、第2のワイヤー状
カーボン接続部材と金属線接続部材を接続するための端
子部本体を備え、両接続部材を端子部本体の一端側と他
端側に接続する構成になっていることが好ましく、最適
例としては、さらに、上記ヒータ部材と上記第1及び第
2の端子装置が石英ガラス管内に封入され、石英ガラス
管の内側に配置された金属製の内接線と電源側の外接線
をMo箔を介して接続し、Mo箔を石英ガラス製のピン
チシール部で封着した構成の第3の端子装置を有する構
成としたものである。なお、上記ピンチシール部とは、
上述の第4−1グループの発明の説明で記載したものと
同等のものである。尚、上記第4−2グループの発明の
カーボンヒータは、上述の第4−1グループの発明のカ
ーボンヒータと、第1のワイヤー状カーボン接続部材が
存在する点において相違するものであり、その他の構成
においては、実質的に同一の作用効果が成り立つ。次に
第5グループの発明の要約を述べる。第5グループの発
明は、均熱性及びフレキシビリティーに優れ、低コスト
で製造できる例えば半導体製造装置用のカーボンヒータ
を提供することを目的としている。また、他の目的は、
発熱ムラをより低減し、また耐用寿命を向上せしめたカ
ーボンヒータを提供することにある。第5グループの発
明のカーボンヒータは、直径が5〜15μmであるカー
ボンファイバーを複数本束ねたカーボンファイバー束を
複数本用いてワイヤー形状やテープ形状のような縦長形
状に編み込み、その含有不純物量を灰分で10ppm以
下としたヒータ部材を1本もしくは複数本を並列に石英
ガラス製の密封形部材内に封入したカーボンヒータであ
って上記ヒータ部材を封入した密閉形部材が、石英ガラ
ス製もしくはアルミナ製平板状容器である構成となって
いる。特に、上記ヒータ部材を複数の端子部材及びワイ
ヤー支え治具によって上記平板状容器内に非接触で支持
されて封入した構成とすることが好ましい。これは、上
記カーボン質のヒータ部材と石英ガラス質の平板状容器
の高温下での反応に伴うヒータ部材の劣化を極力防止す
るためである。また、さらに上記端子部材の長さ方向
に、ボルト差し込み用の略円筒状の穴部が形成されてお
り、かつ少なくともこの穴部を貫通する略円筒状横穴が
形成されており、該横穴に上記ヒータ部材を差し込み、
該穴部に少なくとも該横穴の下端に達する長さを有する
ボルトを回転挿入する構成とすることが好ましい。これ
によって、前記長細形状のヒータ部材を取付容易にかつ
確実に保持することができる。また、この構造におい
て、前記ボルト差し込み用の略円筒状穴部の径を前記略
円筒状横穴の幅より大きくし、該横穴に差し込まれた前
記ヒータ部材が、前記ボルトによって加圧され、該穴部
に達するように偏平に変形されていることが好ましい。
これによって、前記長細形状のヒータ部材を前記棒状端
子部材に強固にかつ電気的ロスのない接続とすることが
できる。なお、前記構造において、前記ボルトと前記ヒ
ータ部材の間に膨張黒鉛シートを介在させることが、よ
り好ましい。これによって、前記ボルト締め付け時にヒ
ータ部材を形成するカーボンファイバーの切断を極力低
減できる。前記ワイヤー支え治具は、透光性アルミナ単
体もしくは、高純度カーボン及び透光性アルミナの組立
部材により形成することが好ましく、更に前記組立部材
においては、ヒータ部材に接する部分を高純度カーボン
材料とし、かつ前記組立部材を平板状容器に配置接続す
る部分を透光性アルミナ材料とすることがより好まし
い。透光性アルミナによれば、高温カーボン材との非反
応性及び電気的絶縁性が高レベルで達成される。特に後
者の組立部材によれば、前記発熱体の急激な温度変化が
あっても、ワイヤー支え治具にクラックや破損が生ずる
ことなく、部品寿命が拡大される。より好ましくは、上
記ヒータ部材の下側にカーボン製反射板を配置した構成
を付加したものとする。特に、上面を鏡面加工した反射
板を設けることによって、該カーボンヒータの下側への
熱の放出を低減し、該カーボンヒータ上方の均熱性及び
昇温速度の大幅なアップを図ることができる。さらに、
前記ヒータ部材、棒状端子部材及びワイヤー支え治具を
密閉形の石英ガラス製容器または透光性アルミナ製容器
に封入することによって、特に半導体製造装置用として
の有効なカーボンヒータを構成することができる。この
とき、上記容器に適宜枝管を取り付け、枝管から窒素等
の非酸化性ガスを流入したり、容器内を20torr以
下の真空にすることが好ましい。これによって、上記ヒ
ータ部材の劣化を防止し、長寿命化及び均熱性の長時間
の持続が可能になるからである。さらに、第5グループ
の発明のカーボンヒータは、上記平板状容器のヒータ面
に、断面半円状もしくは台形状の凸部が形成されてお
り、この表面がつや出し処理されている構成にすること
が好ましく、これによってカーボンワイヤの如き線状発
熱体によるヒータ面上方への発熱を、光の散乱によって
均一化せしめるといったプリズム効果が得られる。尚、
上記凸部はヒータ面上方から見た場合には、これがスト
ライプ状もしくは同心部状に形成されているか、あるい
はこれが格子状に多数形成された状態となっている。通
常、同様の効果を得るために、ヒータ面をサンドプラス
ト処理する方法が採用されるが、この場合には、表面が
砂目状となっており、この表面からの放熱が抑制され、
石英ガラス自身に熱を蓄積してしまいエネルギー効率が
低下してしまう。この意味で、上記つや出し処理されて
いることは、重要な事項である。また、カーボンヒータ
のヒータ面は、被処理物よりも大径にすることができ
る。カーボン材は、熱容量が小さいので、このようにヒ
ータ面を大径にすることによって昇温速度をさらに大き
くすることができ、被処理物への均熱性を向上せしめる
ことができる。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The carbon heater of the present invention has a diameter of
Bundling multiple carbon fibers with a diameter of 5 to 15 μm
Using multiple carbon fiber bundles
Woven in a vertically long shape such as a tape shape, containing impurities
Equipped with a heater member that reduces the amount of ash to 10 ppm or less
You. One or more heater members are quartz glass
Enclosed in stainless steel or alumina sealed members
Can be. The resistance value of the heater member at 1000 ° C.
Preferably, it is 1 to 20 Ω / m. The above sealed member
Is the shape selected from the double tube shape, straight tube shape and annular tube shape
Heating sol of a predetermined shape
Can be formed. Formed in the above sealed member
Into the space where the non-oxidizing gas flows
be able to. The space formed in the sealed member is 2
The vacuum can be reduced to 0 torr or less. Above sealed type
Components are substantially integrated by a plate-shaped quartz glass support
The heater section in the quartz glass support.
In the configuration, a hollow space is formed around the material.
Can be The quartz glass support is composed of multiple pieces of quartz
The entire bonding surface of each glass plate is integrated by fusion
And at least one quartz glass plate
A configuration in which a wire groove is formed and the heater member is disposed therein.
Can be The quartz glass support is made of two stones
It is a fusion of British glass plates, with at least one stone
Wiring grooves of predetermined depth are formed on the joint surface of the British glass plate
And the thickness of each quartz glass plate not including the above depth
Are substantially the same. Quartz glass above
Substrate fused two quartz glass plates of different thickness
And at least one quartz glass plate
A wiring groove having a predetermined depth is formed and includes the above depth.
The thickness of one of the quartz glass plates to half the thickness of the other
It can be: The length of the wiring groove is
Curved shape at least below the cross-sectional shape perpendicular to the
And it is preferable that the surface is polished. Up
The wiring groove has an overall cross-sectional shape perpendicular to the length direction.
Can be formed in a "convex" shape. Arranged on the first quartz glass plate
A wire groove is formed, and a pair of wiring grooves is formed on the second quartz glass plate.
Forming a narrow insertion groove or through slit
Join the first and second quartz glass plates so that the grooves face each other
And the surface of the second quartz glass plate is polished or ground for insertion.
By removing the bottom of the groove or through slit,
Exposed as a window for insertion, from which the first quartz glass
The heater member is pushed into the wiring groove of the
Align the third quartz glass plate with the ground surface, and then
Fusing the quartz glass plate of
By integrating, the wiring groove
The cross-sectional shape perpendicular to the direction should be generally “convex”
Can be. Further, a convex groove is formed on the first quartz glass plate.
The same structure can be obtained even if the third quartz is fused
Rukoto can. Depressurized or non-oxidizing inside the wiring structure
It must be fused while maintaining the gas atmosphere
preferable. The quartz glass support has an opaque quartz glass layer
You may have. One of multiple quartz glass plates
Can be an opaque quartz glass plate. Above
Data member, and a carbon-made reflecting plate having at least one side having a mirror surface.
Is enclosed in a plate-shaped quartz glass support.
Can be One of three quartz glass plates
Groove for wiring and reflection on two joint surfaces of quartz glass plate
Heater member and at least one side for each counterbore
Arranges a mirror-surface carbon reflector, and makes each quartz glass plate
The joint can be integrated by fusion. Overall
A plate-like quartz glass support with a mirror surface on at least one side
A reflector made of carbon fiber is enclosed and the reflector
It can be located adjacent to the material. Plate-shaped quartz glass support
A semicircular cross section or platform on at least one outer surface of the body
The convex part of the shape is formed, and this outer surface
It can be a controlled configuration. The above-mentioned closed-type member is
Setting member made of quartz glass having wire groove and quartz glass
The heater member is disposed in the wiring groove.
Can be placed. Any of the above setting member and lid member
Or a flame barrier on the outer periphery of both
Arrange the opposing surfaces other than the embankment at intervals of 0.2 to 1.0 mm.
The two members are integrated by the silica glass overlay method.
Can be Carbon at both ends of the heater member
Arrange terminals and connect electrodes to carbon terminals
A quartz glass is placed on the carbon terminal side of this electrode.
A configuration in which a spipe is covered can be adopted. Heater member
A non-oxidizing gas is introduced into the space where
Gas can be exhausted from the quart glass pipe
You. The concentration of impurities in the carbon terminal is 10
It is preferable that the content be not more than ppm. Alumina in placement groove
The powder is placed, and the heater member is made of sintered alumina powder.
Can be supported. Iron impurity concentration of the above alumina powder
Is preferably 5 ppm or less. The above heater member
Alternatively, the terminals connected to both ends of the heater
Drawn substantially perpendicular to the heater surface formed by the heater member.
It can be configured to be issued. Connect both ends of the heater member
Protrude to the opposite side of the heater surface, and
Arranged in a quartz glass cylinder abutting the English glass support plate
Multiple or at least one end is divided into multiple
Fixed with the wire-shaped carbon
Covering the above-mentioned closed-type member with a quartz glass tube
Can be. Connect the other end of the wire carbon to the hollow
Formed with a core member inside the second wire
By pressing with the core member,
Connect and use a split wire core to connect metal
Internal tangents, and connect both connecting members with any connecting members.
Can be connected. Tape outside the split mold core
Tapered surface to form a tapered surface and engage the tapered surface on the terminal body
The inner part made of metal is formed by the support part formed on the split core.
Insert the wire and press it to connect to any connecting member
can do. Wire the heater member inside the above sealed member
Symmetrically arranged and gas inlet / outlet formed on the axis of symmetry
The non-oxidizing gas from the gas inlet / outlet when welding the container.
And exhaust from the gas inlet / outlet when sealing the container.
It can be configured to do so. Consists of carbon wire
Heater member and wire-like carbon are placed in a quartz glass tube.
The rows are divided into multiple or at least ends
With the wire-shaped carbon
Connect the inner tangent of carbon and metal on the power supply side with the second terminal device
And the second terminal device has a plurality of or
Connecting wire-like carbon with multiple ends
With a second wire-like carbon connecting member for
Metal wire configured to connect metal inner tangents using a core
A connection member, and a second wire-like carbon connection member.
A terminal body for connecting the metal wire connection member is provided.
Configuration in which the connection member is connected to one end and the other end of the terminal body
Can be A plurality of the heater members or
At least one end divided into multiple wires
Is a quartz glass cylinder and a second terminal device is a stone.
It can be configured to be enclosed in an English glass tube. Up
A metal inner tangent and an electric wire placed inside the quartz glass tube
Connect the external tangent line on the source side via Mo foil and connect the Mo foil to quartz
Third terminal device sealed with a pinch seal part made of lath
It is more preferable to have a position. Heater member
Terminal members connected to both ends of the
Cover the terminal member with a quartz glass tube
A sealed configuration can be provided. Of the above quartz glass tube
The terminal body is placed on the free end side, and the terminal member and the terminal body are
Divided into multiple or at least one end
Can be connected by the wire-shaped carbon
it can. Heater members are arranged symmetrically within the above sealed member
Gas inlet and outlet on the axis of symmetry,
During welding, a non-oxidizing gas is introduced from the gas inlet / outlet,
A configuration that exhausts gas from the gas inlet / outlet when sealing the container
can do. The above-mentioned closed type member is entirely
It has a circular shape with a notch in the center,
These two are combined to form a circular flat heater,
It is preferable that the jig penetrates the center. Up
The terminal member is formed of the heater member and the plurality of wires.
A heater is connected to one end of the
Form the material connection part and connect the heater members,
Collects wire-shaped carbon with its ends divided into multiple
The first wire-like carbon connecting member for connecting
A first wire-like carbon contact with the other end of the terminal member.
The first wire-like carbon
Forming the connection member hollow and placing the core member inside it
And pressing the plurality of wire-like carbons with a core member.
Preferred to connect. The terminal member and the first wire
Female threaded part on the opposite connection end side of
And the external thread corresponding to each female thread on the intermediate member.
It is preferable to form and connect both members via an intermediate member
No. A hollow portion is formed at the other end of the wire-like carbon
Second wire-shaped car having a core member inside thereof
Connected to the Bon connection member by pressing with this core member
And a metal wire connecting member using a split core
A terminal that connects the internal tangents and connects both connecting members in the middle
It is preferable to connect with the main unit. Of the above split mold core
Form a tapered surface on the outside and engage the tapered surface with the terminal body
Forming a tapered part, and the supporting part formed on the split core
Insert the internal tangent line of the metal
Prefer to connect to the body. The metal inner tangent is Mo
It is preferable to use a metal rod. Above wire carbon
Connection member and terminal body, and terminal body and metal wire connection
It is preferable to connect the members with a screw type. car
A heater member made of bon wire and wire-like carbon
Connect with the first terminal device, and connect the wire-like carbon
Configuration in which a metal inner tangent line on the source side is connected by a second terminal device
And the first terminal device includes a terminal member, one end side of which is provided.
Connect the heater member to the heater member connection part formed in
Is divided into multiple or multiple ends
First wire for connecting the wire-shaped carbon
A carbon connecting member, and a first end on the other end side of the terminal member.
It is designed to connect a wire-like carbon connecting member.
Forming the first wire-like carbon connecting member in a hollow
Place the core member inside the
The core by pressing the core with a core member.
And the second terminal device is divided into a plurality or end portions.
A second wire for connecting the split wire-like carbon
Equipped with an ear-shaped carbon connecting member, using a split core
Equipped with a metal wire connection member configured to connect metal internal tangents.
And a second wire-like carbon connecting member and a metal wire connecting portion.
It has a terminal section main body for connecting materials, and ends both connection members.
It can be configured to be connected to one end and the other end of the slave body.
it can. The heater member and the first and second terminal devices
Is enclosed in the quartz glass tube and placed inside the quartz glass tube.
The metal inner tangent and the external tangent on the power supply side are
And connect the Mo foil with a pinch seal made of quartz glass.
It is more preferable to provide a third terminal device having a sealed configuration.
New The sealed member enclosing the heater member is a quartz gas
It can be formed of a lath or alumina flat container. Up
The heater member is used as a plurality of terminal members and wire support jigs.
Therefore, it was supported and sealed in a non-contact manner in the flat container.
Can be configured. Insert a bolt in the length direction of the terminal
A substantially cylindrical hole for
A substantially cylindrical lateral hole is formed through this hole.
The heater member is inserted into the lateral hole, and a small amount is inserted into the hole.
At least rotate the bolt having the length to reach the lower end of the side hole
The structure can be inserted. Bolt insertion
The diameter of the substantially cylindrical hole for use is larger than the diameter of the above substantially cylindrical lateral hole.
Comb, the heater member inserted into the side hole is
Pressure, and flatten to reach the hole.
It is preferred to have a shaped configuration. The bolt and the hook
It is preferable that an expanded graphite sheet is interposed between
New If the above wire support jig is translucent alumina
Or high-purity carbon and translucent alumina
Preferably. For the heater member of the above-mentioned condemned member
The contacting part is made of high-purity carbon material.
The part of the member that is arranged and connected to the flat container
Preferably, it is made of a mina material. Below the heater member
A carbon reflector can be placed on the side. Flat
A semicircular or trapezoidal projection on the heating surface of the plate-like container
Is formed, and this surface is subjected to a polishing treatment.
Can be. The sealed member enclosing the heater member is a bay
It may be curved. Above the plate-shaped quartz glass support
The heater member is sealed, and the quartz except for the periphery of the heater member
A plate-like carbon fiber with a glass support substantially integrated
A carbon lower mold having a cross section of a predetermined shape;
And a pair of upper carbon molds to
The carbon heater can be curved into a predetermined shape. Up
The upper and lower carbon molds have a semicircular cross-sectional shape.
It can be made into a substantially semi-cylindrical carbon heater.
Wear. At least one surface of the plate-shaped quartz glass support is
The mirror-like carbon reflector is independent of the heater member.
Can be enclosed. Summary of the invention of the first group
It is described below. The first group of inventions is the
Especially semi-conductive with excellent flexibility and can be manufactured at low cost
To provide an effective carbon heater for body manufacturing equipment
And for the purpose. The first group of the invention
The carbon fiber is 5 to 15 μm in diameter
Using multiple carbon fiber bundles
Knit into a vertically long shape like ear shape or tape shape,
A heater whose content of impurities is reduced to 10 ppm or less by ash.
It has a member. Thereby, as a heater member
High tensile strength at high temperatures
-The adhesion of the
Heat generation unevenness in the length direction is reduced. Where multiple bundles
The diameter of each of the carbon fibers is 5 to 15 μm.
The reason is that each fiber is weak at less than 5 μm.
The heater unit is bundled and woven into a predetermined vertical shape
It becomes difficult to make the material. Also the fiber is thin
The number of fibers to obtain a given resistance
Not practical. If the thickness exceeds 15 μm,
Braided carbon fiber bundle with poor flexibility and multiple bundles
Not only is it difficult to remove
And the strength is significantly reduced.
It is. In addition, the impurities of the carbon heater were reduced to 10% by ash.
The reason for limiting to less than ppm is that impurities exceed 10 ppm
In this case, even a small amount of oxygen is easily oxidized,
This is because ordinary heat is easily generated. In fact, impurities are ash
Exceeds 10 ppm in air at 800 ° C.
Causes abnormal heat generation in 0 minutes, and 100 ppm of acid in nitrogen
Use at 800 ° C for 10 hours in an atmosphere where element exists
Then, it has been confirmed that abnormal heat generation occurs. on the other hand,
When impurities are less than 10 ppm in ash content, 1
800 ° C. in an atmosphere where there is 00 ppm oxygen
It does not cause abnormal heating even if it is used for more than 50 hours, and
If it is less than 10 minutes in the air at 800 ° C,
It has been confirmed that it does not generate ordinary heat. Impurities are ash
More preferably, it is 3 ppm or less per minute. in this case
In particular, the effect of suppressing abnormal heat generation is
A longer life can be achieved. The heater member includes the above 5 to 15
bundle 100-800 μm carbon fiber
3 or more, preferably 6 to 12 bundles
Weaved into a vertically long shape like ear shape or tape shape
Preferably, it is Bundle carbon fiber
When the number is less than 100, it is necessary to obtain a predetermined strength and resistance value.
6 to 12 bundles are not enough and weaving is difficult
You. In addition, because of the small number,
The penetration is loosened, and it becomes difficult to maintain the shape. Ma
When the number exceeds 800, a predetermined resistance value is obtained.
The number of pieces to be bundled together
It becomes difficult to maintain the gear shape. Further, the heater member
Has a resistance value at 1000 ° C. of 1 to 20 Ω / m.
Is preferred. The reason is that general semiconductor manufacturing equipment
For conventional transformer capacity in heating equipment
This is because it is necessary to perform That is, the resistance value
If the resistance exceeds 20 Ω / m, the resistance is large, and
The heat cannot be taken between the terminals.
Temperature unevenness is likely to occur. On the other hand, the resistance value is 1Ω / m
If less, the heater length is required because the resistance is low.
Longer than that, and carbon wire and
The unevenness and texture of slender heater members such as
Large variations in temperature due to uneven air
Become. The electric resistance value of the heater member at 1000 ° C.
In order to obtain the above characteristics with higher reliability,
More preferably, it is 0 Ω / m. Also carb
By weaving the fiber bundle,
Adjust the diameter of the heater member, which is circular, in the longitudinal direction.
Can be constant, resulting in stable heat generation in the length direction
Can be changed. In addition, this braid
To the carbon fiber on the heater member surface
It is possible to form a fluffed state. Ma
In addition, this heater member generates heat,
Self-expands itself, for example, between the two terminals
The heater member was assumed to have no braid
Cause sagging and uneven heating, but
This does not cause such a problem. No.
In one group of inventions, it becomes a carbon heater material
Unlike conventional C / C, carbon fiber is made of resin
Preferably, it is not solidified and integrated. This is mosquito
The flexibility of carbon fiber is impaired
In addition, due to the heat shrinkage of the resin, cutting of the fiber, etc.
Is caused. One or more of the above heater members
Arrange several pieces and use quartz glass or translucent alumina
Enclosed in a sealed member, for example, a car
It is preferable to configure a heater. This allows
The heater member is subjected to various conditions such as an oxidizing atmosphere, a high temperature atmosphere, etc.
Can be used. In particular, quartz glass
To achieve higher purity
Can be effective for semiconductor manufacturing equipment
be able to. It should be noted that the plurality of heater members are sealed as described above.
When enclosing in a shaped member, arrange them in parallel
Is preferred. In this case, a plurality of heater members are arranged in parallel.
Arranging two or more heaters over the entire length of the heater member
The upper part means that they are arranged adjacently and substantially in parallel. This
This facilitates the electrical resistance of the entire carbon heater
And a plurality of heater members
Are adjacent, that is, they touch at many points
Is formed, for example, one heater
If a failure such as partial cutting occurs in the specified part of the member
In any case, the above-mentioned contacting part exists in the vicinity.
Thus, it is possible to prevent heat generation unevenness due to the above-mentioned problem.
The term "sealed member" as used herein means that the heater member is a stone.
Made of English glass or translucent alumina
Of course, the meaning of the member to be sealed is described later.
Flow a non-oxidizing gas such as nitrogen
-A structure for flowing out is added, so that the heater member is
Substantially sealed to prevent contact with air
It also means a member. Shape of the sealing member
Can be selected from double pipe, straight pipe, annular pipe, etc.
Can be. Multiple quartz glass of such shape or
Combined with a transparent alumina container
By forming a zoning zone, it matches the shape
The object to be processed can be heated uniformly. In addition,
If a non-oxidizing gas such as nitrogen flows into the
It is preferable to make the inside of the inside a vacuum of 20 torr or less. This
This prevents the carbon heater from deteriorating and increases its longevity.
Life and soaking for a long time.
You. Next, the summary of the invention of the second group will be described. Second guru
One of the objects of the invention of the group is that heat generation unevenness is small, for example,
It is possible to uniformly heat treat a heated object such as a conductor (wafer).
Can maintain high-temperature strength for a long time and have a long service life.
Is to provide a carbon heater that can be used. Second guru
Another object of the group's invention is to use multiple carbon fibers.
Wire shape using multiple bundled carbon fiber bundles
Heater section woven into a vertically long shape such as shape and tape shape
The quartz glass support that constitutes the sealed member that supports the material
Encapsulation can be performed reliably without stress concentration
In addition, the quartz glass support for supporting the heater member
To provide a carbon heater whose thickness can be freely set
It is. The carbon heater according to the second group of the invention is characterized in that
Sealed member enclosing one or more heater members
Are substantially integrated by the plate-shaped quartz glass support.
The quartz glass support,
A hollow space is formed around the periphery of the
ing. Here, the substantially integrated configuration will be described later.
Fusing the joint surfaces of multiple quartz glass plates so that
The carbon heater of the present invention was manufactured without using a bonding agent.
In the case, the groove where the heater member inside the carbon heater is arranged
Space such as the terminal and the terminal is fused with the outside (air or furnace atmosphere).
The periphery of the carbon heater is fused so that it is
And the internal quartz glass contact surface extends over the entire surface.
This shows a state in which they are fused. However, it does not affect the effect of fusion.
The unfused part is about 30% or less of the contact area with no trouble
If it exists, it may be present. Also, about 30% or less
The reason for this is that the radiation from the heater
And to prevent. Thereby, the heater section
No stress concentration on the quartz glass support supporting the material
Form can be securely adhered, and the thickness is 5mm or less
Even if a low-strength quartz glass plate is used,
There is no breakage of the quartz glass. In addition,
The hollow space around the heater member is a heater
Fluffy part of carbon fiber formed on material surface
Is the space where exists. Carbon of the second group of inventions
The heater is a quartz glass support having a plurality of quartz glass substrates.
The entire bonding surface of each of the plates was substantially integrated by fusion
And at least one quartz glass plate
Form a wiring groove and place the heater member in it.
Is preferred. Thus, at least one piece of quartz glass
A wiring groove is formed on the joint surface of the
A bundle of multiple carbon fibers with a diameter of 5 to 15 μm
Wire shape using multiple bundled carbon fiber bundles
Braided in a vertically long shape such as
Heater member with pure content of less than 10ppm ash
With this configuration, this carbonaceous heater
The member is the surface of a wire or tape-shaped heater member
Many carbon fibers with a diameter of 5 to 15 μm
Contact with the quartz glass sealing member by the iva
Because of the structure, the heater member is energized to generate heat at a high temperature.
Reaction between carbon and quartz glass
Progresses, and as a result, the carbonaceous heater member deteriorates.
Can be prevented. (Fuzz on the surface of the heater member
Carbon fiber is a quartz glass sealed member
, Silicification progresses from the contacted part.
Due to the extremely small diameter and small volume, this silicon
That the gasification reaction proceeds to the entire heater member.
Guessed. In other words, this may cause uneven heating.
And that the service life can be extended.
I do. In addition, this heater member adjusts the amount of heat generation or
One or two or more to stabilize the quality
It is also possible to arrange the above numbers in parallel. On the spot
In this case, add a two-step groove corresponding to this number to the bottom of the wiring groove.
It is preferable to provide them. Car of the second group invention
The Bon heater has one or more of the above heater members.
The enclosed sealed member is supported by a plate-shaped quartz glass support.
The quartz glass support is substantially integrated.
A hollow space is formed around the heater member of the holder.
A carbon heater with
An English glass support fused with two quartz glass plates
Yes, with a predetermined depth on the joint surface of at least one quartz glass plate
Wiring groove is formed and does not include the above depth
The thickness of each quartz glass plate is almost the same
I have. Thereby, heat can be uniformly radiated. Ma
Further, another carbon heater of the invention of the second group is as described above.
Sealed member enclosing one or more heater members
Are substantially integrated by the plate-shaped quartz glass support.
The quartz glass support and the heater
A hollow space is formed around the member
Wherein the quartz glass support is
This is a fusion of two quartz glass plates of different thickness.
A predetermined depth on the joint surface of at least one quartz glass plate.
Wiring grooves are formed, and one
The thickness of one quartz glass plate is less than half the thickness of the other.
Configuration. This allows one to release
Heat can be increased. Carbo of the above two forms
Heater, depending on where it is placed,
You can choose between them. In addition, the second group
Akira's carbon heater has the above-mentioned wiring groove in the length direction.
Having a curved shape at least on the lower side of the vertical cross-sectional shape,
In addition, it is more preferable that the polishing is performed. Also
Of course, the entire cross section may be curved. This
When multiple quartz glass plates are fused and integrated, wiring
Thermal deformation of the cross-sectional shape of the groove makes contact with the carbon wire
Quartz glass and carbon
Can prevent the deterioration of the carbon wire due to the reaction of
Wear. This is due to the curved shape inside the groove
By having a curvature of the shape, stress can be dispersed,
This is because deformation inside the groove is suppressed. Also,
Suppresses accumulation of internal strain in quartz glass support due to thermal deformation
It is possible to prevent defects such as cracks
it can. In addition, the heater member
Heat generation by the carbon heater due to absorption of heat generation
Unevenness can be prevented. Note that the above wiring groove
By sintering for a predetermined time with an oxyhydrogen burner
Polishing is performed by forming wiring grooves on a quartz glass plate using a machine.
There are many small irregularities as formed by processing
Under normal conditions, the carbon heater generates heat and
When the groove is heated, among the above irregularities, particularly the convex portions
And it becomes extremely heated, and sparse polishing is not possible.
The structure of the carbon heater
This will prevent uneven heating due to
Is intended to be Carbon of the second group of inventions
The heater reduces the ratio of the inside of the above wiring groove or the atmosphere of non-oxidizing gas.
It is preferred that they are fused while being kept in mind
No. This is due to oxidative degradation during the production of carbon wire.
This is to prevent Other cars of the invention of the second group
The Bon heater has one or more of the above heater members.
The enclosed sealed member is supported by a plate-shaped quartz glass support.
The quartz glass support is substantially integrated.
A hollow space is formed around the heater member of the holder.
A carbon heater having a
The cross-sectional shape perpendicular to the length direction of the wire groove is generally
It has a configuration of a “convex” character. This allows
When placing the carbon wire in the wiring groove,
Good workability.
It can be. Also, around the groove, especially the upper side of the groove
(Upper plate) can reduce thermal distortion caused by deflection.
You. Note that the “convex” shape here means the upper side of a horizontally long rectangle.
Near the center, a positive side with a shorter side than the upper side
A rectangle or a vertically long rectangle whose upper side is shorter than this upper side
Means a shape as if they were combined. The second group
In the carbon heater according to the invention, wiring is performed on the first quartz glass plate.
Grooves are formed in the second quartz glass plate in pairs with the wiring grooves.
Forming a narrow insertion groove or through slit,
Joining the second quartz glass plate so that the grooves face each other,
The surface of the second quartz glass plate is polished or ground to form an insertion groove.
Or by removing the bottom of the through slit
Exposed as a window for insertion, from which the first quartz glass plate
Press the heater member into the wiring groove of
A third quartz glass plate is attached to the surface, and then three stones
Fusing the English glass plate and virtually integrating the entire surface except the groove
The wiring groove so that it extends in the longitudinal direction.
The straight cross-sectional shape is generally a “convex” shape
preferable. Thereby, the workability is improved and the workability is improved.
Thermal strain can be alleviated more reliably. 2nd
Another carbon heater according to the invention of the loop is the above-described heater member.
Is a plate-shaped stone
Substantially integrated by an English glass support
Thus, the periphery of the heater member of the quartz glass support
Has a hollow space formed in the carbon
A heater, wherein the quartz glass support is an opaque quartz glass.
It has a configuration having a metal layer. In addition, the above
One of the quartz glass plates is an opaque quartz glass plate.
You may. This allows you to heat with a carbon heater
The opaque quartz glass layer prevents heat radiation to the non-exposed side
can do. Other carbon of the second group of inventions
The heater has the above-mentioned heater member and at least one surface having a mirror surface.
A carbon reflector is enclosed in a plate-shaped quartz glass support
Configuration. The carbon reflection
The plate has a mirror surface on the side facing the heater member.
Is preferred. At this time, use three quartz glass plates
If there is, the arrangement provided on the two joining surfaces of the quartz glass plate
Heater member and small counterbore for line groove and reflector setting
At least a mirror reflector on one side is placed, and quartz
Substantially integrating glass plate joints by fusion
Is preferred. The more preferable form in this case is as follows.
It is as follows. That is, the upper surface of the first quartz glass plate
Form a countersunk counterbore and place at least
A mirror-finished carbon reflector is placed, and a second quartz
Form the above-mentioned wiring groove on the upper surface of the glass plate, and
The heater member is disposed on the first quartz glass plate.
The second quartz glass plate is stacked, and a third quartz glass plate is further placed thereon.
After laminating the quartz glass plates of
Thus, they are substantially integrated. By this
To reduce heat radiation in the direction of the reflector, and
You can do much. Heat dissipation due to the presence of the reflector
Heat is evenly distributed and the temperature distribution within the heater surface
Can be made uniform. In particular, the choice of carbon
The reason is that the carbon material is easy to purify and its high purity
Of impurities by using carbonized material
Of metal contamination on heater members and workpieces due to heat
Because you can do it. In addition, the invention of the second group
The carbon heater has one or more heater members.
The enclosed sealed member is supported by a plate-shaped quartz glass support.
Is substantially integrated with the quartz glass
A hollow space is formed around the heater member of the support member.
A carbon heater with a configured configuration,
At least one side is mirror-finished on a physically plate-like quartz glass support
Up the reflector plate in which the carbon reflector plate
It is configured to be disposed adjacent to the sealed member. to this
Therefore, the reflection plate-shaped body blocks the radiation,
To protect the parts that you do not want to heat
Can be achieved very easily. Car of the second group invention
In the Bon heater, in any of the above-mentioned forms
Also, at least one outer surface of the plate-like quartz glass support
Has a semicircular or trapezoidal convex section
It is preferred that this outer surface be polished
No. In addition, the above-mentioned convex part, when viewed from above the heater surface,
This is formed in stripes or concentric parts
Or this is a state where many are formed in a grid
ing. Thereby, a linear emission like the heater member of the present invention is obtained.
Heat generated by the heating element above the heater surface is scattered by light.
A prism effect such as uniformization can be obtained. Through
In order to obtain the same effect, always sand the heater surface
In this case, the surface is
It has a grain shape, and the heat radiation from this surface is suppressed,
Energy is saved by accumulating heat in the quartz glass itself
Will drop. In this sense, the above polished processing
Is an important matter. In addition, the second group
In the carbon heater according to the invention, the heater member or
Is a terminal connected to both ends of the heater member.
Drawn out substantially perpendicular to the heater surface formed by
Is preferred. Pull out the terminal perpendicular to the heater surface
Can increase the fused surface of the quartz glass support
It is advantageous in terms of strength. Also, this configuration
If the object to be processed is
Or a semiconductor manufacturing apparatus for heating a plurality of semiconductor wafers
In addition, the above carbon heater can be easily arranged.
You. Incidentally, the end of the carbon heater of the invention of the second group.
For the specific configuration of the child part, see the fourth group
The invention of the group can be applied. Launch of the second group
Ming's other carbon heaters have one of the above heater members.
Or a plurality of hermetically sealed components
This is substantially integrated by the holding body.
A hollow portion is formed around the heater member of the quartz glass support.
This is a carbon heater with a space
Therefore, the closed-type member has a curved shape.
You. Due to this, there is little heat generation unevenness and long-time high-temperature strength
, A long service life is obtained, and for example, semiconductor
A rod on which a body to be heated such as a body (wafer) is arranged is uniformly heated.
A bay that can be processed, for example, a two-part cylindrical shape
A curved carbon heater can be provided. Above curve
In the case of shaped carbon heaters, the above plate-shaped quartz glass
The heater member is sealed in a support, and a peripheral portion of the heater member is provided.
A plate-shaped cap that is substantially integrated with a quartz glass support other than
The carbon heater is made of carbon
Press between the mold and the corresponding carbon upper mold.
And a plate-shaped carbon heater curved into a predetermined shape
It is preferred that Thereby, the heater member is
Sealed on a quartz glass support, the heater member
A bay where the quartz glass support other than the periphery is substantially integrated
A curved carbon heater is obtained, which allows
Stress concentration on the quartz glass support that supports the
Sealing can be performed securely without any form, and the thickness is 5mm or less.
Even when using a low-strength quartz glass plate below,
There is no damage to the quartz glass below. Above carb
The upper and lower dies have a semicircular cross-sectional shape,
Is preferably a semi-cylindrical carbon heater,
Further, at least one surface of the plate-shaped quartz glass support is provided.
Is a mirror-finished carbon reflector independent of the heater member
It is more preferable to encapsulate them. This keeps the non-heated parts
To protect and prevent radiation
It is. The carbon heater according to the invention of the second group
Is only for heat treatment equipment such as oxidation, diffusion and CVD of semiconductor
Not only, but also some kind of heating in cleaning equipment
It can be applied to any semiconductor manufacturing equipment.
You. Next, the summary of the invention of the third group will be described. The aforementioned
The inventions of the first group are woven carbon fiber
Constructs a carbon heater using flexible carbon wires
Is what you do. Thus, using carbon wire
As a result, the degree of freedom in the shape of the heater is increased,
Benefits in handling. The invention of the third group is
A carbon wire-shaped heater member with such advantages
Useful life can be greatly improved and rapid temperature rise and fall are possible.
To provide carbon heaters for semiconductor manufacturing equipment
It is intended to be. Carbo of the third group invention
The heater is a carbon fiber having a diameter of 5 to 15 μm.
Using multiple carbon fiber bundles with multiple bars bundled
Woven into a vertically long shape like wire shape or tape shape
And the content of impurities was reduced to 10 ppm or less by ash.
One or more sealing members are enclosed
Substantially integrated by plate-like quartz glass support
The heater section in the quartz glass support.
A hollow space is formed around the material.
Wherein the sealed member is a wiring groove.
Setting member made of quartz glass and lid made of quartz glass
And the heater member is disposed in the wiring groove.
It consists of a configuration. This structure reduces heat generation unevenness.
In other words, the semiconductor (wafer) that is the object to be heated is uniformly heated.
Can be processed and maintain high-temperature strength for a long time
High service life. The multiple carbs mentioned above
For multiple carbon fiber bundles
And the surface of the heater member woven in a wire shape has a diameter of 5 mm.
Even with carbon fiber of ~ 15μm
The substantial contact between the quartz glass and the carbon
The area becomes extremely small (substantially,
Quartz is in contact with the lath because of this fluffy carb
Fiber portion), resulting in about 1350 ° C
It has been confirmed that it can be used for quite a long time even at a certain temperature. No.
The carbon heaters of the three groups of the invention include the setting member and the carbon heater.
A flame barrier is formed around one or both of the
And the opposing surface other than the flame barrier is 0.2 to 1.0 mm.
Arranged at intervals, both members are made of quartz glass
Is preferably integrated. In addition, quartz
When covering the glass setting member and the lid member,
In a state where the members are separated in a substantially
It is preferable to fix by the overlay welding method so that there is no gap.
Good. This means that both members are brought into contact, for example, over almost the entire area.
If the outer periphery is welded or build-up welded in this state,
With the heating of the outer periphery of the
Whereas cracks and cracks occur, according to the above fixation,
Strong covering is possible without such a problem.
You. This is due to the concentration of thermal stress due to partial heating during processing.
And warpage caused by temperature difference between setting member and lid member
It is thought that it depends. In addition, the above preferred embodiment
Due to the presence of the flame barrier, oxyhydrogen
The burner flame enters between the two members, and the carbon
It can prevent oxidation of the data as much as possible. Also,
Make the spacing between the setting member and the lid member uniform over the entire area
Further, the outer peripheral portions of the setting member and the lid member may have S
iO 2 Prevents white clouding due to fine powder
The uniformity of the carbon heater can be improved.
In addition, the surface in contact with the mating member
It is important that they are heated and welded. Not welded
If there is only a part that is in surface contact with the
This is because cracks and cracks occur during welding. this
The above-mentioned flame barrier is integrated when forming both members of a predetermined shape.
May be provided by welding to the outer periphery of each
Is also good. And the facing surface of the part where both members are not welded is
It is preferable to arrange at intervals of 0.2 to 1.0 mm.
Good. If this is less than 0.2 mm, cracks and cracks
Lock cannot be sufficiently prevented, and it exceeds 1 mm
The welding flame is easy to enter.
Is more likely to be oxidized. Also, the third
In the carbon heater of the invention of the group,
Place carbon terminals at both ends of the
Connect the electrode to the terminal
The quartz part is covered with a quartz glass pipe.
Is preferred. By providing a carbon terminal
And make the carbon terminal cooler than the heater member,
Can prevent oxidation even if a little oxygen enters
You. In particular, non-oxidizing gas from around the carbon terminal
By introducing, it is possible to more thoroughly prevent oxidation.
Further, the heater member and the metal electrode are in direct contact with each other.
And the life of the heater member is significantly reduced due to metal contamination.
You. The interposition of a carbon terminal is required for the heater section.
It is also useful in eliminating the contact between the material and the metal electrode. metal
At least the carbon terminal side of the electrode
It is preferable to cover with an English glass pipe. And metal
The exposed portions of the electrodes and terminals are arranged outside the furnace. in this way,
By covering the inside of the furnace of the metal electrode with quartz glass,
Reduce contamination of impurities such as Fe and Al from metal electrodes
Can be. The impurity concentration of this carbon terminal is
The ash content is preferably 10 ppm or less. This
The deterioration of the heater member and extend the service life.
This is because that. Non-acid such as nitrogen or argon gas in the heater
It is preferable to adopt a configuration in which a oxidizing gas is introduced. This
By introducing a non-oxidizing gas, the heater member
Can be prevented from being oxidized. In the carbon terminal
The connecting metal electrode can also generate impurities at high temperatures
From the quartz glass pipe containing the metal electrode
Emission of non-oxidizing gas can prevent the generation of impurities
You. Furthermore, molybdenum (M
It is preferred to use o). This is the coefficient of thermal expansion of Mo
Is similar to that of carbon materials,
This is because good bonding is maintained even at high temperatures. Heater section
As the material, the heater member described in the invention of the first group is used.
Preferably, it is used. Make the setting member and the lid member flat
It is possible to Furthermore, the car of the third group of the invention
In Bon heater, carbon heater member and quartz
To more reliably suppress the reaction with the glass setting member
The alumina powder in the groove for placement
It is preferable that the heater member be supported by the union. This
This ensures the maximum operating temperature of the carbon heater
It can be raised to about 1350 ° C. Al
The alumina powder and the above heat
Heat treatment at about 1300 ° C after installing
And formed by In addition, iron impurity concentration of alumina powder
Degree depends on the life of carbon heater elements due to iron contamination
Should be kept below 5 ppm so that the
New In addition, the carbon heater of the invention of the third group includes:
If only heat treatment equipment such as oxidation, diffusion and CVD of semiconductor
And semi-conductor with some kind of heating in cleaning equipment
It can be applied to any body manufacturing device.
Next, the summary of the invention of the fourth group will be described. 4th glue
The invention of the group
The service life can be greatly improved by using the heater member of
For example, carbon for semiconductor manufacturing equipment capable of rapid temperature rise and fall
It is intended to provide a heater. Also, the fourth group
The invention of the loop uses the above-mentioned heater member and wire-like carbon.
Terminal wire consisting of
The metal terminal wire securely and easily.
To provide a carbon heater with a simple structure that can be connected
And for the purpose. The fourth group of inventions
The heater encloses one or more heater members.
The sealing member is substantially formed by a plate-shaped quartz glass support.
Integrated with the quartz glass support
A structure in which a hollow space is formed around the heater member
A carbon heater comprising:
The material or the terminal of the heater member is
Drawn out substantially perpendicular to the heater surface
Has become. According to such a configuration, the lower side or
Semiconductor heating the semiconductor wafer to be processed from above
It is easy to arrange the carbon heater in the body manufacturing equipment.
With excellent in-plane heat uniformity and long service life
Data. Preferred Shape of the Heater Member Above
The state is as described in the invention of the first group. the above
One embodiment of the carbon heater of the invention of the fourth group is as follows.
The heater member itself is placed on the heater surface formed by the heater member.
It is of a structure that is pulled out substantially perpendicular to
(Hereinafter, this will be described in detail as the invention of Group 4-1.
However, in this case, both ends of the heater member are connected to the heater surface.
Protrude to the opposite side and attach the protruding heater member to the quartz glass support.
A plurality of ifs arranged in a quartz glass cylinder abutting the holding plate
Or a wire car with at least one end divided into multiple
Fix it with a bon and place the quartz glass tube in the quartz glass tube.
It is preferable that the above-mentioned closed-type member be sealed to cover.
New Thereby, the heater member is connected to the wire-shaped
Firmly and reliably to the terminal wire
Wear. In addition, the above-mentioned heater member has a plurality of wires of the same type.
Spar to contact carbon and reduce electrical contact resistance
Troubles such as cracks can be prevented. Furthermore, this
According to such a configuration, an end portion of the heater member as described later
The terminal member inside the quartz glass sealed type member.
Compared with the method of connecting to the terminal wire
As much as there is no foreign material other than the heater member,
There is a tendency that the heat generation unevenness to the side is further reduced. No. 4-1
In the carbon heater of the invention of the group, the terminal wire and
A hollow portion is formed on the other end side of the above-mentioned wire-like carbon.
Second wire-shaped car having a core member inside thereof
Connected to the Bon connection member by pressing with this core member
And a metal wire connecting member using a split core
Connect the internal tangents and connect both connecting members with any connecting members
It is more preferable to connect. In particular, in the core member
According to multiple wire-like carbon connection methods using pressing
The electrical contact resistance to prevent sparks
be able to. Connection of internal tangent using the split core
Depending on the method, metal inner tangents and carbon terminals on wide surfaces
Materials can be brought into contact with each other to prevent sparking.
Can be. A metal wire connecting member using the split core
For connecting the inner tangent of
Form a tapered surface on the outside of the
Form a tapered part that fits, and with the support part formed on the split core
Insert a metal inner tangent line and press it
It is preferable that the connecting member is connected to the connecting member. This
To reduce contact resistance and prevent spark generation.
Wear. Furthermore, the heater member is wired inside the above-mentioned closed type member.
Symmetrically arranged and gas inlet / outlet formed on the axis of symmetry
The non-oxidizing gas from the gas inlet / outlet when welding the container.
And exhaust from the gas inlet / outlet when sealing the container.
It is preferable to adopt a configuration for performing the above. This allows the heater
The non-oxidizing gas can be introduced uniformly in the
Prevention of oxygen oxidation and uniform temperature distribution in the heater surface.
Can be. As understood from the above description, the fourth-
In one group of the invention, the carbon heater
The wire-like heater member and wire-like carbon
Multiple or at least ends arranged in a glass cylinder
Fixed by wire-shaped carbon with multiple parts
And the wire-like carbon and a metal inner tangent line on the power supply side.
Are connected by a second terminal device, and the second terminal device
Is a wire shape with multiple or divided ends
A second wire-like carbon connection for connecting carbon
With a connecting member to connect a metal inner tangent line using a split core.
A metal wire connecting member having a second wire shape
End for connecting carbon connection member and metal wire connection member
A main body is provided for connecting both connecting members to any connecting member.
It is clear that a configuration that is
It is. And, with such a configuration,
Parts are divided into multiple parts or at least
Glass with the wire-shaped carbon arranged inside
In a configuration in which the tube and the second terminal device are enclosed in a quartz glass tube
Therefore, the heater member disposed in the quartz glass sealed member
A plurality of wire-like carbon-based terminal wires from the second
Wire-like carbon connection member, terminal body, metal wire connection
A series of electrical connection systems for connecting members and metal internal tangents
Can be isolated from the outside air, and as a result,
Prevents oxidation of all components that make up the electrical connection system
, Long life and stable heat equalization
Data. In addition, as the above optional connection member
For example, use a cylindrical core having a threaded portion on the outer circumference.
Can be. Then, the carb of the invention of the 4-1st group
In order to optimize the heater,
Metal inner tangent and power supply located inside glass tube
Is connected through Mo foil, and Mo foil is quartz glass.
It is important to have a configuration sealed with a pinch seal part made of
Become. The pinch seal was sealed at one end.
(Cap) Cap the quartz glass tube
With a flat plate jig made of carbon
It means a structure that touches. On the contrary, the inner tangent
If you take it out of the cap and pinch it,
pinch made of quartz glass by the difference in thermal expansion coefficient between o and quartz
Cracks etc. may occur in the seal, and sealing performance may be impaired.
Condition occurs. To solve such a problem, M
With the foil body of o interposed, pinch and seal with quartz glass
It is. The carbon heater of the fourth group of the invention
In this case, a quartz glass container is flattened with an opening in the center.
It can be shaped like a plate donut, and it can be flat
This is a split mold with a notch in the center,
Can be combined to form a donut-shaped flat plate heater.
it can. This is because the object to be processed is
To support the shaft for insertion.
You. Heating elements are arranged symmetrically in a quartz glass container.
Gas inlet and outlet on the axis of symmetry,
Welding vessel while introducing non-oxidizing gas from inlet / outlet
Assembly, and do not exhaust through the gas inlet / outlet.
It is preferable that the inside of the container is sealed under reduced pressure at room temperature. quartz
Reduce the pressure in the glass container to 0.2
The sealing is preferably performed in an oxidizing gas atmosphere. 4th
One form of the carbon heater of the invention of the group is as described above.
The terminals connected to both ends of the heater member are shaped like heater members.
With a structure that is drawn out perpendicular to the heater surface to be formed
(Hereinafter, this is referred to as the invention of Group 4-2,
In this case, terminals are provided at both ends of the heater member.
Connect the members and project them to the opposite side of the heater surface to
Configuration in which a quartz glass tube is placed over the top and the quartz glass member is sealed
It is preferable that The car of the invention of the 4-2th group
Bon heater is free of the above quartz glass tube in addition to the above configuration
Place the terminal body on the end side, and combine the terminal member and the terminal body.
Some or at least one end is divided into several
It is better to make a configuration connected by wire-like carbon
preferable. This lowers the electrical resistance at the terminal wire.
As a result, the heat generated in this portion can be suppressed. Also heat transfer
Heat transfer to the lower sealing terminal due to heat transfer due to small conduction
Can be suppressed. In addition, the inside of the sealed member
Data members are arranged symmetrically with respect to the axis of gas introduction and gas introduction.
An exhaust port is formed, and from the gas introduction / exhaust port when welding the container
Introduces non-oxidizing gas and introduces and discharges gas when sealing the container
It is preferable to adopt a configuration in which air is exhausted from the mouth. This
To introduce a non-oxidizing gas evenly inside the heater.
Prevention of carbon oxidation and temperature distribution in heater surface
Can be made uniform. In addition, the 4-2 group
In the carbon heater according to the present invention, the terminal member is
Connect the heater member and the plurality of wire-like carbon
A heater member connecting portion is formed at one end thereof.
To connect the heater members, and
Connect the wire-shaped carbon divided into a number at once
A first wire-like carbon connecting member for
A first wire-like carbon connecting member is connected to the other end of the member.
And the first wire-like carbon connecting member
Formed in a hollow and placed a core member inside it,
Pressing the wire-like carbon with a core member to connect
And the terminal member and the first
At the opposite end of the wire-like carbon connection member
Form a threaded part and attach an intermediate member corresponding to each female thread
Configuration that forms the same part and connects both members via an intermediate member
Is more preferable. And more preferred form
As a state, the other end side of the wire-like carbon is hollow.
A second wire having a portion formed therein and having a core member therein
The core-shaped carbon connection member
Metal connection using a split core.
Connect the metal internal tangents, and connect both connecting members
The terminal body is connected with a tapered surface.
Supporting part formed on split core with tapered part to engage
Pinch the metal inner tangent with
The metal inner tangent to be connected to the main body is a Mo metal rod.
Configuration, the above-mentioned wire-like carbon connection member and terminal body
And the terminal part main body and the metal wire connection member are each screw type
There is a configuration to connect with. In addition, the invention of the 4-2th group
Is a heater section made of carbon wire
Material and wire-like carbon are connected by the first terminal device,
The wire-like carbon and the metal inner tangent on the power supply side
And the first terminal device is connected to the terminal device.
Heater member connecting portion formed on one end side of the heater member
The heater member is connected to the
Or connect wire-shaped carbon with multiple ends
A first wire-like carbon connecting member for performing
A first wire-like carbon connecting member at the other end of the terminal member
And the first wire-shaped carburetor.
Connection member, and the first wire
-Shaped carbon connecting member is hollow and core inside
Place the members and split the wire-shaped carbon into the core member
And a second terminal device.
Is a wire shape with multiple or divided ends
A second wire-like carbon connection for connecting carbon
With a connecting member to connect a metal inner tangent line using a split core.
A metal wire connecting member having a second wire shape
End for connecting carbon connection member and metal wire connection member
Terminal body, and connect both connecting members to one end of the terminal body.
It is preferable to connect to the end side.
As an example, further, the heater member and the first and
2 terminal device is enclosed in a quartz glass tube,
Metal inner tangents located inside the tube and outer tangents on the power supply side
Are connected via Mo foil, and the Mo foil is connected to a pin made of quartz glass.
Having a third terminal device sealed with a
It was a good thing. The pinch seal portion is
What is described in the above description of the invention of the 4-1st group
Are equivalent. In addition, the invention of the above-mentioned 4-2 group
The carbon heater is a heater of the invention of the above-mentioned 4-1 group.
The carbon heater and the first wire-like carbon connecting member
Are different in that they exist, other configurations
Has substantially the same operation and effect. next
A summary of the invention of the fifth group will be described. Group 5 launch
Ming has excellent temperature uniformity and flexibility, low cost
For example, a carbon heater for semiconductor manufacturing equipment that can be manufactured by
It is intended to provide. Also, for other purposes,
The heat generation unevenness has been further reduced and the service life has been improved.
To provide a carbon heater. Group 5 launch
Ming's carbon heater is a car heater with a diameter of 5 to 15 μm.
Carbon fiber bundle which bundled multiple Bon Fiber
Vertical shape like wire shape or tape shape using multiple wires
And the content of impurities in ash is 10 ppm or less.
One or more heater members with quartz placed in parallel
A carbon heater enclosed in a sealed glass member.
The sealed member enclosing the heater member is a quartz glass.
It is configured as a stainless steel or alumina flat container
I have. In particular, the heater member is connected to a plurality of terminal members and wires.
Non-contact support in the above flat container with a support jig
It is preferable to adopt a configuration in which the components are sealed. This is
The carbon heater member and the quartz glass plate-like container
The deterioration of the heater member due to the reaction at high temperatures
That's because. Further, the length direction of the terminal member
Has a substantially cylindrical hole for bolt insertion.
And at least a substantially cylindrical lateral hole penetrating this hole
Is formed, and the heater member is inserted into the side hole,
The hole has a length at least reaching the lower end of the side hole.
It is preferable to adopt a configuration in which a bolt is inserted by rotation. this
Thereby, the elongated heater member can be easily attached and
It can be securely held. Also, this structure
The diameter of the substantially cylindrical hole for inserting the bolt is
Before inserting into the side hole, make it larger than the width of the cylindrical side hole
The heater member is pressed by the bolt, and
Is preferably deformed to be flat.
Thereby, the elongated heater member is connected to the rod-shaped end.
The connection should be strong and free from electrical loss.
it can. In the above structure, the bolt and the heat sink
Intervening an expanded graphite sheet between
Is more preferable. As a result, when tightening the bolt,
Cutting carbon fiber that forms the data member as low as possible
Can be reduced. The wire support jig is made of translucent alumina
Assembly of body or high purity carbon and translucent alumina
Preferably, the assembly member is formed by a member
, The part in contact with the heater member is made of high-purity carbon
Material, and the assembly members are arranged and connected to a flat container.
It is more preferable to use a translucent alumina material
No. According to the translucent alumina, non-reactive with high-temperature carbon material
High levels of compliance and electrical insulation are achieved. Especially after
According to the assembling member of the person, the rapid temperature change of the heating element
Cracks or breakage in the wire support jig
And the component life is extended. More preferably, above
Configuration in which a carbon reflector is arranged below the heater member
Is added. In particular, the reflection of which the upper surface is mirror-finished
By providing a plate, the lower side of the carbon heater
Reduces heat release, uniformity above the carbon heater and
The rate of temperature rise can be significantly increased. further,
The heater member, the bar-shaped terminal member and the wire supporting jig
Sealed quartz glass container or translucent alumina container
Especially for semiconductor manufacturing equipment
Can be configured as an effective carbon heater. this
Attach a branch pipe to the above container as appropriate
Of non-oxidizing gas of
A lower vacuum is preferred. As a result,
Prevents deterioration of data members, prolongs service life and prolongs temperature uniformity
It is because the continuation of is possible. In addition, the fifth group
According to the carbon heater of the invention, the heater surface of the flat container is provided.
Has a semicircular or trapezoidal convex section
The surface should be polished.
It is preferable that the linear
Heat generated by the heating element above the heater surface is scattered by light.
A prism effect such as uniformization can be obtained. still,
When viewed from above the heater surface, the protrusion is
Formed in the shape of a lip or concentric part, or
Are in a state where many of them are formed in a lattice shape. Through
In order to obtain the same effect, always sand the heater surface
In this case, the surface is
It has a grain shape, and the heat radiation from this surface is suppressed,
Energy is saved by accumulating heat in the quartz glass itself
Will drop. In this sense, the above polished processing
Is an important matter. Also, carbon heater
Heater surface can be larger in diameter than the workpiece
You. Carbon materials have a low heat capacity, so
Heating rate is further increased by increasing the diameter of the
To improve the heat uniformity of the workpiece
be able to.

【実施例】第1グループの発明 以下、図29〜図40及び図88を参照して第1グルー
プの発明の好適な実施例を説明する。図88は、第1グ
ループの発明によるカーボンヒータの第1実施例を示す
立体図である。(同図面は、編み込んだ状態を最も簡略
して記載できるように、カーボンファイバー束を3本用
いた場合を示したものである。) この実施例では、ヒータ部材111は直径7μmのカー
ボンファイバーを330本束ねたカーボンファイバー束
を3本用いて、ワイヤー形状に編み込んだものである。
カーボンワイヤーの直径は、約1.2mmである。この
カーボンファイバーをワイヤー形状に編み込んだヒータ
部材は、編み込みスパン(長さ方向で、1本のワイヤー
束が他の2本と規則的にからみ込んで、元の位置に戻っ
てくるまでの距離をいう)が5〜7mmである。従っ
て、例え、1本1本のカーボンファイバーが途中で切断
されたとしても、この切断の影響は、上記編み込みスパ
ンの5〜7mmの長さのみに制限されることとなり、ヒ
ータ部材の全長に影響を及ぼすことがなく、結果、ヒー
タ部材の長さ方向での電気抵抗値のバラツキ、ひいて
は、発熱ムラを生ずることを効果的に抑制する。また、
上記ヒータ部材によれば、カーボンファイバー束を3本
編み込む際に、330×3本のカーボンファイバーのう
ち、相当数のものが、ところどころで切断されることに
よって、全体的に見た場合、3〜6mmの多数の毛羽立
ち115が表面に形成された状態となる。図29は、第
1グループの発明によるカーボンヒータの第2実施例を
示す斜視図である。この実施例では、ヒータ部材111
はカーボンワイヤーで形成されている。カーボンワイヤ
ーは、直径7μmのカーボンファイバーを300本束ね
たカーボンファイバー束を9本用いて、ワイヤー形状に
編み込んだものである。カーボンワイヤーの直径は例え
ば2mm程度である。また、上記編み込みスパンは、約
3mmであり、カーボンファイバーによる毛羽立ちは、
各々0.5〜2.5mm程度である。ヒータ部材111
の両端には、カーボン電極112が接続されている。ヒ
ータ部材111は、複数のアルミナ製支持部材113に
支持され、同一半面内で何度も屈曲している。この実施
例では、ヒーティング(均一加熱)ゾーンは面状とな
る。ヒータ部材111の含有不純物量は、灰分で10p
pm以下である。また、ヒータ部材111の1000℃
での抵抗値は1〜10Ω/m・本である。図30は、カ
ーボンヒータの第3実施例を示す斜視図である。図31
は、図30のカーボンヒータにおけるカーボン電極12
2付近の様子を示す断面図である。この実施例では、ヒ
ータ部材121はカーボンテープで形成されている。カ
ーボンテープは複数本のカーボンファイバーを束ねたカ
ーボンファイバー束を複数本用いて、テープ形状に編み
込んだものである。カーボンテープの幅は例えば10m
m程度であり、厚さは例えば1mm程度である。この場
合も、カーボンファイバーによる毛羽立ちは、上記カー
ボンワイヤとした場合と同等である。4本のヒータ部材
121は、支持部材123,124を介して直列に連結
され、その両端にはカーボン電極122が配置されてい
る。支持部材123,124は2枚の平板であり、ヒー
タ部材121を挟み込んで固定する。下側(土台側)の
支持部材124は石英製であり、上側の支持部材123
はカーボン製である。この実施例でもヒーティングゾー
ンは面状である。ヒータ部材121の含有不純物量は、
灰分で10ppm以下である。また、ヒータ部材121
の1000℃での抵抗値は1〜20Ω/m・本である。
図32は、特に半導体製造装置用として有効なカーボン
ヒータの第4実施例を示す斜視図である。この実施例で
は、ヒータ部材131は、石英ガラス製二重管136内
に螺旋状に配置されている。ヒータ部材は酸化消耗を抑
え、石英ガラスの失透を防止するため、不純物濃度が灰
分で10ppm以下になっている。石英ガラス二重管1
36は内筒、外筒、上下板から成る密閉構造になってお
り、内部に窒素ガスを導入するか又は20torr以下
の真空状態にすることができる。ヒータ部材131は、
内筒に取付けたアルミナ製の小さな突起部133で支持
されている。この突起部133は線状であっても良い。
ヒータ部材131は、突起でなく溝で支持することも可
能である。尚、上記アルミナ製の小さな突起部は、高純
度の透光性アルミナにすることが好しく、また透光性ア
ルミナにおいても、急速昇温の度合いを高めようとする
と、熱衝撃のため、上記突起部にクラックや破損が生ず
るおそれがあるので、上記突起部を高純度カーボン及び
透光性アルミナの組立部材とすることが好しい。その場
合、ヒータ部材と接する部分は高純度カーボンとする。
さらには、透光性アルミナにかわって石英ガラスとして
もかまわない。この実施例では、3本のヒータ部材13
1が内筒の周りに螺旋状に巻かれており、3つのヒーテ
ィングゾーンが連続で形成されている。このように2つ
以上のヒーティングゾーンを形成することによって、加
熱領域の温度バランスをとることが容易となる。ゾーン
幅とゾーンの個数は、任意に決めることができる。ゾー
ンの個数は、経済的な観点からは、3〜5個が有利であ
る。ヒータ部材131は金属製の取付部材134を介し
て外筒を貫通しており、黒鉛電極132を経て、電源1
35に接続されている。図33は、特に半導体製造装置
用として有効なカーボンヒータの第5実施例を示す斜視
図である。カーボンヒータ140は、多数のヒータユニ
ット149で形成されている。各ヒータユニット149
は、密封型の石英ガラス製直管に上述のカーボンワイヤ
ーから成るヒータ部材141を配置した構成になってい
る。多数のヒータユニット149が筒状に配置され、全
体として筒型のカーボンヒータ140が形成されてい
る。カーボンヒータ140は、筒型のヒーティングゾー
ンを有している。ウエハの加熱処理にはこのような円筒
形が良いが、被加熱物によっては、もしくは、加熱条件
の認定の観点から、箱形にすることもできる。カーボン
ヒータ140を用いて炉体を構成する場合には、炉体上
下の温度バランスを良好にするために、カーボンヒータ
140を複数個(例えば3〜5ゾーン)使用することが
できる。その際、形状や構成の異なるカーボンヒータを
用いても良い。尚、上記例は、カーボンヒータの一部と
なるカーボンヒータユニットを管状体とした場合につい
て記載したが、これに限定されず、カーボンヒータユニ
ットを、ヒータ部材の周辺部以外の石英ガラス部材が実
質的に一体化された棒状体とすることができる。図34
には、カーボンヒータ140の一部となるヒータユニッ
ト149の一例が示されている。ヒータユニット149
は、石英ガラス製直管146の両端を石英フランジ16
2と金属フランジ161で密封した構成になっている。
両フランジ161,162を貫通して金属電極144が
設けられており、その内側には炭素電極142が接続さ
れている。2つの炭素電極142の間には、ヒータ部材
141が張られている。石英ガラス製直管146の両端
付近には、N導入及び真空吸引に用いる出入口14
7,148が形成されている。なお、金属電極144は
カーボン製電極であっても良いが、真空状態を維持する
ためには金属製であることが好ましい。図35と図36
には、カーボンヒータ140の一部となるヒータユニッ
ト149の変形例が示されている。図35のヒータユニ
ット149では、石英ガラス製直管46の側面からの突
出部に金属電極44と炭素電極142が挿入されてい
る。図36のヒータユニット149では、石英ガラス製
直管の端面にワイヤー支持突起143が形成されてい
て、ヒータ部材141は支持突起143を経て他端に向
う構成になっている。このため、ヒータ長を最大にする
ことができ、炉内の均熱性向上に役立てることができ
る。図37(A),(B)は、特に半導体製造装置用と
して有効なカーボンヒータの第6実施例を示している。
カーボンヒータ150は、多数の環状管形状ヒータユニ
ット159で形成されている。各ヒータユニット159
は、密閉型の透光性アルミナ製の環状管156にカーボ
ンワイヤー製のヒータ部材151を配置した構成になっ
ている。多数の略環状ヒータユニット150が筒状に重
ねられて、全体として筒型のヒータ150が形成されて
いる。ヒータユニット159の例が図38(A),
(C)及び(B),(D)に示されている。図38
(A),(C)のヒータユニット159は、環の両端が
同一面上に配置されている。一方、図38(B),
(D)のヒータユニット159は、環の両端が上下に重
ね合わされている。ヒータユニット159は、透光性ア
ルミナ製環状管156の両端をフランジ163で密封し
た構成になっている。フランジ163は透光性アルミナ
と金属を張り合わせた構造になっている。フランジ16
3には金属電極154が貫通しており、その内側には炭
素電極142が接続されている。2つの炭素電極の間に
は、ヒータ部材151が接続されている。図37(A)
のカーボンヒータ150では、電極154の位置が縦方
向で揃っており、電極端子位置に位相が生じる。一方、
図37(B)のカーボンヒータ150では、図示のよう
に電極154の位置を自由に設定できる。ヒータユニッ
ト159の重ね合わせ個数は任意に調整可能である。ま
た、各ヒータユニットをパワーコントロールすること
で、より一層均熱性を向上することが可能となる。図3
9に示すヒータユニット159では、透光性アルミナ製
環状管156の両端が当接されており、電極154は管
の断面中央部から放射方向に突出している。このタイプ
のヒータユニット159では、ヒータ長を最大にするこ
とができ、炉体の均熱性を向上できる。図37〜39で
は示していないが、透光性アルミナ製環状管156にお
いても両端部に配管系を設け、管内に窒素ガスを導入し
たり、管内を真空にしたりできる。尚、図37〜39の
例は、カーボンヒータの一部となるカーボンヒータユニ
ットを環状管形状もしくは環状の管体とした場合につい
て記載したが、これに限定されず、いずれもヒータ部材
の周辺部以上の石英ガラス部材が実質的に一体化された
棒状体とすることができる。また、この例は、環状管1
56として石英ガラス製のものを用いても同等の作用を
なすことができる。次は、図40の実施例を説明する。
ヒータ部材161は、スパイラル形状に支持され断熱材
容器166中に図示されない任意の構成によって封入さ
れている。ヒータ部材161の両端には、電極が設置さ
れる。ヒータ部材161の電極部は、カーボン材から成
る。支持電極部162は、金属製又はカーボン製のいず
れでも良いが、不純物汚染を防ぐために、好ましくはヒ
ータと接触する先端部は高純度カーボン製とする。ワイ
ヤ支持部材163は、たとえばアルミナや石英ガラスの
ような非導電性物質で構成される。ヒータ部材が入って
いる断熱材容器166と炉芯管167の空隙は、密閉形
であり、内部に窒素を導入したり、真空状態にすること
ができ、真空度は例えば20又は10torr以下に設
定できる。ヒータユニットを複数個使用して、長尺円筒
状のヒータヒーティングゾーンを形成とすることができ
る。このようにヒータユニットを重ねることにより、中
央部の温度分布の均一性を向上できる。例えば、単一ユ
ニットでは、1000℃で中央ヒータユニットの温度差
が50℃以上あったのに対し、ヒータユニットを三重に
した場合には、5℃以下になることが確認できた。カー
ボンヒーターとして、複数本のカーボンファイバーを束
ねたカーボンファイバー束を複数本用いて編み込んだヒ
ータ部材は、C/Cのものと比べた場合、熱容量を小さ
くできるので、急速急冷のスループットを格段に向上で
きた。また、上記ヒータ部材を用いることで、カーボン
ファイバーのみの場合に比べ発熱ムラが削減できた。ま
た、従来のSiCヒーターでは、電気負荷密度を10W
/cmまでしか上げることができなかったのに対し、
前記カーボンワイヤーを用いた場合には電気負荷密度を
30W/cmまで上げることができ、その結果、約3
倍の高速昇温が可能となった。また、各ヒータユニット
をパワーコントロールすることでより一層の均熱性を向
上することが可能となる。第1グループの発明の特に半
導体製造装置用として有効なカーボンヒータは、均熱性
及びフレキシビリティーに優れ、低コストで製造でき
る。なお、第1グループの発明は前述の実施例に限定さ
れない。図示したカーボンヒータの形状は、あくまで例
示的なものであり、様々な変形が可能である。図30の
第3実施例では、ワイヤー状のヒータ部材の替わりに、
テープ状のヒータ部材を用いることもできる。第2グループの発明 以下、図1〜図28を参照して、第2グループの発明の
好適な実施例を説明する。尚、図1〜28においては、
一部、ヒータ部材11と密封形部材12中の該ヒータ部
材の周辺部が接触した簡略図面となっているが、実際上
は、特許請求の範囲の請求項6に記載される通り、該ヒ
ータ部材の周辺部には(該ヒータ部材表面に形成された
カーボンファイバーの毛羽立ちによって)中空の空間が
形成されているものである。先ず、図1〜図5を参照し
て第2グループの発明の第1実施例を説明する。このカ
ーボンヒータ10は全体的に矩形平板状のヒータであ
り、石英ガラス支持体12にヒータ部材11が封入され
た構造になっている。そして、石英ガラス支持体12
は、図2に示されているように、上記ヒータ部材11の
周辺部に実質的に中空の空間が形成されており、この空
間部を除いて、実質的に一体化された構造となってい
る。尚、ここで実質的に一体化された構成として最も好
ましい形態は、複数枚の石英ガラス板を融着させて本発
明のカーボンヒータを製造した場合に、各石英ガラス板
の接合面において、融着されずに離間している部分や半
融着状態で組織的に不均一な部分が存在しない状態のも
のである。ヒータ部材11としては、複数本のカーボン
ファイバーを束ねたカーボンファイバー束を複数本用い
てワイヤー形状に編み込んだものを用いる。ヒータ部材
11は、石英ガラス支持体12のほぼ中心面上でジグザ
グ状に配置されている。配線形態は、渦巻状やその他の
形状でも良い。ヒータ部材の具体例としては、直径7μ
mのカーボンファイバーを約330本束ねたカーボンフ
ァイバー束を9本(計2970本)用いて、直径約2m
mのワイヤ形状に編み込んだものである。また、上記編
み込みスパンは、3mmであり、カーボンファイバーに
よる表面の毛羽立ちは、各々0.5〜2.5mm程度で
ある。このようなヒータ部材を2、3本或いはそれ以上
用いることもできる。複数本用いると、発熱特性に関わ
る品質を安定させることができる。石英ガラス支持体1
2は、図3に示すように、ワイヤが中心に位置する厚さ
の2枚の石英ガラス板12a、12bを融着して実質的
に一体化したものである。一方の石英ガラス板12bの
接合面には、ヒータ部材11を収容するための配線用溝
14が断面矩形状に形成されている。配線用溝14を含
まない石英ガラス板12a、12bの厚さt,t
同一であり、ヒータ部材11は支持体12の中心に位置
する。ヒータ部材11の端子線は、例えば直径3mmの
穴21からヒータ面13と垂直に引き出されている。図
4は融着処理のやり方を示している。カーボン製下部材
27の上に石英ガラス板12a、12bを配置し、その
上にカーボン製上部材28を載せさらにその上にカーボ
ン材からなる重り29を載せて熱処理炉内にセッティン
グする。下部材27の上面と、上部材28の下面には、
鏡面加工が施されている。また、これらのカーボン部材
は全て、不純物5ppm以下の純化品である。なお、本
カーボンヒータの如く、ヒータ部材の周辺部以外の石英
ガラス支持体が実質的に一体化された構成を採るために
は、特に上記カーボン部材の均質性と石英ガラス支持体
と接する部分の表面粗さが重要である。この表面粗さと
均質性を適切なものとするためには、上記カーボン部材
として開気孔率を15%以下とし、かつ1.8〜2.0
g/cmの嵩密度特性を有するものを用いこれをバフ
研磨ないし鏡面研磨した表面粗さ状態とすることが重要
である。これによってカーボン部材による石英ガラス支
持体全面への均一な加圧が可能となり、また石英ガラス
とカーボンの熱膨脹係数の違いに伴う製造時の石英ガラ
ス中への熱歪の残留を防止することが可能となる。炉内
を1torr以下の真空に保ち、1200〜1600℃
で0.5〜5時間熱処理して、2枚の石英ガラス板12
a、12bの接合面を融着する。この熱処理は、温度が
低いときは長く、高いときは短くし、状況により変更し
て行う。ヒータ部材11の雰囲気、すなわち配線用溝内
の雰囲気が、減圧又は非酸化性雰囲気になるようにして
接合する。冷却に際しては、石英ガラスの歪み点である
1100℃付近での冷却を穏やかに行う。1100℃付
近での冷却速度は、例えば50〜150℃/時間程度に
設定する。このような、熱処理によって、石英ガラス支
持体12、すなわち2枚の石英ガラス板12a、12b
の接合面全体を融着して実質的に一体化することができ
る。すなわち、上記ヒータ部材11の周辺部に実質的に
中空の空間が形成されており、この空間部を除いて実質
的に一体化された構造となっている。なお、上記融着処
理は、熱処理炉内で加熱する方法、つまり、外部からの
加熱手段を採用しているが、これのみならず、所定炉内
で石英ガラス板をカーボン部材によってはさみ、石英ガ
ラス板中のカーボンワイヤを通電発熱させ、石英ガラス
板を融着する方法や、もしくは、例えばカーボン部材の
かわりにAlN等の部材によってはさみ、高周波誘導加
熱によって石英ガラス板中のヒータ部材を発熱させる方
法を採用することもできる。このような内部からの加熱
手段であると石英ガラス板の外周からではなく、中心側
より融着が進むため、石英ガラス板間に存在するガスを
融着時に内部に取り込んで、気泡を残存させることが極
力少なくなる。図5はカーボンヒータの使用状況の一例
を示している。ヒータ部材11の端部が、ヒータ部材1
1からヒータ面13に対してほぼ垂直に引き出され、カ
ーボン端子61を介してMo端子線62に接続されてい
る。これらは石英ガラス管内に配置されている。そし
て、Mo端子線62は、Mo箔63を介して2本のMo
外接線64に接続されている。Mo箔63はピンチシー
ルされている。次に、図6〜図7を参照して、第2実施
例のカーボンヒータを説明する。これ以降の実施例につ
いては、第1実施例との相違点を中心に説明する。図7
のカーボンヒータ10では、石英ガラス支持体12の厚
さ方向で見てヒータ面13に近い側にヒータ部材11が
配置されている。このカーボンヒータ10は、図6に示
すように、厚さの異なる2枚の石英ガラス板12c、1
2dを用いて形成される。例えば、一方の石英ガラス板
12cの厚さtは、他方12dの厚さtの1/2以
下に設定することができる。カーボン発熱体11を収容
する溝14は、厚い方の石英ガラス板12dに形成され
る。ただし、石英ガラス板の厚さとは、配線用溝の部分
を含まない厚さである。上部の石英ガラス板12cは例
えば100×100×3、下部の石英ガラス12dは例
えば100×100×7の寸法で形成できる。次に、図
8〜10図を参照して、第3実施例のカーボンヒータを
説明する。このカーボンヒータ10は、多数の微細閉気
孔を有する不透明(又は発泡)石英ガラス層12eを有
している。不透明石英ガラス層12eはヒータ面の反対
側に配置され、ヒータ下部に輻射熱が伝達するのを防止
する。図8に示すように、ヒータ部材11を配線した石
英ガラス板12dの上下に、薄手の石英ガラス板12c
と不透明石英ガラス板12eを配置し、前述の融着処理
を施す。これにより、図9に示すように、不透明石英ガ
ラス層12eを含み、ヒータ部材11を1本封入した板
状の石英ガラス支持体12によって実質的に一体化され
たものであって、この石英ガラス支持体12中の上記ヒ
ータ部材の周辺部には中空の空間が形成された構造を得
ることができる。図10は、図9のカーボンヒータ10
の変形例である。このカーボンヒータ10では、不透明
石英ガラス層12eが、石英ガラス支持体12の全厚の
約1/2を占めている。また、ヒータ部材11は、不透
明石英ガラス層12eと透明石英ガラス層の間に跨って
配置されている。このように、不透明石英ガラス層12
eを厚くすることにより、ヒータ下方への輻射熱の伝達
防止作用を大きくすることができる。次に、図11〜図
13を参照して、第4実施例を説明する。このカーボン
ヒータ10は、カーボンファイバーからなるヒータ部材
11と、少なくとも少なくともヒータ部材11と対向す
る側の片面が鏡面のカーボン製反射板15とを板状の石
英ガラス支持体12に封入した構成になっている。そし
て、石英ガラス支持体12は、上記ヒータ部材11の周
辺部には、中空の空間が形成され、それ以外の部分にお
いては実質的に一体化されている。石英ガラス上板12
c、石英ガラス中板12d、ヒータ部材11、カーボン
反射板15、及び、石英ガラス下板12eとを図11に
示すように組み立て、前述の融着処理を施すことによ
り、石英ガラス支持体12(12c、12d、12e)
を実質的に一体化させる。石英ガラス下板12eにはカ
ーボン反射板用座ぐり16が設けられているが、座ぐり
16は熱膨張差を吸収するために反射板より少し大きめ
に形成されている。このように、カーボン発熱体の下方
にカーボン製反射板を設けることによって、ヒータ下方
への輻射熱の伝達防止作用を大きくすることができ、ま
た、ヒータ上方への熱輻射をより良好なものとすること
ができる。次に、図14〜図16を参照して、第5実施
例を説明する。この実施例はカーボンヒータ用反射板状
体20であり、前述の反射板を備えたカーボンヒータ1
0(図11〜図13)から、反射板の部分を単独で取り
出したものに相当する。すなわち、カーボンヒータ用反
射板状体20は、少なくとも片面が鏡面のカーボン製反
射板15を、板状の石英ガラス支持体22に封入した構
成になっている。石英ガラス上板22aと、片面が鏡面
のカーボン製反射板15と、反射板用設定座ぐりを有す
る石英ガラス下板22bとを、図14に示す配置で組み
立て、前記融着処理を施すことにより、石英ガラス支持
体22(22a、22b)を一体化させる。このカーボ
ンヒータ用反射板状体20を封止した石英ガラス支持体
22は、図17に示すように例えば、図7のカーボンヒ
ータの下面に重ねて配置することによって、本発明のカ
ーボンヒータの一つの形態とすることができる。石英ガ
ラス下板22bの反射板用設定座ぐりは、熱膨張差を吸
収するために反射板より大きめであり、図15に示すよ
うにそのための空間が形成される。上述のカーボン製反
射板状体15はいずれも熱膨張黒鉛シート、カプトン焼
成体シート、ガラス状カーボンシート等で形成し、厚さ
は20〜2000μmとする。なお、上記シートは、カ
ーボンヒータをよりコンパクト化するために及び、低熱
容量化を図るために、20〜200μmの薄い厚さの構
造とすることが好ましいが、このようなものをより簡易
に低コストで得るためには、カプトンシートを焼成する
ことで製造するカプトン焼成シートが最も好ましい。
尚、上記カーボン製反射板状体に関する説明は、本発明
で記載するカーボン製反射板状体に共通するものであ
る。このカーボンヒータ用反射板状体20はクリーンで
耐熱性に優れており、低熱容量であるため、ヒータの下
側や外側に配置する熱反射板として好適である。図16
は、図15のカーボンヒータ用反射板状体20の変形例
である。このカーボンヒータ用反射板状体20では、石
英ガラス支持体22内に2枚のカーボン製反射板15
a、15bが封着されている。このように小面積をもつ
カーボン製反射板を複数枚並べて構成することによっ
て、同カーボン材の熱膨脹に伴うクラック発生をより効
果的に抑制することが可能となる。尚、図16では、2
枚のカーボン製反射板を1部のみを重複させた構造とし
ているが、上記2枚のカーボン製反射板を全体を重複さ
せた構造としてもよい。この場合には、より効果的な断
熱性が得られる。図18と19の実施例では、カーボン
ファイバーからなるヒータ部材11が配線用溝14内に
2本(3本以上も可)が並列に配置されている。配線用
溝14の底部には、ヒータ部材の本数に合わせて2本の
補助溝14cが形成されている。これにより、ヒータ部
材を例えば3ヶ所の線接触で支持することができ、面接
触に伴う発熱ムラ等の不具合を解消することができる。
図20と21の実施例では、配線用溝の横断面の底部1
4d及び全体14d,14eが断面湾曲形状になってい
る。これにより、複数枚の石英ガラス板を融着一体化す
る際に、配線用溝の横断面形状が熱変形しヒータ部材と
面接触するのを極力防止することができ、石英ガラスと
カーボンの反応に伴うヒータ部材の劣化を防止すること
ができる。また、同熱変形に伴う石英ガラス支持体の内
部歪みの蓄積を抑制することができ、この割れ等の不具
合を防止することができる。さらには、この面接触に伴
うヒータ部材からの発熱量の吸収による、当該ヒータ部
材としての発熱ムラを防止することができる。図22〜
24の実施例では、ヒータ面(外表面)に断面半円状又
は台形状の凸部13a又は13bが形成されている。図
22は、ヒータ面上方から見て、ストライプ状に、また
図23は同心円状に凸部が形成されたものであり、図2
4は格子状に多数の凸部が形成されたものである。これ
らの凸部13a及び13bの表面は、酸水素バーナでの
加熱によるつや出し処理されている。このような構成を
採用することによって、上記ヒータ部材の如き線状ヒー
タ部材によるヒータ面上方への面状発熱を、光の散乱に
よって均一化せしめるといったプリズム効果が得られ
る。通常、同様の効果を得るために、ヒータ面をサンド
ブラスト処理する方法が採用されるが、この場合には、
表面が砂目状となっており、この表面からの放熱が抑制
され、石英ガラス自身に熱を蓄積してしまいエネルギー
効率が低下してしまう。この意味で、上記つや出し処理
されていることは、重要な事項である。さらにまた、同
構成によって、ダストの発生を防止することができる。
凸部13a又は13bの半径又は底部長さは、いずれも
0.5〜5mmが好ましい。0.5mm未満では、微細
な加工のため製造コストが多大となる。また、充分なつ
や出し処理が施せない。さらに、充分なプリズム効果も
得られない。反対に5mmを超えると、発熱ムラが生じ
る恐れがある。また、2つの凸部の間隔は、0.2〜1
mmに設定できる。次に、図25(A)→(D)を参照
して、他のカーボンヒータの製造方法の一例を説明す
る。先ず、図25(A)のように、配線用溝14a(溝
幅:2〜4mm)を形成しこの溝部を酸水素バーナーに
より、所定時間あぶることでつや出し処理した第1石英
ガラス板32aと、この溝14aと対になる挿入用溝1
4b(溝幅:14aの幅より小さく1.5〜2.5m
m)を形成した第2石英ガラス板32bとを、溝同士1
4a、14bが対向(連通)するように接合する。この
接合は、2枚の石英ガラス板32a、32bを一体化さ
せる融着でも良いし、次の研磨又は研削工程に耐え得る
程度の固着でも良い。なお、挿入用溝14bは、配線用
溝の一種と見ることもできる。そして、図25(B)の
ように、第2石英ガラス板32bの表層32dを研磨又
は研削によって削除し、挿入用溝14bを露出させる。
これにより、挿入用溝14bは、ヒータ部材11を挿入
するための挿入窓となる。その挿入窓から、ヒータ部材
11を入れ、内側の配線用溝14aまで押し込む。溝の
断面形状が「凸」の字状であるため、ヒータ部材11を
挿入した後で、ヒータ部材11が溝から盛り上がって飛
び出すことを確実に防止できる。また、これによって、
石英ガラス板の融着を接合面全般にわたって均一かつ確
実に行うことができる。配線後に、石英ガラス板表面3
3のダストを除去し、図25(C)のように、第2石英
ガラス板32bの研磨面33の上に第3石英ガラス板3
2cを載せ、融着処理を行う。融着処理により、3枚の
石英ガラス板の接合面が溶接され、図25(D)に示す
ように、溝14(14a、14b)以外の部分が実質的
に一体化される。この実施例では、融着前の配線用溝1
4a,14bが全体的に「凸」の字状となっている。融
着後には上記「凸」の字状は変形して多少つぶれた形状
となる。このように融着前の配線用溝を「凸」の字状に
することにより、溝周囲部の自重によるたわみなどによ
る熱歪を緩和できる。この自重によるたわみは、特に溝
の上辺で大きくなるので、溝を「凸」の字状にすること
によって、残留する熱歪を少なくできるのである。それ
ゆえ、本実施例では、使用中の熱履歴によって上板に生
じる亀裂やクラックの発生確率を大幅に低減できる。図
25における各部の寸法の一例を挙げると、Lが0.5
〜1.5mm、Mが2mm程度、Nが3mm程度であ
る。また、ヒータ部材としては、その径が2mm程度の
ものを平行して1〜3本配線することができる。カーボ
ンヒータの全体の厚さは、例えば5〜10mmにするこ
とができる。次に、図26を参照して、円弧形断面を有
するカーボンヒータ又はカーボンヒータ用反射板の製造
方法を説明する。この製造方法は、前述のカーボンヒー
タ10を所定形状に湾曲させる方法である。平板状カー
ボンヒータ10を、凸型半円断面を有するカーボン製下
型41と、これと対応した凹型半円断面を有するカーボ
ン製上型42の間に挿入する。上型42は、カーボン荷
重として機能する。もちろん、上型42と別体のカーボ
ン荷重を用いても良い。上下型41、42の側部には、
ズレ防止用カーボン型43が配置される。ズレ防止用型
43は、上型42が真下に移動するようにガイドする。
このようにセッティングしたものを、熱処理炉内に挿入
し、1500〜1600℃で1〜5時間加熱することに
より、厚さ5〜15mm程度の平板状のカーボンヒータ
10を断面円弧状に変形させることができる。断面円弧
状の例としては、1/3円弧や1/2円弧があり、図2
7のカーボンヒータ40は断面半円形(1/2円弧)で
ある。図28に記載のカーボンヒータは、図19のカー
ボンヒータ40を2個組み合わせた円筒ヒータであり、
ほぼ円筒形のヒータ面を形成している。端子線には、石
英ガラス管19が被せてある。一方、カーボンヒータ用
反射板も、柔軟な変形をするシート状の上述したような
カーボン製反射板のものを用いればカーボンヒータと同
様にして円弧状に変形することができる。図26では、
括弧付きの符号でそれを示した。このような、円弧状に
変形させたカーボン製反射板は、上記の同様に変形させ
たカーボンヒータに、隣接配置して一体的に使用するこ
とができる。エグザンプル2−1 以下の手順で、図7のカーボンヒータを製造した。10
0×100×3tの上部石英ガラス板を準備し、融着面
に鏡面仕上げを施した。また、ピッチング防止のためC
0.2の面取りを行った。また、100×100×7t
の下部石英ガラス板を準備し、深さ4mm、幅2mmの
配線用溝を加工しその後、この溝部を酸水素バーナーに
よるつや出し処理を行った。また、融着面を鏡面加工
し、C0.2の面取りを行った。上記鏡面仕上げの替り
に、火炎によるつや出しを行っても良い。図4と同様に
して、熱処理炉内で、カーボンファイバーから成るヒー
タ部材を下部石英ガラス板の配線用溝に配置し、この際
に下部石英ガラス板表面に付着したカーボンファイバー
くずを完全に除去した後、その上に上部石英ガラス板を
載せ、これらをガラス状カーボン鏡面板の上にセットし
た。その上に、10kgのカーボンブロック重りを置い
た。なお、これらのカーボン部材は全て、不純物5pp
m以下の純化品を用いた。カーボン材が未純化である
と、石英ガラス表面が失透する恐れがあり、石英ガラス
に不純物がついて半導体製造装置内で拡散する可能性が
あるからである。そして、炉内を1torr以下に減圧
し、1450℃で3時間の熱処理を実施した。冷却に際
しては、石英ガラスの歪み点である1100℃付近では
穏やかに冷却を行った。すなわち、1450〜1000
℃での冷却速度は100℃/時間に設定した。それ以外
の温度領域での冷却速度は、特に制御しなかった。以上
の融着処理によって、上下部石英ガラス板の接触部分
は、完全に融着され、外観上は一体の石英ガラス内部に
上記ヒータ部材が配線された構造になった。上記ヒータ
部材は、荷重により多少圧迫されていた。石英ガラス板
に設けた配線用溝も、融着の際に変形して圧迫され、溝
幅と、溝深さが共に小さくなった。そして、このカーボ
ンヒータを用い、図5のように端子部カーボンワイヤを
石英ガラスパイプ中に通して電源に接続し、昇温試験を
行った。その結果、ヒータ温度で1350℃まで問題な
く加熱することができた。また、室温〜1200℃の間
で100回昇降温を繰り返したが、クラック発生等の問
題はなかった。尚、同様の製造方法によって両石英ガラ
ス板の全接触面積中の5%の未融着部を有するものを製
造し、上記評価を行なったが同等の結果であった。(こ
の未融着部は、ヒータ部材を配線用溝に配置した際に下
部石英ガラス板表面に付着したカーボンファイバーくず
の除去が完全に行なわれない場合に生ずるものであ
る。) さらにまた、これら2つのカーボンヒータを用いて、各
々についてリング状サセプタにより外周部を支持したφ
200mm半導体ウエハを、真空中の炉内で約50mm
下方から1000℃に加熱する試験を行なったが、いず
れの場合においても上記半導体ウエハの上面内の温度ム
ラを±0.5℃の範囲以内に抑制することができた。他
に、大気中の炉内で上記カーボンヒータ中のヒータ部材
の温度を1300℃に設定し、これを長時間持続するこ
とで、石英ガラスとカーボン製ヒータ部材との反応評価
試験を行なったが、2500時間経過した現在において
も、上記いずれのカーボンヒータにおいて何ら問題が生
じていないことが確認されている。第2グループの発明
のカーボンヒータにおいては、石英ガラス支持体が融着
によって一体化されているため、応力集中が生じず、長
寿命を享受できる。しかも、ヒータ部材を支持する石英
ガラス支持体がヒータ部材の周辺以外で一体化されてい
るので、石英ガラス支持体を薄くして熱容量を小さくで
きる。それゆえ、急速昇降温に対応できる。第2グルー
プの発明のカーボンヒータ用反射板状体は、クリーンで
耐熱性に優れているため、ヒータの下側や外側に配置す
る熱反射板として好適である。また、前述の理由により
肉薄化・低熱容量化できるので、特に半導体熱処理装置
のヒータ用として好適である。第2グループの発明のカ
ーボンヒータ及びそれ用の反射板の製造方法によれば、
前述のような効果を有する高品質のカーボンヒータ及び
反射板を低コストで効率良く製造することができる。な
お、第2グループの発明は前述の実施例に限定されな
い。例えば、カーボンヒータや反射板の形状は、矩形に
限らず円形や他の様々な形状を採用できる。また、ヒー
タ部材は石英ガラス支持体内において、2段以上に配置
することもできる。第3グループの発明 以下、図62〜図69を参照して第3グループの発明の
好適な実施例を説明する。図62は、第3グループの発
明の特に半導体製造装置用として有効なカーボンヒータ
を示す概略図である。カーボンヒータ410は全体的に
平板形状に形成されている。カーボンヒータ410は、
石英ガラス製の設定部材412の設定凹所413に、発
熱体としてカーボンファイバーからなるヒータ部材41
1を配置し、石英ガラス製の蓋部材414を被せた構成
になっている。従って、ヒータ部材411は、石英ガラ
スに挟み込まれる格好となる。ヒータ部材411の具体
例としては、直径7μmのカーボンファイバーを400
本束ねたカーボンファイバー束を9本用いて、直径約2
mmのワイヤ形状に編み込んだものがある。また、上記
編み込みのスパンは約3.2mmであり、カーボンファ
イバーによる毛羽立ちは各々1.0〜3.0mm程度で
ある。ヒータ部材411の配線形態は任意で良い。図示
の例ではジグザグ状であるが、渦巻状やその他の形状で
も良い。また、複数のゾーンに分割することも可能であ
る。その場合には、端子は複数個必要となる。図63、
図64にも示すように、設定部材412は、全体的に矩
形の石英ガラス板である。設定部材412には、ヒータ
部材411の設定凹所となる蛇行した溝413が形成さ
れている。溝413の両端には、幅広のターミナル設定
部421が設けられている。カーボンターミナル設定部
421からは、金属電極通し溝422が外部まで伸びて
いる。設定部材412には、非酸化性ガスを導入するた
めのガス導入溝423も形成されている。金属電極通し
溝422とガス導入溝423には、それぞれ金属電極用
石英ガラス管428とガス導入用石英ガラス管429が
接続されている。石英ガラス管428、429は設定部
材412に溶接され、溶接後に、クラック発生を防ぐた
めのアニール処理が施されている。これらの石英ガラス
管428、429は、補強棒431によって補強するこ
とができる。溝413は、例えば、板状の設定部材41
2をダイヤモンドドリルを用いた機械加工によって堀削
し、加工表面を平滑化して形成する。切削加工表面には
無数のチッピングが存在するが、これは熱衝撃によるク
ラック発生の原因になるので、鏡面研磨もしくはつや出
し処理での平滑化を行う。特に上述ように発熱ムラを防
止するためには、酸水素バーナでの加熱によるつや出し
処理を行うことが最適である。但し、後述するように、
溝内にアルミナ粉415を充填する場合には、上記平滑
化は必ずしも必要ではない。ここで鏡面とは、表面粗さ
Rmax(最大高さJIS B0601−1982に基
づく。)が1μm以下の面をいう。溝413の表面の表
面粗さRmaxが1μmより大きい場合には、ヒータ部
材との局部的な接触が生じ、その領域で反応性が高まり
ヒータ部材の寿命が短くなる。すなわち、石英ガラスと
カーボンがSiO+3C→SiC+2COやSiO
+2C→SiC+COの反応を起し、ヒータ部材41
1がダメージを受けることになる。例えば、1200
℃、300時間で珪化による10%抵抗増加が確認され
た。溝413内には1本または複数本のヒータ部材41
1を配置することができるが、溝413の深さはこれら
の正味の太さよりも深くすることが好ましい。また、ヒ
ータ部材411と蓋部材414が面接触しないようにす
ることも大切である。図68、69に示すように、設定
部材412と蓋部材414は、酸水素バーナを用いた溶
接427によって密封固定されている。設定部材412
と蓋部材414の対向面はLの距離をおいて対向してい
る。距離Lは、0.2〜1mmである。距離Lを短め
(0.2mm付近)にする場合には、設定部材412と
蓋部材414の対向面を鏡面加工することが好ましい。
これは、つや出しで面ダレが発生した時に、対向面が接
してしまい、破損を招く可能性があるからである。距離
Lが0.2mm未満では、破損の可能性が大きくなる。
距離Lを長め(1mm付近)にとる場合には、面接触の
恐れがないので鏡面加工の必要はない。距離Lが1mm
を超える場合には、溶接用火炎が入り込み、発熱体41
1が酸化される恐れが大きくなる。設定部材412と蓋
部材414の周囲には、開先が設けてある。これによ
り、設定部材412と蓋部材414の溶接強度を飛躍的
に向上できる。開先を設けずに直角コーナのみを溶接す
ると、辺溶接となって十分な溶接強度を得ることができ
ない。例えば、設定部材412と蓋部材414の肉厚が
6mmの場合には、開先の面取りをC5とする。一般に
は、Cの幅:yは、y≦t−1mm(tは肉厚)によっ
て選定されることが好ましい。これは設定部材412と
蓋部材414の上端及び下端を1mm程残すことによっ
て、これらのチッピングを防止するためである。また、
溶接は開先部だけでなく、符号427で示すように1m
m程度肉盛り溶接することが好ましく、その場合にはさ
らに強度を向上できる。なお、設定部材412と蓋部材
414の間隔を開けることは、溶接時の局部的な温度差
による破損を防止するのにも役立つ。設定部材412と
蓋部材414の間隔を設けて、これらを溶接するために
は、図69の場合には、厚さが0.2〜1mmのスペー
サを介在させ、設定部材412と蓋部材414の外周部
を3〜4点肉盛溶接し、スペーサを取り除いた後に、外
周部全域を肉盛り溶接すればよい。また、図68のよう
に蓋部材414の外周側全域にあらかじめ高さ0.2〜
1mmで幅0.1〜9mm程度の防炎堤434を蓋部材
414に一体成形もしくは溶接により形成したおき、こ
の設定部材412と蓋部材414を重ね合わせた後、所
定の石英ガラス棒を開先部にあてがいながら酸水素バー
ナーで加熱することによって防炎堤部を溶着させ、さら
に肉盛部427を形成して溶接することができる。特に
後者の方法によればバーナー加熱によるヒータ部材の
酸化を極力防止することができ、設定部材と蓋部材の
間隔寸法をより均一化することができ、さらに設定部
材と蓋部材の外周部にSiO微粉による白色の曇りが
発生するのを防止でき、本カーボンヒータの均熱性を向
上せしめることができる。尚、防炎堤434は、蓋部材
414に0.2〜1mmの高さで設けてもよく、さらに
は、設定部材412及び蓋部材414いずれにも、トー
タル高さが0.2〜1mmとなるように設けてもよい。
図65に示すように、設定部413にアルミナ粉415
を充填し、そのアルミナ粉415でヒータ部材411を
支持すると有利である。アルミナ粉415は、設定部4
13にアルミナ粉415及びヒータ部材411を配置し
た後で、1300℃程度の熱処理を行って焼結させる。
これによってカーボンヒータの使用最高温度をより確実
に1350℃程度まで引き上げることができる。アルミ
ナ粉末は、例えば、次の手順で配置する。石英ガラス管
428、429を溶接しアニールした後で、設定部材4
12の溝413にアルミナ粉末を純水で解いたペースト
を流し込み、ヒータ部材411を設定した後で、ヒータ
部材の上部にもアルミナペーストを流し込む。そして、
200℃、3時間乾燥機で水分を除去する。カーボンタ
ーミナル設定部421にはカーボンターミナル416が
配置してあり、そこにヒータ部材411の両端が各々接
続されている。図66、図67に示すように、ヒータ部
材411はカーボンターミナル416の穴に差し込ま
れ、ネジ425によって固定されている。また、カーボ
ンターミナル416には、Mo製の金属電極417も接
続されている。金属電極417の先端にはネジ426が
切ってあり、カーボンターミナル416のネジ穴にネジ
込み固定されている。金属電極417は石英ガラス管4
28を通って外側に引き出され、電極432に接続され
ている。そして、前述と同様に設定部材412と蓋部材
414を溶接し密封固定した後に、石英ガラスの歪みを
1150℃の熱処理によって解消する。この熱処理によ
って乾燥されたアルミナ粉末は仮焼状態となるが、これ
をさらに1300℃の熱処理を施すことによって焼結体
とすることができる。設定部材412と蓋部材414の
間には、0.2〜1.0mmの隙間が開いている。ガス
導入管429にはフレキシブルチューブ433が接続さ
れ、そこから窒素ガス等の非酸化性ガスが吹き込まれる
(矢印G)。吹き込まれたガスは、ガス導入通路423
を通り、カーボンターミナル周辺部に流れる。そして、
金属電極用石英管428を通って排出される。また、ヒ
ータ部材411にもガスを供給するようにできるが、そ
の際には、温度ムラが生じ易くなるため注意が必要であ
る。エグザンプル3−1 アルミナ粉を用いずに図62に示す形態のカーボンヒー
タを作成した。このカーボンヒータに窒素ガスを導入し
ながら加熱試験を行ったところ、カーボンワイヤヒータ
部の温度が850℃で51V10.6Aであった。10
0時間使用しても抵抗変化はなく、安定した加熱を行う
ことができた。更に、カーボンヒータの温度を1300
℃にしても2000時間以上問題なく使用可能であっ
た。エグザンプル3−2 アルミナ粉を用いてカーボンワイヤを支持した点以外は
エグザンプル3−1と同様にして、カーボンヒータを作
成した。窒素ガスを導入しながら加熱試験を行い、13
50℃のヒータ温度(アルミナ粉表面温度)で200時
間連続使用したが、抵抗増加等の不具合は無かった。そ
の後、さらに温度を上昇させたところ、アルミナ粉表面
が1550℃でヒータ部材が断線した。第3グループの
発明の特に半導体製造装置用として有効なカーボンヒー
タは、従来のヒータに比べて耐用寿命が大幅に長く、ま
た急速昇降温が可能である。なお、第3グループの発明
は前述の実施例に限定されない。例えば、ヒータの全体
的形状は矩形平板に限らず、円形板や円筒形でも良い。
また、設定部材だけでなく、蓋部材にも溝を形成するこ
とができる。第4−1グループの発明 以下、図87、図89〜92を参照して第4−1グルー
プの発明の好適な実施例を説明する。図89は第4−1
グループの発明による特に半導体熱処理装置用として有
効なカーボンヒータの使用状態を示す斜視図である。ま
た、図90はカーボンヒータの詳細を示す上面図、図9
1は一部分を省略した側面図、また図87は図91の一
部分の拡大図である。第4−1グループの発明のカーボ
ンヒータ610では、複数本のカーボンファイバーを束
ねたカーボンファイバー束を複数本編み込んでワイヤ状
にしたヒータ部材612を使用する。ヒータ部材612
として用いるカーボンワイヤの具体例としては、例え
ば、直径7μmのカーボンファイバーを300本束ねた
カーボンファイバー束を9本用いて、直径約2mmのワ
イヤ形状に編み込んだものがある。また、上記編み込み
のスパンは約3mmであり、カーボンファイバーによる
表面の毛羽立ちは各々0.5〜2.5mm程度である。
ヒータ部材612は、石英ガラス支持体12のほぼ中心
面上で同心円状にジグザグに配置されている。配線形態
は、渦巻状やその他の形状でも良い。ヒータ部材612
の端子線は、例えば直径3mmの穴21からヒータ面1
3と垂直に引き出されている。配線用溝は、「凸」の字
状に形成されている。熱処理によって、石英ガラス支持
体602、すなわち2枚の石英ガラス板の接合面全体を
融着して実質的に一体化されている。すなわち、このカ
ーボンヒータはヒータ部材612を2本封入した板状の
石英ガラス支持体602によって実質的に一体化された
ものであって、この板状の石英ガラス支持体602中の
上記ヒータ部材612の周辺部には、該ヒータ部材61
2の表面に形成されたカーボンファイバーの毛羽立ちに
よって中空の空間が形成された構造となっている。この
実施例の端子部作製方法を説明する。 1)Nを流しながら大径(例えば直径19mm)の石
英透明パイプ603を平板状石英容器に溶接する。割れ
防止のため適宜アニール処理(例えば1150℃、1h
rで除冷)を行う。 2)小径(例えば直径9mm)の石英パイプ661中に
ヒモを用いて複数のワイヤー状カーボンを引張り込む。
そして、この石英パイプを石英容器の設定穴に挿入す
る。なお、ワイヤーは小径の石英パイプ661中にきつ
めに配置される。 3)各部材を図87のように配置して、接続部材640
を組み立てる。その際、カラ廻り用カーボン材662の
作用で、カーボンワイヤの切断を防止できる。 4)予め溶接により接合されていた不透明パイプ603
aの下部に、透明パイプを溶接する。その際、枝パイプ
664からNガスを導入してヒータ部材の酸化を防
ぐ。 5)Nを導入しながら下部透明パイプの下側に封止端
子を取り付ける。 6)枝管664から真空引きし、ヒータ内部を減圧す
る。その後、技管664のつけ根664aを火炎で丸め
て封着し、枝管664を取る。 ヒータ部材612の端部は、ヒータ部材からヒータ面に
対してほぼ垂直に引き出され、カーボン端子を介してM
o端子線641に接続されている。これらはガラス管内
に配置されている。そして、Mo端子線641は、Mo
箔655を介して2本のMo外接線653に接続されて
いる。Mo箔655はピンチシールされている。尚、上
記第4−1グループの発明のカーボンヒータは、上記の
端子部以外は上述の第2グループの発明のカーボンヒー
タと同一の構成であり、また同等の製造方法によって製
造される。また、第4−1グループの発明のカーボンヒ
ータは、上記端子部及び石英ガラス支持体(融着方法)
以外の構造は、後述する第4−2グループの発明のカー
ボンヒータと同じ構成にすることができる。このような
構成にすることにより、本カーボンヒータの上方に約1
00mm離間し配置した半導体ウエハ面上での温度ムラ
を±0.5℃以下に保つことができる。また、コンパク
ト化が可能であり、製造が容易でコスト的にもメリット
が大である。石英透明ガラスパイプ603の途中に配置
した不透明石英ガラスパイプ603aは、ヒータ部から
伝わる石英透明ガラスパイプ603の内部の熱幅射及び
これ自身による熱伝導を遮断する効果がある。これによ
り、Moロッド641、653の酸化を防止でき、さら
に石英ピンチ部656の破損を効果的に防止できる。ま
た、この実験例では、中子635と円筒中子648の間
にカラ迴り用カーボン材662を介在させているので、
中子によってワイヤー状カーボンを押圧する際に、中子
が回転してカーボンワイヤが切断される不具合を解消す
ることができる。第4−2グループの発明 以下、図70〜図75を参照して第4−2グループの発
明の好適な実施例を説明する。図70は第4−2グルー
プの発明による特に半導体熱処理装置用として有効なカ
ーボンヒータの使用状態を示す斜視図、図71はカーボ
ンヒータ単体を示す斜視図である。また、図72は図7
1のカーボンヒータの詳細を示す上面図、図73は一部
分を省略した側面図である。第4−2グループの発明の
カーボンヒータ510では、複数本のカーボンファイバ
ーを束ねたカーボンファイバー束を複数本編み込んでワ
イヤ状にしたヒータ部材515を使用する。ヒータ部材
515の断面は、円形に限らず偏平した形状でも良い。
ヒータ部材515の具体例としては、例えば、直径7μ
mのカーボンファイバーを300本束ねたカーボンファ
イバー束を9本用いて、直径約2mmのワイヤ形状に編
み込んだものがある。また、上記編み込みのスパンは約
3mmであり、カーボンファイバーによる表面の毛羽立
ちは、各々0.5〜2.5mm程度である。このような
ヒータ部材515を用いることにより、電流負荷密度を
従来のMo−Si線に比べて1.5倍程度に向上でき、
急速加熱が可能となる。ヒータ部材515は石英ガラス
平板容器の下容器511の設定凹所516内に配置さ
れ、そこに上容器512が被せられる。ヒータ部材51
5は、石英ガラス平板容器の下容器511と上容器51
2の間に挟まれる格好で、容器内に封じ込まれる。上容
器512の上面がヒータ面512となるが、この実施例
ではヒータ面512は半円形の平面である。設定凹所5
16は図72の平面で左右対称に配置されており、その
対称線上にガスを導くためのガス通路517とガス導入
・排出口518が形成されている。ヒータ部材515の
配線形態、すなわち設定凹所516の形状は任意で良
い。図示の例ではジグザグ状であるが、渦巻状やその他
の形状でも良い。石英ガラス容器511、512は、半
円形状で中心部に半円形の切欠きを有する割型になって
いる。それゆえ、図70に示すように、2個組み合わせ
ればドーナツ状ヒータ520を形成できる。設定凹所5
16の両端部には棒状端子挿入部519が形成してあ
り、棒状端子521がヒータ面531と垂直に配置され
ている。棒状端子521には、ヒータ部材515の端部
が接続されている。上容器512の対応位置にも、棒状
端子用の溝が形成されている。棒状端子挿入部519に
は石英ガラス管513が接続されている。石英ガラス管
513は、下容器511の下面にヒータ面531と垂直
に密封固定されている。石英ガラス管513の一部に不
透明石英を使用することができる。この場合、ヒータ側
からの光による熱伝達及び熱伝導を抑えることができ
る。そして、それより下部に配置する部材の温度上昇を
抑制し、当該部材を保護すると共に熱ロスを防止するこ
とができる。石英ガラス管513の内部において端子部
本体523と棒状端子521は、複数のワイヤー状カー
ボンからなる端子線522によって接続されている。端
子部本体523からはMo製の内接線524が下方に導
き出されている。このように、導電線として複数のワイ
ヤー状カーボンからなる端子線522を使用することに
より、電気抵抗を下げ発熱を抑えることができる。石英
ガラス管513の下端部には、石英ガラス製のキャップ
526が接続されている。内接線524はキャップ52
6を通って下方に引き出されている。引き出された内接
線524の下端部はMo製の箔体528の上部に接続さ
れている。箔体528の下部にはMo製の外接線529
が接続されている。外接線529は、図73では二極で
あるが一極の場合もある。Mo製の箔体528は石英封
止端子527によって密封されている。この石英封止端
子527は、石英製のキャップ526の先端部を加熱軟
化させピンチして(はさみ込んで)密封している。とこ
ろで、内接線524をそのままキャップの外に出してピ
ンチした場合には、Moと石英の熱膨張係数差によって
石英封止端子527に亀裂等が発生し、密封性が阻害さ
れてしまう不具合が生じる。このような不具合を解消す
るために、箔体528を介在させ、石英封止端子527
でピンチして密封するのである。図74、図75に示す
ように、上容器512と下容器511の対向面はLの距
離をおいて対向している。距離Lは、0.2〜1mmで
ある。距離Lを短め(0.2mm付近)にする場合に
は、上下容器511、512の対向面を鏡面加工するこ
とが好ましい。これは、つや出しで面ダレが発生した時
に、対向面が接してしまい、破損を招く可能性があるか
らである。距離Lが0.2mm未満では、破損の可能性
が大きくなる。距離Lを長め(1mm付近)にとる場合
には、面接触の恐れがないので鏡面加工の必要はない。
距離Lが1mmを超える場合には、溶接用火炎が入り込
み、発熱体515が酸化される恐れが大きくなる。上下
容器511、512の周囲には、開先が設けてある。こ
れにより、上下容器511、512の溶接強度を飛躍的
に向上できる。開先を設けずに直角コーナのみを溶接す
ると、辺溶接となって十分な溶接強度を得ることができ
ない。例えば、上下容器511、512の肉厚が6mm
の場合には、開先の面取りをC5とする。一般には、C
の幅:yは、y≦t−1mm(tは肉厚)によって選定
されることが好ましい。これは上下容器511、512
の上端及び下端を1mm程残すことによって、これらの
チッピングを防止するためである。また、溶接は開先部
だけでなく、符号532で示すように1mm程度肉盛り
溶接することが好ましく、その場合にはさらに強度を向
上できる。なお、上下容器511、512の間隔を開け
ることは、溶接時の局部的な温度差による破損を防止す
るのにも役立つ。上下容器511、512の間隔を設け
て、これらを溶接するためには、図75の場合には、厚
さが0.2〜1mmのスペーサを介在させ、上下容器5
11、512の外周部を3〜4点肉盛溶接し、スペーサ
を取り除いた後に、外周部全域を肉盛り溶接すればよ
い。また、図74のように、上容器512の外周側全域
にあらかじめ高さ0.2〜1mmで幅0.1〜9mm程
度の防炎堤534を上容器512に一体成形もしくは溶
接により形成したおき、この上容器512と下容器51
1を重ね合わせた後、所定の石英ガラス棒を開先部にあ
てがいながら酸水素バーナーで加熱することによって防
炎堤部を溶着させ、さらに肉盛部532を形成して溶接
することができる。特に後者の方法によればバーナー
加熱によるヒータ部材の酸化を極力防止することがで
き、上下容器の間隔寸法をより均一化することがで
き、さらに上下容器の外周部にSiO微粉による白
色の曇りが発生するのを防止でき、本カーボンヒータの
均熱性を向上せしめることができる。尚、防炎堤534
は、下容器511に0.2〜1mmの高さで設けてもよ
く、さらには、上容器512及び下容器511いずれに
も、トータル高さが0.2〜1mmとなるように設けて
もよい。上下容器511、512の溶接は、ガス導入・
排出口518に接続したガス導入用パイプ514から、
窒素ガスを導入しながら行う。窒素ガスを導入して窒素
ガスを周囲から流れ出させ、溶接用の酸水素火炎を押し
戻すようにして、設定凹所516に配置した発熱体51
5の酸化を防止する。ガス通路517は、このような窒
素ガスの作用に適するように配置する必要がある。ま
た、窒素ガスの導入は、石英ガラスパイプ中の端子や端
子線のワイヤー状カーボンの酸化防止にも役立つ。溶接
後に行うアニール工程でも、窒素ガスを導入しながら作
業を進める。その後で、やはり窒素を導入しながら、ワ
イヤー状カーボン522を石英ガラス管513にセット
し、封着用端子523を取り付ける。端子部本体設定後
のアニール工程も窒素ガスを導入しながら行う。導入ガ
スとしては、窒素、ヘリウム、アルゴン、ネオン等の非
酸化性ガスを用いることができるが、経済性の点からは
窒素が妥当である。石英ガラス容器の組み立てが終わっ
たら、容器内の排気を行って容器内を所定の圧力に設定
する。これによって、前述の石英ガラス管513内も、
所定の減圧状態となる。一般に、カーボン材は、酸化さ
れ易いため窒素等の非酸化性ガスを容器内に充填するか
又は容器内を真空にする必要がある。しかし、真空状態
では、カーボン材と石英ガラスとの反応が促進する傾向
があるため、本発明では容器内に非酸化性ガスを充填す
る方法を採用する。窒素ガスを導入しながらヒータを加
熱する方法では、窒素ガスラインの設置等により熱処理
装置の構造が複雑になるため、本発明の好ましい態様で
は容器内部を密閉型にして若干の窒素ガスを内部に封入
する。封入圧力は、以下のようにして定める。例えば、
1000℃で使用し、熱処理炉内の圧力が真空と常圧の
両方で使用する場合は、ヒータ内部の圧力は、0〜1a
tmの中間をとって0.5atm程度に設定する。10
00℃で0.5atmにするためには、室温20℃では
0.5atm×293K/1273K×760Torr
/atm=87Torrとなり、室温で87Torrに
なるようにヒータ内部を減圧してから封じる。ヒータ内
部は、例えば0.1気圧程度に設定する。すなわち、窒
素ガスを導入しながら組み立て作業を行い、組み立て終
了後にガス導入管514から窒素ガスを抜き、容器内部
を所定の圧力に調整する。容器内を減圧することは、石
英ガラス容器の寿命の点でも有利である。コンピュータ
シミュレーションの結果、ヒータ用の石英ガラス容器で
は、外部からの圧力より内部からの圧力に対して破損に
弱いとの結果が得られている。窒素ガスを常温で1気圧
に封入すると、ヒータ加熱時には内部の窒素が膨張し、
石英ガラス容器の内部から圧力が作用することになる。
最後に、ガス導入管514は、下容器511の下面に近
い位置で火炎により封じて除去する。このため、ガス導
入管514と石英ガラス管513は、閉栓作業ができる
程度の間隔をおいて配置する。なお、本発明の特に半導
体処理装置用としての有効なカーボンヒータは上述のよ
うな熱処理装置のみならず、半導体を高温化で洗浄を行
う洗浄装置などにも適用することができる。エグザンプル4−2−1 厚さ8.0mmの石英ガラス板に溝加工及び外径加工を
施し、その後、加工表面に酸水素火炎でつや出し処理を
行って外径240mmの半円形、石英ガラス製下容器を
得た。また、厚さ8.0mmの石英ガラス板を用いて下
容器に対応する上容器を形成した。下容器にはガス導入
用の石英ガラス管と端子用の石英ガラス管を下容器に溶
接した。前者の外径は6.5mm、後者の外径は25.
4mmであった。下容器の溝内及び端子用ガラス管内に
ヒータ部材と端子一式を配置して上容器を被せ、ガス導
入用ガラス管から窒素ガスを導入しながら、上下容器の
外周を溶接した。なお、開先はC5とし、溶接肉盛りは
1mmとした。これ以降の工程も、原則として、窒素ガ
スを導入しながら行った。ヒータ部材の他端の端子部本
体を石英ガラス管の解放端に配置して封着した。そし
て、アニール処理を行った。最後に、ガス導入用の石英
ガラス管から窒素ガスを排気し、容器内圧力を180T
orrに設定して、ガス導入用管を封着除去した。以上
の手順で製作した断面T字形のカーボンヒータを用い
て、加熱試験を行った。発熱体に電流を流し、ヒータ温
度が放射温度計で1100℃になった時点でヒータ内圧
力を測定したところ、約1気圧であった。また、複数本
のカーボンワイヤ束部の温度は、105℃であった。室
温からヒータ温度が1100℃になるまでに要した時間
は、約10秒であった。ヒータ温度1100℃で100
0時間継続使用したが、異常は認められなかった。ま
た、ヒータ温度1300℃の加熱も問題なく行うことが
できた。以下、図76〜図86を参照して第4−2グル
ープの発明のより好適な実施例を説明する。図76は、
本発明のカーボンヒータ用端子装置を適用したカーボン
ヒータを示す斜視図である。図77は、その上面図であ
る。カーボンヒータ601は、半ドーナツ形の石英ガラ
ス製容器602を有し、その下部に石英ガラス管603
が垂直に接続されている。石英ガラス製容器602は容
器本体とフタ部材から構成され、容器本体にはヒータ部
材612を配置するための溝604が形成されている。
溝604の両端には、端子装置を配置するための端子用
凹所606が設けてある。また、容器602内を非酸化
性雰囲気にするためのガス導入・排出口608とガス通
路607も形成されている。カーボンヒータ601を2
個組合わせて円形のヒータ面を形成し、半導体製造装置
用ヒータとして用いることができる。端子用凹所606
及び石英ガラス管603内には、本発明における端子装
置が配置される。本発明の端子装置には、ヒータ部材6
12と複数のワイヤー状カーボン端子線613を接続す
るための第1の端子装置610及び600、複数のワイ
ヤー状カーボン端子線613と金属製端子線641を接
続するための第2の端子装置640、石英ガラス管60
3の内側の金属端子線(内接線)641と電源側の金属
製端子線(外接線)653を接続するための第3の端子
装置650の3種類がある。先ず、図78〜図83を参
照して、第1の端子装置について説明する。この端子装
置620は、中間部材634を用いて端子部材611と
端子線接続部材616を接続する構成になっている。棒
状端子部材611の外形は、全体的に円柱棒状である。
棒状端子部材611の一端側には、ヒータ部材612を
挿入するための貫通穴614が端面と平行に形成されて
いる。この貫通穴614に通じるように、ねじ穴623
が設けてある。貫通穴614とねじ穴623は、図80
に示すように、貫通穴614の中央でT字形に交差して
いる。貫通穴614にヒータ部材612を挿入し、ねじ
穴623に固定用ねじ619をねじ込んで発熱体612
を固定する。このようにすれば、確実にしっかりと固定
でき、スパークを発生させずに棒状端子部材611から
ヒータ部材612に電力を供給することができる。棒状
端子部材611の他端側には、端子線ガイド部材616
を接続するための大径のめくらねじ穴615が形成され
ている。めくらねじ穴は軸線上に配置される。端子線ガ
イド部材616は、中間部材633を介し、端子部材6
11に連結される。中間部材633は、外周におねじ部
634を有する円筒形の部材である。端子線接続部材6
16は、全体的に円筒状に形成される。その貫通穴は、
下端部付近で円錐状に狭くなっている。その反対側の接
続端側の内周には、中間部材633のおねじ部634に
対応するめねじ部622が形成されている。端子線接続
部材616の貫通穴内には中子部材635が挿入され
る。中子部材635はプレーンな円筒状であり、端子線
側の端部は円錐状に突出している。中子部材635は、
その一部分のみが端子線接続部材616の貫通穴内に挿
入されるようにすることもできる。そのためには、例え
ば、中間部材633に凹所を形成すれば良い。ワイヤー
状カーボンからなる端子線613は、端子線接続部材6
16と中子部材635の間に挟まれて分配された状態で
押圧固定される。中子部材635の外側に浅い溝を複数
本設けて、分割したワイヤを案内するようにしても良
い。組み立てに際しては、図78に示すように、複数の
ワイヤー状カーボン端子線613を複数のワイヤ613
aに適当に分配して中子部材635で軽く押え、ズレな
いようにして中間部材635のネジ込みを行う。このよ
うに複数のワイヤー状カーボン端子線613を配置した
接続部材618と中子部材631のセットを、中間部材
633を介して端子部材611に捩じ込むことにより、
前記溝状領域に配置された端子線613aを端子部材6
11に強く接続することができる。従って、良好な導通
が保証される。また、形状柔軟性があるヒータ部材を、
熱膨張や熱変形に対して、カーボンヒータ内で異常な応
力を生じさせずに接続することが可能となる。上記ワイ
ヤー状カーボン端子線613は、ヒータ部材612と同
じ材質である方が良い。また、材質が異なる場合には、
複数本のワイヤー状カーボンの端子線613の単位長さ
当たりの抵抗値を、ヒータ部材612のそれより相応に
小さくして、端子線の発熱を十分に抑えるようにする。
ワイヤー状カーボン端子線613とヒータ部材612の
温度は、概ね電気的抵抗値比率となった。例えば、ヒー
タ部材612の抵抗値が10Ω/m・本で端子線613
が1Ω/m・本の場合には、ヒータ部材612の温度が
1000℃であれば端子線は約100℃であった。ワイ
ヤー状カーボン端子線613とヒータ部材612が同じ
材質の場合には、端子線のワイヤ本数をヒータ本数の5
倍以上にすることが好ましい。仮に、ヒータ1本に対し
て端子線のワイヤ本数が4本とすると、通常の半導体処
理工程におけるようにヒータ温度が1100℃となった
場合に、端子線温度は約275℃であった。275℃
は、バイトン等の真空シール材が劣化する温度である。
これに対し、ワイヤ本数を5本にすることによって、端
子線温度が約220℃となり、耐熱温度230℃以下に
することができた。このように、電力を伝達する上記ワ
イヤー状カーボン端子線613の温度をさげることによ
り、バイトン等の真空シール材の劣化を防止することが
できる。また、ワイヤー状カーボン自体がカーボンファ
イバから構成されているため、ヒータからの熱伝導を抑
えることができる。例えば、通常の特殊炭素材料の熱伝
導率が100W/mKであるのに対し、ワイヤー状カー
ボンでは1W/mK以下である。次に、図84と図85
を参照して、第2の端子装置について説明する。この端
子装置640は、ワイヤー状カーボンからなる端子線6
13と金属製の端子線641とを、上記ワイヤー状カー
ボン接続部材643と、端子部本体642と、金属線接
続部材645を用いて接続する構成になっている。上記
ワイヤー状カーボン接続部材643は、前述した第1の
端子装置における端子線接続部材616と大体同じ形状
であり、その作用も同様である。端子部本体642は全
体的に円筒型の部材であり、その一端側にはワイヤ束ガ
イド手段643を接続するための接続部644が設けて
ある。他端側には金属線接続部材645を接続するため
の接続部646が設けてある。接続部644は、めねじ
部を有する大径のねじ穴である。接続部646には、中
子部材647を収容するためのテーパ部(穴)642b
が形成されている。このテーパ穴と前記大径ねじ穴は貫
通している。また、接続部646の外周には、おねじ部
が形成されている。金属線接続部材645はカップ状部
材として構成され、端子部本体642の接続部46に被
せてねじ込むようになっている。図85に示すように、
中子部材647は2つの割型になっており、合わせると
円錐台形状となる。外周のテーパ面は、端子部本体64
2のテーパ部642bに対応している。各割型の対向面
には、金属線を保持するための溝状保持部647aが設
けてある。端子部本体642の接続部644と複数のワ
イヤー状カーボン接続部材643の底部(ワイヤ束61
3の反対側)は、外周にネジ部を有する円筒中子648
を介して接続される。図84に示すように、金属線64
1を保持した状態の端子部本体642の接続部646
に、金属線接続部材645をねじ込むことにより、金属
線641を確実に端子部本体642に接続することがで
きスパーク発生を防止できる。これは、割型中子647
と端子部本体642のテーパ穴とのテーパ係合作用によ
る。金属製端子線641としては、Mo(モリブデン)
製金属棒641を用いることが好ましいが、タングステ
ンも使用可能である。Moは、炭素材料と極めて近い熱
膨脹係数を有するため、炭素製端子本体の熱履歴により
クラック等の割れを防止できる。また、Moは、融点が
約2100℃と高温であるため、金属不純物の発生を抑
えることができる。それゆえ、Mo製金属棒は、石英製
の封着端子の内接線(ヒータ側の端子線)として好適で
ある。端子部本体642と中子部材647は炭素材で形
成することが好ましい。炭素材は、純化し易く、300
0℃の高温まで耐え得るので都合が良い。また、中子部
材647はモリブデンロッドを支持するので、クラック
防止のため、モリブデンと近似の熱膨張係数を有する炭
素材が好適である。なお、Moと炭素材の熱膨張係数
は、いずれも4.2〜4.8×10−6/℃である。最
後に、図86を参照して、第3の端子装置の実施例を説
明する。図86は、カーボンヒータの一部と第1〜第3
の端子装置を概略的に示している。第1の端子装置と第
2の端子装置は、ガラス管603内で複数のワイヤー状
カーボン端子線613によって接続されている。このよ
うに導電線として複数のワイヤー状カーボン端子線61
3を用いることにより、電気抵抗を下げ発熱を押さえる
ことができる。また、ワイヤー状カーボンは熱伝導も極
めて小さい利点を有している。ガラス管603内には、
窒素あるいはアルゴンガスをチャージするのが好まし
い。これにより、管内に配置した端子装置の高温時耐酸
化性を向上できる。第3の端子装置650は、石英ガラ
ス管603の内側に配置された内接線641と電源側の
外接線653を接続するためのものである。この実施例
では、内接線641はモリブデン棒641である。モリ
ブデン棒641の一端は第2の端子装置640に接続さ
れ、他端はモリブデン箔655に接続されている。モリ
ブデン棒641は、ヒータ部材612とは間接的に接続
される。石英ガラス管603の下端部には、石英ガラス
製のキャップが接続されており、モリブデン棒641は
キャップを通って引き出されている。モリブデン箔65
5の底部側からは、2本の外接線653が外側に引き出
されている。外接線653は一極でも良い。そして、モ
リブデン箔655全体が包み込まれるように、ピンチシ
ール部656が形成されている。ピンチシール部656
は、モリブデン箔655を、ガラス管3の内部及び大気
から遮断している。ピンチシール部656は、石英ガラ
ス製である。ピンチシール部656は、例えば石英製の
キャップの先端部を加熱軟化させピンチして(はさみ込
んで)密封することにより形成できる。以上のように、
カーボンファイバー束を編み込んだヒータ部材612
は、内接線641に直接接続せず、間接的に接続され
る。つまり、上記ヒータ部材を熱的に遠ざけて配置する
ことが重要である。外接線653としては、直径1.4
mm〜2.0mmのモリブデンロッド2本を用いること
ができる。内接線641としては、直径1.4mm〜
2.0mmのモリブデンロッドを使用できる。石英パイ
プ603としては、外形15mm以上のパイプを使用で
きる。モリブデン箔655としては、幅が8mm以上
で、厚さが0.2mm〜0.5mmのものを使用でき
る。エグザンプル4−2−2 エグザンプル4−2−2は第1の端子装置に係る。窒素
雰囲気中で純化した端子部材を2個配置し、その間に純
化したヒータ部材2本を1mの間隔で固定した。この時
の電気抵抗値は5Ωであった。次に、中子部材と端子線
接続部材を用いて端子部材に18本のヒータ部材を固定
し、図78の端子装置を製作した。そして、このヒータ
部材からヒータに電力を供給した。10分後にヒータの
温度が1100℃になり、電気抵抗値は2.5Ωになっ
た。また、この時のカーボンワイヤ18本の温度を測定
したところ105℃であった。この状態で1000時間
使用したが、電気抵抗の変化は確認されなかった。使用
したカーボンワイヤ、端子部材、及び他の炭素部材は、
灰分で5ppm以下に純化したものである。これに対し
て、未純化品を使用したところ、16時間で断線した。
断線後のカーボンワイヤヒータ部をEPMAで観察した
ところ、鉄による劣化が認められた。このように、ヒー
タ部材及びその他の炭素部材は、配分で5ppm以下と
するのが好ましい。また、純化した炭素材の鉄濃度は、
0.1ppm以下とするのが良い。エグザンプル4−2−3 エグザンプル4−2−3は第2の端子装置に係る。直径
2mmのMo製金属棒を用いて、図84の端子装置を製
作した。石英ガラス製容器にカーボンワイヤヒータを配
置して容器内を窒素雰囲気に保ち、エグザンプル4−2
−2の端子装置、及びエグザンプル4−2−3の端子装
置を接続した。ヒータ部材の長さは1mで、2本の配線
とした。ヒータの抵抗値は、室温では5Ωであり、11
00℃の加熱時に2.5Ωであった。ヒータが1100
℃の時、ワイヤー状カーボン端子線(18本)の温度
は、105℃であった。また、Mo製金属端子部は、5
5℃であった。このカーボンヒータを1000時間使用
した結果、端子本体にクラック等の損傷は発生せず、そ
の他の問題も生じなかった。なお、エグザンプル4−2
−3における端子装置の炭素部材も、エグザンプル4−
2−2と同様に純化処理したものを用いた。エグザンプル4−2−4 エグザンプル4−2−4は第3の端子装置に係る。直径
1.4mmの外接線を2本、外径が15mmの石英パイ
プ、また幅8mmのMo箔を使用し、図86に示す第3
の端子装置を製作した。この端子装置に30Aの電流を
流したところ封着部にクラック等の破損が生ずることが
ないことが確認された。第4−2グループの発明の第1
のカーボンヒータ用端子装置によれば、ヒータ部材と複
数のワイヤー状カーボンからなる端子線とを確実に且つ
容易に接続することができる。また、この端子装置はシ
ンプルな構造を有し、寿命も充分に良い。第4−2グル
ープの発明の第2のカーボンヒータ用端子装置によれ
ば、複数のワイヤー状カーボンからなる端子線と金属製
の端子線とを確実に且つ容易に接続することができる。
また、この端子装置はシンプルな構造を有し、寿命も充
分に良い。第4−2グループの発明の第3のカーボンヒ
ータ用端子装置によれば、30A程度の大電流で使用し
ても長寿命を享受できる。なお、第4−2グループの発
明は前述の実施例に限定されない。例えば、各部材のめ
ねじとおねじの関係や凹凸の関係を逆にすることは自在
にできる。そのような設計変更は、本明細書の記載に基
づいて当業者が容易に成し得ることなので、ここでは詳
述しない。第5グループの発明 以下、図41〜図61を参照して第5グループの発明の
好適な実施例を説明する。図41の(A)及び(B)は
第5グループの発明を半導体製造装置に用いた第1実施
例と第2実施例を示す概略図である。ヒータユニット2
10は円筒形の炉芯筒管211を備え、その外側にヒー
タ部材212が巻かれている。図41の(A)に示す第
1実施例では、ヒータ部材212は縦方向に往復するよ
うに巻かれているが、図41の(B)に示す第2実施例
では、ヒータ部材212は螺旋状に巻かれている。ま
た、上記第1実施例及び第2実施例のヒータ部材212
は、複数のゾーンに分割して別個に制御すれば、炉内上
下の温度分布を均一に制御し易くなる。ヒータ部材21
2としては、灰分が10ppm以下の高純度カーボンワ
イヤーが適している。このような高純度カーボンワイヤ
ーを用いることによって、不純物汚染を防止でき、ま
た、熱伝導が小さく熱容量も少ないので急速昇降温が可
能となる。しかし、金属製のヒータを用いることも可能
である。炉芯筒管211の内側下部には、複数本のカー
ボンファイバーを兼ねたカーボンファイバー束を複数本
用いてワイヤー状の長細形状に編み込んだヒータ部材2
22とする平板容器状のカーボンヒータ220が配置さ
れている。なお、ヒータ部材222としては、長細形状
であれば、テープ形状のようなものでも使用できる。ま
た、上記ヒータ部材の具体例としては直径3μmのカー
ボンファイバーを330本束ねたカーボンファイバー束
を9本用いて、直径約2mmのワイヤー形状に編み込ん
だものである。図42と図43はカーボンヒータ220
を示す上面図及び断面図である。カーボンヒータ220
は、石英ガラス製容器221内で、高純度カーボン製棒
状端子部材223及び透光性アルミナ単体からなるワイ
ヤー支え治具224により前記ヒータ部材222を支持
した構成になっている。石英ガラス製容器221は透明
石英で構成でき、容器本体247とベース248からな
る。容器本体247とベース248は、磨りガラスによ
って接合される。容器本体247の外表面露出部、特に
発熱面となる上面は断面半円状もしくは台形状の凸部が
全体としてストライプ状に、もしくは同心円状に、さら
にもしくは格子状に形成されており、この外表面がつや
出し処理されていることが好ましい。これにより本発明
のヒータ部材の如き線状ヒータ部材によるヒータ面上方
への発熱を、光の散乱によって均一化せしめるといった
プリズム効果が得られる。通常、同様の効果を得るため
に、ヒータ面をサンドプラスト処理する方法が採用され
るが、この場合には、表面が砂目状となっており、この
表面からの放熱が抑制され、石英ガラス自身に熱を蓄積
してしまいエネルギー効率が低下してしまう。この意味
で、上記つや出し処理されていることは、重要な事項で
ある。また、石英ガラス製容器は、多数の微小閉気孔が
内在する不透明石英で構成することもできる。ベース2
48には、石英ガラス製の枝管233が接続されてい
る。ヒータ部材であるカーボンの酸化を防止するため
に、この枝管233から不活性ガスや窒素ガス等を導入
できる。また、容器内の排気を行い、例えば10tor
r以下の真空にする場合にも枝管233を利用できる。
ベース248の上面には、後述するワイヤー支持部材2
24を設定する多数の挿入穴と、棒状端子部材223を
通すための2つの貫通穴が設けてある。ベース248
は、カーボンヒータ220の下方への熱の逃げを防止す
るために、不透明石英ガラスで構成される。ベースの上
面又は上方には、ガラス状カーボンからなるカーボン製
反射板225が配置される。このように、ヒータ部材2
22の下方に反射板225を置くことにより、下方への
熱放射を低減することができる。反射板225の表面に
は中心線平均粗さRaで0.1μm以下の鏡面加工が施
されている。上述のとおり、第5グループの発明では、
カーボンファイバーを束ねたカーボンファイバー束を複
数本用いてワイヤ状の長細形状に編み込んだヒータ部材
222をヒータとして使用し、これを複数のワイヤー支
え治具224によって屈曲させ、半導体ウエハのような
略円板状体をその面内温度分布が均一となるように加熱
しようとするものである。そのためには、このヒータ部
材222をいかに均一な張り状態とするかが重要なポイ
ントとなる。第5グループの発明では、図42に示す如
く、まずヒータ部材222を、すべてのワイヤー支え治
具224の貫通孔に通し、また、両端を各々棒状の端子
部材223の横穴237に挿通した後、一方の棒状端子
部材223に、ボルト状の押え部材228でヒータ部材
222を加圧保持し、他の一方側のヒータ部材222を
適度な力で引張りながら、同様にボルト状の押え部材2
28で加圧保持させるのである。上記ヒータ部材222
としては、灰分10ppm以下のものを用いるのが好ま
しい。この場合、不純物汚染を防止でき、また、熱伝導
が小さく熱容量も少ないので急速な昇降温が可能とな
る。上記ヒータ部材222は、ワイヤー支え治具224
にガイドされ、容器221の面と平行な面上で複数の屈
曲部を有しジグザグに配置される。ヒータ部材222の
両端には、棒状の端子部材223が配置されており、こ
れを介して電力が供給される。図44は、端子部材22
3の組立図である。端子部材223は、端子本体223
aと、押え部材228と、キャップ229から構成され
る。端子部材223は、露出部の少なくとも一部、好ま
しくは露出部全部がSiCでコーティングされる。この
ようにSiCコーティングを行えば、大気中でも使用可
能となる。端子本体223aはカーボン製であり、全体
的にボルト型に形成されている。端子本体223aの軸
方向の中間付近には、軸と直交する貫通した横穴237
が設けてある。端子本体223aの六角状頭部から貫通
した横穴237にかけては、押え部材を収容するための
軸方向穴236が形成されている。軸方向穴236の内
壁にはネジが切ってある。端子本体223aの脚部にも
ネジが切ってある。図44では、端子本体223の頭部
は六角になっているが、スパナ等の工具で回転できる形
状であれば他の形状でも良い。押え部材228は、端子
本体223の軸方向穴236に対応したネジ型に形成さ
れている。その頭部には、マイナスドライバー用の溝2
35が設けてある。押え部材228は端子本体223の
軸方向穴236にねじ込まれ、貫通穴203に挿入され
たヒータ部材222を押える。このように、押え部材2
28を用いてヒータ部材222を端子本体223aにし
っかり密着させることにより、ヒータ部材222の抜け
落ちとスパーク発生を防止できる。キャップ229は、
端子本体223aの脚部のネジに対応したナット型に形
成されている。端子本体223a及びキャップ229と
石英ガラス表面との接触部に膨張黒鉛シート(図示せ
ず)を挟むことにより、ヒータ内部への空気漏れやダス
ト発生を防止できる。図45の(A)は、図42〜図4
3のワイヤー支え治具224を示す斜視図であり、
(B)は、ワイヤー支え治具の変形例を示す断面図であ
る。ワイヤー支え治具224は、薄肉の円筒型であり、
ヒータ部材222を通すための穴224aが開けられて
いる。ワイヤー支え治具224の根元部は、石英ガラス
容器221の設定穴内に埋め込まれる。ワイヤー支え治
具224でヒータ部材222を支持することによって、
ヒータ部材222が石英ガラス容器に触れないように保
つ。このようにすれば、ワイヤーの珪化を防ぎ、電気抵
抗の変化を防止できる。ワイヤー支え治具224を薄肉
の円筒型つまりパイプ状にすると、上方への熱放射を大
きくすると共に、熱容量を小さくできる。熱容量が小さ
いと、熱応答が早くなり、サーマルショックに強くな
る。ワイヤー支え治具224は、透光性の透明アルミナ
で形成することができる。この場合、透明部からの熱の
輻射が多くなるため、被処理物の温度均熱性を向上でき
る。ワイヤー支え治具224に必要とされる特性は、例
えば、1300℃程度の高温下でのヒータ部材222と
の非反応性であり、また非導電性である。この両条件を
満足するのが透光性アルミナであり、これならば単体で
形成することができる。ただし、透光性アルミナにおい
ても、急速昇温の度合いを高めようとすると、熱衝撃の
ため、ワイヤー支え治具にクラックや破損が生ずるおそ
れがある。これを解決する構造として、高純度カーボン
及び透光性アルミナの組立部材からなる。特に、前記組
立部材のヒータ部材に接する部分が、高純度カーボン材
料から成り、前記組立部材の、平板状容器221に配置
接続する部分が、透光性アルミナ材料から成る構成が最
適なものとなる。図45の(B)のワイヤー支え治具2
41は、カーボン部材242とアルミナパイプ部材24
3を接続した構成になっている。カーボン部材242に
は、ヒータ部材222を貫通させるための横穴244が
形成されている。図46〜60は、図42〜44の実施
例に変更を加えた実施例を示している。図46はヒータ
部材220の主要部を示す断面図である。棒状端子部材
や、これとのヒータ部材との接続構造は、図42〜44
の実施例と、図46〜60の実施例で、実質的に共通し
ている。以下に、特徴となる構成について説明する。ヒ
ータ部材222は、複数本のカーボンファイバーを束ね
たカーボンファイバー束を複数本用いてワイヤー形状に
編み込んだものである。このヒータ部材222として
は、長細形状であれば、テープ形状のようなものでも使
用できる。ヒータ部材222は、ある厚み(約2mm)
を有する編み込み式のものが好ましい。ねじり(捩り)
ワイヤーは、ほぐれ易く、温度のバラツキがあり、均熱
性に劣る傾向がある。すると、電気抵抗にバラツキが生
じ、ウエハに対する均熱性が低下する。上記ヒータ部材
222としては、灰分3ppm以下のカーボンワイヤー
を使用するのが好ましい。この場合、不純物汚染を防止
でき、また、熱伝導が小さく熱容量も少ないので急速な
昇降温が可能となる。ヒータ部材222の両端には、カ
ーボン製端子部材223が配置されており、端子部材2
23を介して電力が供給される。図46〜48に示され
ているように、端子部材223は、中間部にフランジ2
23aを有する。そのフランジ223aの一方側にはオ
ネジ223bが形成されており、他方側には軸方向の穴
223cと横方向の穴223dが形成されている。ワイ
ヤーのヒータ部材222を端子部材223の横方向の穴
223dに挿入してから、カーボン製のボルト状押え部
材228を端子部材223の軸方向の穴223cにねじ
込んで、ワイヤー223を端子部材223に固定する。
アルミナ碍子のリング263がワイヤーのヒータ部材2
22から下方に離れていて、端子部材223のフランジ
223aに接して配置してある。これによって、ガラス
状カーボンからなるカーボン製反射板225とカーボン
製端子部材223の電気的絶縁を図っている。ベース2
48の上側に前述の端子部材223のフランジ223a
が設定され、ベース248の下側に炭素と炭素繊維の複
合材(C/C)で作られたスプリング・ワッシャー26
5が設定されている。ナット266を端子本体223の
オネジ223bにねじ込むことにより、端子部材223
はベース248に固定される。付加端子267はその軸
方向の穴267bに形成したメネジが端子部材223の
オネジ223bにねじ結合されて固定されている。カー
ボン製付加端子267には、ボルト状の押え部材268
がネジ込まれている。付加端子267は、露出部の少な
くとも一部、好ましくは露出部全部がSiCでコーティ
ングされる。このようにSiCコーティングを行えば、
大気中でも使用可能となる。付加端子267の軸方向の
中間付近には、軸と直交する貫通した横穴267aが設
けてある。付加端子267の一端部から穴267aにか
けては、押え部材268を収容するための軸方向の穴2
67bが形成されている。軸方向の穴267bの内壁に
はネジが切ってある。付加端子267の他端部にもネジ
が切ってある。押え部材268は、付加端子267の軸
方向穴267bに対応したネジ型に形成されている。押
え部材268は付加端子267の軸方向穴267bにね
じ込まれ、横穴267aに挿入されたワイヤー状カーボ
ンからなる端子線270を押える。このように、押え部
材268を用いてワイヤー状カーボンからなる端子線2
70を付加端子267にしっかり密着させることによ
り、ワイヤー状カーボンからなる端子線270の抜け落
ちとスパーク発生を防止できる。ワイヤー状カーボンか
らなる端子線270は、複数本のカーボンファイバーを
束ねて拠ったカーボンファイバー束を複数本(例えば2
0本)編み込んだものである。ワイヤー状カーボンから
なる端子線270の他端は、さらに別の付加端子272
に固定されている。この付加端子272の上端には軸方
向の穴272aが形成されており、そこにメネジが切っ
てある。その穴272aの底を貫通するように横方向の
穴272bが付加端子272に形成されている。ワイヤ
ー状カーボンからなる端子線270の端部をその横方向
の穴272bに挿入してから、止めネジ273を付加端
子272の軸方向の穴272aにねじ込んで、ワイヤー
状カーボンからなる端子線270を付加端子272に固
定する。付加端子272の下端部には、オネジ部272
cが形成してある。そこにナット274をねじ込んで、
金属配線275の一端が付加端子272に固定される。
この金属配線275の他端は、電源(図示せず)に接続
されている。通常は、上述のナット266に金属配線2
75を接続するが、この場合には、カーボン製端子本体
223の発熱に伴い、金属配線が酸化され劣化するが、
特に上記ワイヤー状カーボンからなる端子線を設けた構
造によって、このような問題が解消される。図48〜4
9は、図46の端子部材23の具体例を示している。図
50〜51は、図46の付加端子67の具体例を示して
いる。図52〜53は、図46の押え部材28の具体例
を示している。図54〜55は、図46の押え部材68
の具体例を示している。図56〜57は、図46の付加
端子72の具体例を示している。図58〜59は、図4
6のナット74の具体例を示している。ワイヤー支え治
具224には、薄肉の円筒型でありヒータ部材222を
通すための穴が開けられている。ワイヤー支え治具22
4の根元部は、石英ガラス容器221の設定穴内に埋め
込まれる。ワイヤー支え治具224でヒータ部材を支持
することによって、ヒータ部材222が石英ガラス容器
に触れないように保つ。このようにすれば、カーボン製
のヒータ部材の珪化をより確実に防ぎ、電気抵抗の変化
を防止できる。ワイヤー支え治具224を薄肉の円筒型
つまりパイプ状にすることにより、上方への熱放射を大
きくすると共に、熱容量を小さくできる。熱容量が小さ
いと、熱応答が早くなり、サーマルショックに強くな
る。ワイヤー支え治具224は、透光性の透明アルミナ
で形成することができる。この場合、透明部からの熱の
輻射が多くなるため、被処理物の温度均熱性を向上でき
る。第5グループの発明においては、棒状端子部材22
3の長さ方向に、ボルト状押え部材228差し込み用の
略円筒状の穴223cが形成されており、かつ、少なく
ともこの穴223cを貫通する略円状横穴223dが形
成されており、横穴223dにヒータ部材を差し込み、
穴に少なくとも横穴223dの下部に達する長さを有す
るボルト状押え部材228を回転挿入する構造にしてい
るが、そのとき、図60の(A)に示すように、ボルト
状押え部材228差し込み用の略円筒状穴223cの径
を略円筒状横穴223dの径より大きくし、図60の
(B)に示すように、横穴223dに差し込まれたヒー
タ部材が、ボルト状押え部材228によって加圧され、
穴223dの底に達するように偏平に変形されているの
が好ましい。図60の如く、ヒータ部材222を直接ボ
ルト状押え部材228で偏平変形させて保持しようとす
ると、ボルト状押え部材228を回転挿入している際
に、ヒータ部材222を構成するカーボンファイバーを
少なからず切断してしまい、その結果、保持強度が低下
してしまうおそれがあるが、ボルト状押え部材228と
ヒータ部材222との間に膨脹黒鉛シート(図示せず)
を介在させる構成とすることによって、このような不具
合を回避することができる。なお、第5グループの発明
のカーボンヒータは上述の態様のみならず例えば図61
に示すような半導体ウェハの下方にこれを配置し加熱処
理を行う半導体製造装置に適用できる。また、第5グル
ープの発明は前述の実施例に限定されない。例えば、カ
ーボンヒータは円筒形に限らず、六角形や矩形等でも良
い。また、カーボンヒータにおけるヒータ部材の配置
は、渦巻状やその他の形状でも良い。第5グループの発
明においては、特に半導体製造装置用として有効なカー
ボンヒーターを複数本のカーボンファイバーを束ねたカ
ーボンファイバー束を複数本用いてワイヤー状の長細形
状に編み込んだヒータ部材を複数の棒状端子部材及びワ
イヤー支え治具によって平板状容器内に非接触で支持さ
れて封入した構成にすることによって、発熱体の発熱ム
ラを低減することができ、均熱性が向上する。また、急
速昇・降温を可能とすることができる。また、本カーボ
ンヒータを簡易構造とすることができ、低コスト化が図
られ、さらにはその詳細な構造・材質の選択によって、
本カーボンヒータ特有の諸問題を解決することができ、
同ユニットの耐用寿命を向上することができる。
【Example】Invention of the first group Hereinafter, the first glue will be described with reference to FIGS.
A preferred embodiment of the present invention will be described. FIG. 88 shows the first group.
1 shows a first embodiment of a carbon heater according to the invention of a loop.
It is a three-dimensional view. (The drawing shows the simplest knitted state
For three carbon fiber bundles
FIG. In this embodiment, the heater member 111 is a car having a diameter of 7 μm.
Carbon fiber bundle with 330 bon fiber bundles
Are woven into a wire shape using three of them.
The diameter of the carbon wire is about 1.2 mm. this
Heater with carbon fiber woven into wire shape
The member is a braided span (one wire in the length direction)
The bundle is entangled with the other two regularly and returns to its original position
Is 5 to 7 mm. Follow
For example, each carbon fiber is cut in the middle
The effect of this cutting,
Is limited to only 5 to 7 mm in length.
Without affecting the overall length of the heating element.
Variation in the electrical resistance value in the length direction of the
Effectively suppresses generation of heat generation unevenness. Also,
According to the heater member, three carbon fiber bundles
When weaving, 330x3 carbon fiber bags
In addition, a considerable number of things are cut in places
Therefore, when viewed as a whole, a large number of fluffs of 3 to 6 mm
That is, 115 is formed on the surface. FIG.
A second embodiment of the carbon heater according to the invention of one group
FIG. In this embodiment, the heater member 111
Is formed of carbon wire. Carbon wire
ー is a bundle of 300 carbon fibers with a diameter of 7μm
Using 9 carbon fiber bundles
It is woven. For example, the diameter of carbon wire
For example, it is about 2 mm. The braided span is about
3mm, and the fluff due to carbon fiber is
Each is about 0.5 to 2.5 mm. Heater member 111
Are connected to the carbon electrodes 112 at both ends. Hi
The data member 111 is attached to a plurality of alumina support members 113.
Supported, bent many times in the same half-plane. This implementation
In the example, the heating (uniform heating) zone is planar.
You. The amount of impurities contained in the heater member 111 is 10 p
pm or less. The temperature of the heater member 111 is set to 1000 ° C.
Is 1 to 10 Ω / m · line. FIG.
It is a perspective view showing a 3rd example of a carbon heater. FIG.
Are the carbon electrodes 12 in the carbon heater of FIG.
FIG. 4 is a cross-sectional view showing a state near 2. In this embodiment,
The data member 121 is formed of carbon tape. Mosquito
Carbon tape is a bundle of multiple carbon fibers.
Knit into tape shape using multiple fiber bundles
It is inclusive. The width of the carbon tape is, for example, 10 m
m, and the thickness is, for example, about 1 mm. This place
In this case, the fluff of carbon fiber
This is equivalent to the case of a bon wire. Four heater members
121 is connected in series via support members 123 and 124
And carbon electrodes 122 are arranged at both ends thereof.
You. The support members 123 and 124 are two flat plates,
The fixing member 121 is sandwiched and fixed. On the bottom (base side)
The support member 124 is made of quartz, and the upper support member 123
Is made of carbon. In this embodiment, the heating zone
The surface is planar. The amount of impurities contained in the heater member 121 is:
The ash content is 10 ppm or less. Also, the heater member 121
Has a resistance at 1000 ° C. of 1 to 20 Ω / m.
FIG. 32 shows a carbon particularly effective for a semiconductor manufacturing apparatus.
It is a perspective view showing a 4th example of a heater. In this example
The heater member 131 is inside the double tube 136 made of quartz glass.
Are arranged spirally. Heater members reduce oxidation wear
In order to prevent the devitrification of quartz glass, the impurity concentration
Per minute. Quartz glass double tube 1
36 has a sealed structure consisting of an inner cylinder, an outer cylinder, and upper and lower plates.
Or introduce nitrogen gas into the inside or 20 torr or less
Vacuum state. The heater member 131 is
Supported by a small protrusion 133 made of alumina attached to the inner cylinder
Have been. The protrusion 133 may be linear.
The heater member 131 can be supported by grooves instead of protrusions.
Noh. The small protrusions made of alumina are made of pure
It is preferable to use a translucent alumina
Lumina also tries to increase the rate of rapid temperature rise
Cracks or breakage on the protrusion due to thermal shock
The projections may be made of high-purity carbon and
It is preferable to use a translucent alumina assembly member. On the spot
In this case, the portion in contact with the heater member is made of high-purity carbon.
Furthermore, instead of translucent alumina, quartz glass
It doesn't matter. In this embodiment, three heater members 13
1 is spirally wound around the inner cylinder,
The printing zone is formed continuously. Like this two
By forming the above heating zone,
It is easy to balance the temperature in the heat zone. zone
The width and the number of zones can be arbitrarily determined. Zoe
The number of components is preferably 3 to 5 from an economic viewpoint.
You. The heater member 131 is connected via a metal mounting member 134.
Power supply 1 through the graphite electrode 132
35. FIG. 33 shows a semiconductor manufacturing apparatus in particular.
Perspective view showing a fifth embodiment of a carbon heater effective for use
FIG. The carbon heater 140 has a large number of heater units.
149. Each heater unit 149
Is the above-mentioned carbon wire in a sealed quartz glass straight tube.
The heater member 141 is disposed.
You. A large number of heater units 149 are arranged in a cylindrical shape,
A cylindrical carbon heater 140 is formed as a body.
You. The carbon heater 140 is a cylindrical heating sol.
Have. Such a cylinder is used for wafer heat treatment.
Good shape, but depending on the object to be heated, or heating conditions
From the point of view of certification, it can also be box-shaped. carbon
When the furnace body is configured using the heater 140,
Carbon heater for better temperature balance below
Using a plurality of 140 (for example, 3 to 5 zones)
it can. At that time, use carbon heaters with different shapes and configurations.
May be used. The above example is a part of the carbon heater.
When the carbon heater unit is
However, the present invention is not limited to this.
The quartz glass member other than the periphery of the heater member
It can be a qualitatively integrated rod. FIG.
Is a heater unit that is a part of the carbon heater 140.
149 is shown. Heater unit 149
Are the two ends of a straight tube 146 made of quartz glass.
2 and a metal flange 161.
A metal electrode 144 penetrates through both flanges 161 and 162.
And a carbon electrode 142 is connected inside.
Have been. A heater member is provided between the two carbon electrodes 142.
141 are attached. Both ends of straight tube 146 made of quartz glass
Near N2Doorway 14 used for introduction and vacuum suction
7,148 are formed. In addition, the metal electrode 144
Carbon electrode may be used, but maintain vacuum
For this reason, it is preferable to use metal. FIG. 35 and FIG. 36
Is a heater unit that is a part of the carbon heater 140.
A modified example of FIG. The heater unit shown in FIG.
In the slot 149, a projection from the side of the straight tube 46 made of quartz glass is used.
The metal electrode 44 and the carbon electrode 142 are inserted into the protruding portions.
You. The heater unit 149 shown in FIG.
A wire support protrusion 143 is formed on the end face of the straight pipe.
Thus, the heater member 141 moves to the other end via the support protrusion 143.
Configuration. Therefore, maximize the heater length
Can be used to improve the temperature uniformity in the furnace
You. FIGS. 37 (A) and (B) show the case especially for a semiconductor manufacturing apparatus.
A sixth embodiment of the effective carbon heater is shown.
The carbon heater 150 includes a number of annular tubular heater units.
159. Each heater unit 159
Is mounted on a sealed translucent alumina annular tube 156.
In this configuration, the heater member 151 made of
ing. A large number of substantially annular heater units 150
As a whole, a cylindrical heater 150 is formed.
I have. An example of the heater unit 159 is shown in FIG.
(C) and (B), (D). FIG.
(A), (C) heater unit 159, both ends of the ring
They are arranged on the same plane. On the other hand, FIG.
The heater unit 159 shown in FIG.
It has been forged. The heater unit 159 has a light-transmitting
Seal both ends of Lumina annular tube 156 with flanges 163
Configuration. Flange 163 is translucent alumina
It has a structure in which metal is bonded to a metal. Flange 16
3, a metal electrode 154 penetrates, and a
The elementary electrodes 142 are connected. Between two carbon electrodes
Is connected to the heater member 151. FIG. 37 (A)
In the carbon heater 150, the position of the electrode 154 is
And the phases are generated at the electrode terminal positions. on the other hand,
In the carbon heater 150 shown in FIG.
The position of the electrode 154 can be set freely. Heater unit
The number of superimposed pieces 159 can be arbitrarily adjusted. Ma
Power control of each heater unit
Thus, it is possible to further improve the heat uniformity. FIG.
9, a heater unit 159 made of translucent alumina
Both ends of the annular tube 156 are in contact, and the electrode 154 is a tube.
Project radially from the center of the cross section. This type
Heater unit 159, the heater length must be maximized.
Therefore, the heat uniformity of the furnace body can be improved. In FIGS. 37-39
Although not shown, the light-transmitting alumina annular tube 156
Even if a pipe system is provided at both ends, nitrogen gas is introduced into the pipe.
Or a vacuum in the tube. 37 to 39.
An example is a carbon heater unit that is part of a carbon heater.
When the pipe is shaped as an annular tube or an annular tube,
However, the present invention is not limited to this.
The quartz glass member above the periphery of the is substantially integrated
It can be a rod. In this example, the annular pipe 1
Even if quartz glass is used as 56, the same effect can be obtained.
I can do it. Next, the embodiment of FIG. 40 will be described.
The heater member 161 is supported in a spiral shape and has a heat insulating material.
Enclosed in container 166 by any configuration not shown
Have been. Electrodes are installed at both ends of the heater member 161.
It is. The electrode portion of the heater member 161 is made of a carbon material.
You. The supporting electrode portion 162 is made of either metal or carbon.
However, in order to prevent impurity contamination,
The tip that contacts the motor is made of high-purity carbon. Wai
The support member 163 is made of, for example, alumina or quartz glass.
It is composed of such a non-conductive substance. Heater member enters
The gap between the heat insulating material container 166 and the furnace core tube 167 is closed.
It is necessary to introduce nitrogen inside or make a vacuum
The degree of vacuum is set to, for example, 20 or 10 torr or less.
Can be determined. A long cylinder using multiple heater units
Heater heating zone can be formed
You. By stacking the heater units in this way,
The uniformity of the temperature distribution in the central part can be improved. For example, a single
For knits, the temperature difference of the central heater unit at 1000 ° C
Was 50 ° C or higher, the heater unit was tripled
In this case, it was confirmed that the temperature became 5 ° C. or less. car
Bundling multiple carbon fibers as a bon heater
A braided braid made from multiple carbon fiber bundles
The heat capacity of the data member is smaller than that of the C / C
Can dramatically improve the rapid quenching throughput.
Came. In addition, by using the heater member, carbon
Heat generation unevenness was reduced as compared with the case of using only the fiber. Ma
Further, in the conventional SiC heater, the electric load density is 10 W
/ Cm2Could only be raised up to
When the carbon wire is used, the electric load density
30W / cm2Up to about 3
The temperature can be increased twice as fast. In addition, each heater unit
Power control for better heat uniformity
Can be improved. Especially half of the invention of the first group
Effective carbon heater for conductor manufacturing equipment
Excellent flexibility and can be manufactured at low cost
You. The invention of the first group is limited to the above-described embodiment.
Not. The shape of the carbon heater shown is an example only
It is illustrative, and various modifications are possible. Of FIG.
In the third embodiment, instead of the wire-shaped heater member,
A tape-shaped heater member may be used.Invention of the second group Hereinafter, referring to FIGS. 1 to 28, the invention of the second group will be described.
A preferred embodiment will be described. In addition, in FIGS.
Part of the heater portion in the heater member 11 and the sealing member 12
Although it is a simplified drawing where the periphery of the material is in contact,
Is as described in claim 6 of the appended claims.
Around the heater member (formed on the surface of the heater member)
Hollow space (due to the fluff of carbon fiber)
It has been formed. First, referring to FIGS.
The first embodiment of the invention of the second group will now be described. This mosquito
The heater 10 is a heater having a rectangular plate shape as a whole.
The heater member 11 is sealed in the quartz glass support 12.
It has a structure. Then, the quartz glass support 12
Is, as shown in FIG.
A substantially hollow space is formed in the periphery, and this space
Except for the intervening part, it has a substantially integrated structure.
You. Here, the most preferable configuration is a substantially integrated configuration.
The preferred form is to fuse multiple quartz glass plates
When manufacturing the Ming carbon heater, each quartz glass plate
Or half of the joint surface
Even if there is no uneven part in the fused state
It is. As the heater member 11, a plurality of carbon
Using multiple carbon fiber bundles with bundled fibers
Used in a wire shape. Heater member
Reference numeral 11 denotes a zigzag shape on almost the center plane of the quartz glass support 12.
Are arranged in the shape of a ring. Wiring configuration can be spiral or other
Shape may be sufficient. A specific example of the heater member has a diameter of 7 μm.
carbon fiber bundled with about 330 m carbon fibers
Approximately 2m in diameter using 9 fiber bundles (2970 total)
m. Also,
The penetration span is 3 mm,
The fuzz on the surface is about 0.5 to 2.5 mm each.
is there. Two, three or more such heater members
It can also be used. If multiple wires are used, the heat generation characteristics
Quality can be stabilized. Quartz glass support 1
2 is the thickness at which the wire is located at the center as shown in FIG.
Fusing the two quartz glass plates 12a and 12b substantially
It is integrated with One of the quartz glass plates 12b
A wiring groove for accommodating the heater member 11 is provided on the joint surface.
14 is formed in a rectangular cross section. Including wiring groove 14
Thickness t of the quartz glass plates 12a and 12b1, T2Is
The heater member 11 is located at the center of the support 12
I do. The terminal wire of the heater member 11 has a diameter of, for example, 3 mm.
It is pulled out from the hole 21 perpendicularly to the heater surface 13. Figure
Reference numeral 4 denotes a method of the fusion treatment. Carbon lower member
The quartz glass plates 12a and 12b are arranged on 27
The carbon upper member 28 is placed on the
Put the weight 29 made of heat-resistant material and set it in the heat treatment furnace.
To On the upper surface of the lower member 27 and the lower surface of the upper member 28,
Mirror finish is applied. In addition, these carbon members
Are all purified products with impurities of 5 ppm or less. The book
Quartz other than the periphery of the heater member, such as a carbon heater
In order to adopt a configuration in which the glass support is substantially integrated
In particular, the homogeneity of the carbon member and the quartz glass support
The surface roughness of the part in contact with is important. This surface roughness and
To ensure proper homogeneity, the carbon member
And the open porosity is 15% or less, and 1.8 to 2.0
g / cm3Buffing with bulk density
It is important to have a polished or mirror-polished surface roughness
It is. With this, the quartz glass support by the carbon member
Uniform pressure can be applied to the entire holding body, and quartz glass
Glass during production due to the difference in thermal expansion coefficient between carbon and carbon
This makes it possible to prevent the thermal strain from remaining in the steel. Inside the furnace
Is maintained at a vacuum of 1 torr or less,
Heat treatment for 0.5 to 5 hours with two quartz glass plates 12
The joint surfaces a and 12b are fused. This heat treatment has a temperature
Long when low, short when high, change depending on the situation
Do it. Atmosphere of the heater member 11, that is, in the wiring groove
So that the atmosphere becomes a reduced pressure or non-oxidizing atmosphere
Join. On cooling, it is the strain point of quartz glass
Cool gently around 1100 ° C. With 1100 ° C
The cooling rate in the vicinity is, for example, about 50 to 150 ° C./hour.
Set. By such heat treatment, the quartz glass support
Holding body 12, that is, two quartz glass plates 12a, 12b
Can be substantially integrated by fusing the entire joint surface
You. That is, substantially in the periphery of the heater member 11
A hollow space is formed, except for this space.
It has an integrated structure. The above fusion process
The method is to heat in a heat treatment furnace, that is,
Heating means is used, but not only
Sandwich the quartz glass plate with a carbon member
The carbon wire in the lath plate is heated by electricity and quartz glass
The method of fusing the plate or, for example, the carbon member
Instead, it is sandwiched by a member such as AlN to
Heating the heater member in the quartz glass plate by heat
A law can also be adopted. Heat from inside such
The means is not from the outer periphery of the quartz glass plate, but the center side
Since the fusion is more advanced, the gas existing between the quartz glass plates
It is extremely difficult to take air bubbles inside and leave bubbles during fusion.
Less power. Fig. 5 shows an example of the usage status of the carbon heater
Is shown. The end of the heater member 11 is
1 is pulled out substantially perpendicular to the heater surface 13 and
Connected to the Mo terminal wire 62 via the
You. These are arranged in a quartz glass tube. Soshi
Thus, the Mo terminal line 62 is connected to the two Mo
It is connected to an external tangent line 64. Mo foil 63 is pinch sea
Have been Next, a second embodiment will be described with reference to FIGS.
An example carbon heater will be described. In the following examples
The following description focuses on differences from the first embodiment. FIG.
In the carbon heater 10 of FIG.
The heater member 11 is on the side closer to the heater surface 13 when viewed in the vertical direction.
Are located. This carbon heater 10 is shown in FIG.
As described above, two quartz glass plates 12c,
It is formed using 2d. For example, one quartz glass plate
12c thickness t1Is the thickness t of the other 12d21/2 or less
Can be set below. Houses carbon heating element 11
Grooves 14 are formed in the thicker quartz glass plate 12d.
You. However, the thickness of the quartz glass plate is the part of the wiring groove
Is not included. The upper quartz glass plate 12c is an example
For example, 100x100x3, lower quartz glass 12d is an example
For example, it can be formed in dimensions of 100 × 100 × 7. Then figure
Referring to FIGS. 8 to 10, the carbon heater of the third embodiment
explain. This carbon heater 10 has a large number of fine closed
An opaque (or foamed) quartz glass layer 12e having holes
are doing. Opaque quartz glass layer 12e is opposite to the heater surface
On the side to prevent radiant heat from being transmitted to the bottom of the heater
I do. As shown in FIG. 8, a stone on which the heater member 11 is wired
A thin quartz glass plate 12c above and below the English glass plate 12d
And the opaque quartz glass plate 12e,
Is applied. As a result, as shown in FIG.
A plate including a lath layer 12e and enclosing one heater member 11
Substantially integrated by the quartz glass support 12
The quartz glass support 12
A structure in which a hollow space is formed around the
Can be FIG. 10 shows the carbon heater 10 of FIG.
This is a modified example. In this carbon heater 10, opaque
The quartz glass layer 12 e is
It accounts for about 1/2. Further, the heater member 11 is opaque.
Straddling between the clear quartz glass layer 12e and the transparent quartz glass layer
Are located. Thus, the opaque quartz glass layer 12
The transmission of radiant heat below the heater by increasing the thickness of e
The prevention action can be increased. Next, FIGS.
A fourth embodiment will be described with reference to FIG. This carbon
The heater 10 is a heater member made of carbon fiber.
11 and at least the heater member 11
The other side is a mirror-finished carbon reflector 15 and a plate-shaped stone.
It is configured to be sealed in a British glass support 12. Soshi
The quartz glass support 12 is provided around the heater member 11.
A hollow space is formed on the side, and the other
And are substantially integrated. Quartz glass upper plate 12
c, quartz glass middle plate 12d, heater member 11, carbon
FIG. 11 shows the reflection plate 15 and the quartz glass lower plate 12e.
Assembled as shown and subjected to the aforementioned fusion process
And quartz glass support 12 (12c, 12d, 12e)
Are substantially integrated. The quartz glass lower plate 12e has
A counterbore 16 for the carbon reflector is provided.
16 is slightly larger than the reflector to absorb the difference in thermal expansion
Is formed. Thus, below the carbon heating element
By installing a carbon reflector on the bottom of the heater
The effect of preventing the transmission of radiant heat to the
Also, to improve the heat radiation above the heater
Can be. Next, a fifth embodiment will be described with reference to FIGS.
An example will be described. This embodiment is a reflector plate for carbon heater.
The carbon heater 1 which is the body 20 and has the above-mentioned reflecting plate
0 (FIGS. 11 to 13), the portion of the reflection plate is taken alone.
Equivalent to what was issued. That is, the carbon heater
The projecting plate-shaped body 20 has a mirror surface made of carbon at least on one side.
The firing plate 15 is enclosed in a plate-like quartz glass support 22.
It has become. Quartz glass upper plate 22a, one side is mirror surface
With a carbon reflector plate 15 and a counterbore setting for the reflector plate
The quartz glass lower plate 22b is assembled in the arrangement shown in FIG.
Standing and performing the above-mentioned fusion treatment, quartz glass support
The body 22 (22a, 22b) is integrated. This carb
Glass support encapsulating reflector plate 20 for heater
22, for example, as shown in FIG.
By laying it on the lower surface of the
It can be one form of a carbon heater. Quartz gas
The counterbore for the reflector of the lath lower plate 22b absorbs the difference in thermal expansion.
It is larger than the reflector to accommodate it, as shown in FIG.
Thus, a space for that purpose is formed. The above carbon counter
Each of the plate-like members 15 is made of a thermally expanded graphite sheet, Kapton-fired
Formed with adult sheet, glassy carbon sheet, etc., thickness
Is set to 20 to 2000 μm. The above sheet is
To reduce the size of the heater
In order to increase the capacity, a structure with a thin thickness of 20 to 200 μm
It is preferable to make
Baking the Kapton sheet to obtain low cost
The Kapton fired sheet manufactured by the above method is most preferable.
The description of the carbon reflecting plate is described in the present invention.
Are common to the carbon reflectors described in
You. This reflector plate 20 for carbon heater is clean and
It has excellent heat resistance and low heat capacity.
It is suitable as a heat reflection plate arranged on the side or outside. FIG.
Is a modified example of the carbon heater reflecting plate 20 of FIG.
It is. In this reflector plate 20 for carbon heater,
Two carbon reflectors 15 in glass support 22
a and 15b are sealed. Has a small area like this
By configuring multiple carbon reflectors side by side,
Cracks caused by thermal expansion of the carbon material
As a result, it is possible to effectively suppress it. In FIG. 16, 2
The carbon reflectors have a structure in which only one part is overlapped.
However, the above two carbon reflectors are entirely overlapped.
It is good also as the structure which made it do. In this case, a more effective
Thermal properties are obtained. In the embodiment of FIGS. 18 and 19, carbon
The heater member 11 made of fiber is placed in the wiring groove 14.
Two (three or more possible) are arranged in parallel. For wiring
At the bottom of the groove 14, two heater members are provided in accordance with the number of heater members.
An auxiliary groove 14c is formed. Thereby, the heater section
The material can be supported by, for example, three line contacts,
Problems such as heat generation unevenness due to touch can be eliminated.
In the embodiment shown in FIGS. 20 and 21, the bottom 1 of the cross section of the wiring groove is used.
4d and the whole 14d, 14e have a curved cross section
You. As a result, a plurality of quartz glass plates are fused and integrated.
When the cross-sectional shape of the wiring groove is thermally deformed,
Surface contact can be prevented as much as possible, and quartz glass
Prevent deterioration of heater members due to carbon reaction
Can be. In addition, the inside of the quartz glass support
Can suppress the accumulation of local distortion,
Can be prevented. Furthermore, with this surface contact,
The heater section by absorbing the heat generated from the heater member
Heat generation unevenness as a material can be prevented. FIG.
24, the heater surface (outer surface) has a semicircular cross section or
Has a trapezoidal projection 13a or 13b. Figure
Reference numeral 22 denotes a stripe shape when viewed from above the heater surface.
FIG. 23 shows a concentric convex portion formed, and FIG.
Reference numeral 4 denotes a structure in which a large number of convex portions are formed in a lattice shape. this
The surfaces of the projections 13a and 13b are covered with an oxyhydrogen burner.
Polished by heating. Such a configuration
By adopting this, the linear heater like the heater member described above can be used.
Heat generated by the heater member above the heater surface
Therefore, a prism effect such as uniformization can be obtained.
You. Usually, the heater surface is sanded to achieve the same effect.
A blasting method is adopted. In this case,
The surface is grained, and heat radiation from this surface is suppressed
Energy is accumulated in the quartz glass itself
Efficiency is reduced. In this sense, the above polishing process
What is done is an important matter. Furthermore, the same
With the configuration, generation of dust can be prevented.
Both the radius or the bottom length of the protrusion 13a or 13b is
0.5-5 mm is preferred. Less than 0.5mm
The production cost increases due to the complicated processing. Also, enough
The roll-out process cannot be performed. In addition, sufficient prism effect
I can't get it. Conversely, if it exceeds 5 mm, uneven heating may occur.
There is a risk that. The interval between the two convex portions is 0.2 to 1
mm. Next, refer to FIG. 25 (A) → (D).
Then, an example of a method for manufacturing another carbon heater will be described.
You. First, as shown in FIG. 25A, the wiring groove 14a (the groove
(Width: 2 to 4 mm) and this groove is used as an oxyhydrogen burner.
The first quartz that has been polished by grinding for a predetermined time
Glass plate 32a and insertion groove 1 paired with this groove 14a
4b (groove width: 1.5 to 2.5 m smaller than the width of 14a)
m) formed with the second quartz glass plate 32b,
It joins so that 4a and 14b may oppose (communicate). this
For joining, the two quartz glass plates 32a and 32b are integrated.
Can be fused or can withstand the next polishing or grinding process
The degree of fixation may be sufficient. The insertion groove 14b is used for wiring.
It can be seen as a kind of groove. Then, in FIG.
Thus, the surface layer 32d of the second quartz glass plate 32b is polished or
Is removed by grinding to expose the insertion groove 14b.
As a result, the insertion groove 14b allows the heater member 11 to be inserted.
It becomes an insertion window to perform. From the insertion window, the heater member
11 and push it down to the inner wiring groove 14a. Of the groove
Since the cross-sectional shape is a “convex” shape, the heater member 11
After insertion, the heater member 11 rises from the groove and flies.
Can be reliably prevented. This also gives
Fusing the quartz glass plate uniformly and reliably over the entire joint surface
You can do it. After wiring, quartz glass plate surface 3
3 is removed, and as shown in FIG.
The third quartz glass plate 3 is placed on the polished surface 33 of the glass plate 32b.
2c is placed and a fusion process is performed. By fusion processing, three sheets
The joining surface of the quartz glass plate is welded, as shown in FIG.
Thus, the portions other than the grooves 14 (14a, 14b) are substantially
Integrated into In this embodiment, the wiring groove 1 before fusion is used.
4a and 14b are generally in the shape of a "convex". Fusion
After wearing, the shape of the above "convex" is deformed and slightly collapsed
Becomes In this way, the wiring groove before fusion is shaped like a “convex”
By bending due to its own weight around the groove
Thermal strain can be reduced. This deflection due to its own weight is especially
Make the groove "convex" because it becomes larger on the upper side of
Thereby, the remaining thermal strain can be reduced. It
Therefore, in this embodiment, the heat history during use causes
The probability of occurrence of cracks or cracks can be greatly reduced. Figure
As an example of the dimensions of each part at 25, L is 0.5
~ 1.5mm, M is about 2mm, N is about 3mm
You. The heater member has a diameter of about 2 mm.
One to three wires can be wired in parallel. Carb
The overall thickness of the heater should be, for example, 5 to 10 mm.
Can be. Next, referring to FIG.
Of carbon heater or reflector for carbon heater
The method will be described. This manufacturing method is based on the carbon heating described above.
This is a method of bending the table 10 into a predetermined shape. Flat car
The bon heater 10 is made of carbon having a convex semicircular cross section.
Mold 41 and a carbohydrate having a corresponding concave semicircular cross section
Between the upper mold 42. The upper mold 42 has a carbon load.
Functions as a weight. Of course, the cab separate from the upper mold 42
Load may be used. On the sides of the upper and lower dies 41 and 42,
A carbon mold 43 for preventing displacement is arranged. Displacement prevention type
43 guides the upper die 42 to move directly below.
Insert this setting into the heat treatment furnace
And heating at 1500-1600 ° C for 1-5 hours
A flat carbon heater with a thickness of about 5 to 15 mm
10 can be deformed into an arc-shaped cross section. Sectional arc
Examples of the shape include a 1/3 arc and a 1/2 arc.
The carbon heater 40 has a semicircular cross section (1/2 arc).
is there. The carbon heater shown in FIG.
It is a cylindrical heater combining two Bon heaters 40,
A substantially cylindrical heater surface is formed. Terminal wire with stone
The English glass tube 19 is covered. On the other hand, for carbon heater
The reflector is also a flexible sheet-like sheet as described above.
If a carbon reflector is used, it is the same as a carbon heater
Thus, it can be deformed into an arc shape. In FIG. 26,
It is indicated by a code in parentheses. Like an arc
The deformed carbon reflector is deformed as above.
Placed adjacent to the carbon heater
Can be.Example 2-1 The carbon heater of FIG. 7 was manufactured according to the following procedure. 10
Prepare an upper quartz glass plate of 0x100x3t,
Mirror finish. Also, C to prevent pitching
A chamfer of 0.2 was performed. Also, 100 × 100 × 7t
Prepare a lower quartz glass plate with a depth of 4 mm and a width of 2 mm.
After processing the wiring groove, this groove is used as an oxyhydrogen burner.
The luster processing was performed. In addition, mirror surface processing of the fusion surface
Then, chamfering of C0.2 was performed. Replacement of the above mirror finish
In addition, polishing with a flame may be performed. As in FIG.
Then, in a heat treatment furnace, heat
Place the filter member in the wiring groove of the lower quartz glass plate.
Carbon fiber attached to the surface of the lower quartz glass plate
After completely removing the debris, place the upper quartz glass plate on top
And set them on a glassy carbon mirror plate.
Was. Place a 10kg carbon block weight on top of it
Was. In addition, these carbon members are all 5 pp impurities.
m or less. The carbon material is unpurified
And the quartz glass surface may be devitrified.
May be diffused in semiconductor manufacturing equipment due to impurities
Because there is. Then, the pressure inside the furnace is reduced to 1 torr or less.
Then, heat treatment was performed at 1450 ° C. for 3 hours. On cooling
Therefore, at around 1100 ° C, which is the strain point of quartz glass,
Cooling was gentle. That is, 1450-1000
The cooling rate at 100C was set at 100C / hour. other than that
The cooling rate in the temperature range was not particularly controlled. that's all
Of the upper and lower quartz glass plates
Is completely fused and is externally inside the integrated quartz glass.
The structure in which the heater member was wired was adopted. The above heater
The member was somewhat compressed by the load. Quartz glass plate
The wiring groove provided in the groove is also deformed and pressed during fusion,
Both the width and the groove depth became smaller. And this carb
Terminal wire as shown in Fig. 5
Connected to a power supply through a quartz glass pipe and
went. As a result, there is no problem with the heater temperature up to 1350 ° C.
Could be heated well. Also, between room temperature and 1200 ° C
Temperature was repeated 100 times, but cracks etc.
There was no title. In addition, both quartz glass
With 5% unfused part of the total contact area
Then, the above evaluation was performed, but the same result was obtained. (This
The unfused part of the lower part when the heater member is placed in the wiring groove
Fiber waste adhering to the surface of a quartz glass plate
Occurs when the removal of
You. ) Furthermore, using these two carbon heaters,
Φ with the outer periphery supported by a ring-shaped susceptor
A 200 mm semiconductor wafer is placed in a furnace in a vacuum for about 50 mm.
A test of heating to 1000 ° C from below was performed.
In either case, the temperature inside the upper surface of the semiconductor wafer
Was suppressed within the range of ± 0.5 ° C. other
And a heater member in the carbon heater in a furnace in the atmosphere.
Temperature to 1300 ° C and keep it for a long time.
Evaluation of reaction between quartz glass and carbon heater member
Test was conducted, but after 2500 hours have passed
Also cause any problems with any of the above carbon heaters
Has not been confirmed Invention of the second group
In the carbon heater, the quartz glass support is fused
Stress concentration does not occur.
You can enjoy life. Moreover, quartz supporting the heater member
The glass support is integrated except around the heater member.
Therefore, the heat capacity can be reduced by thinning the quartz glass support.
Wear. Therefore, it can respond to rapid temperature rise and fall. 2nd glue
The reflector plate for carbon heater of the invention of
Because it has excellent heat resistance, it can be placed under or outside the heater.
It is suitable as a heat reflection plate. Also, for the reasons mentioned above,
Especially for semiconductor heat treatment equipment because it can be made thinner and lower heat capacity
It is suitable for the heater. The invention of the second group
According to the method for manufacturing a carbon heater and a reflector therefor,
A high-quality carbon heater having the above-mentioned effects; and
The reflector can be efficiently manufactured at low cost. What
The invention of the second group is not limited to the above-described embodiment.
No. For example, the shape of the carbon heater and the reflector is rectangular.
The shape is not limited to a circle and various other shapes can be adopted. He
Are arranged in two or more steps in the quartz glass support.
You can also.Third Group Invention Hereinafter, the third group of the invention will be described with reference to FIGS.
A preferred embodiment will be described. FIG. 62 shows the results of the third group.
Ming's carbon heater especially useful for semiconductor manufacturing equipment
FIG. Carbon heater 410 as a whole
It is formed in a flat plate shape. The carbon heater 410 is
In the setting recess 413 of the setting member 412 made of quartz glass,
Heater member 41 made of carbon fiber as heat body
1 and a cover member 414 made of quartz glass
It has become. Therefore, the heater member 411 is made of quartz glass.
It will be dressed in the style. Specific of the heater member 411
As an example, a carbon fiber having a diameter of 7 μm
Using 9 bundled carbon fiber bundles, a diameter of about 2
Some are knitted in a wire shape of mm. Also,
The braided span is about 3.2 mm and the carbon fiber
The fluff caused by Ivar is about 1.0 to 3.0 mm each.
is there. The wiring form of the heater member 411 may be arbitrary. Illustrated
In this example, the shape is zigzag.
Is also good. It is also possible to divide into multiple zones.
You. In that case, a plurality of terminals are required. FIG.
As shown in FIG. 64, the setting member 412 is entirely rectangular.
Shaped quartz glass plate. The setting member 412 includes a heater
A meandering groove 413 is formed as a setting recess of the member 411.
Have been. Wide terminal settings at both ends of the groove 413
A part 421 is provided. Carbon terminal setting section
From 421, the metal electrode passage groove 422 extends to the outside
I have. A non-oxidizing gas is introduced into the setting member 412.
A gas introduction groove 423 is also formed. Metal electrode through
Each of the groove 422 and the gas introduction groove 423 has a metal electrode.
The quartz glass tube 428 and the quartz glass tube 429 for gas introduction
It is connected. Quartz glass tubes 428 and 429 are setting units
To prevent cracking after welding.
Annealing treatment has been performed. These quartz glass
The pipes 428, 429 can be reinforced by a reinforcing rod 431.
Can be. The groove 413 is, for example, a plate-shaped setting member 41.
Excavation 2 by machining using a diamond drill
Then, the work surface is formed by smoothing. On the cutting surface
There are countless chippings, which are caused by thermal shock.
Mirror polishing or polishing
The smoothing in the processing is performed. In particular, as described above,
In order to stop, polishing by heating with oxyhydrogen burner
Processing is optimal. However, as described below,
When filling the groove with alumina powder 415,
Is not always necessary. Here, the mirror surface is the surface roughness
Rmax (based on the maximum height JIS B0601-1982)
Follow. ) Means a surface of 1 μm or less. Table of surface of groove 413
If the surface roughness Rmax is larger than 1 μm, the heater unit
Local contact with the material occurs, increasing the reactivity in that area
The life of the heater member is shortened. That is, with quartz glass
Carbon is SiO2+ 3C → SiC + 2CO or SiO2
+ 2C → SiC + CO2Of the heater member 41
1 will be damaged. For example, 1200
10% increase in resistance due to silicification at 300 ° C for 300 hours
Was. One or more heater members 41 are provided in the groove 413.
1 can be arranged, but the depth of the groove 413 is
It is preferable to make the depth greater than the net thickness of Also,
So that the data member 411 and the lid member 414 are not in surface contact.
It is also important to: As shown in FIGS.
The member 412 and the lid member 414 are melted using an oxyhydrogen burner.
It is hermetically fixed by the contact 427. Setting member 412
And the cover member 414 are opposed to each other at a distance of L.
You. The distance L is 0.2 to 1 mm. Shorten the distance L
(Around 0.2 mm), the setting member 412 and
Preferably, the facing surface of the lid member 414 is mirror-finished.
This is because when surface sagging occurs during polishing, the opposing surfaces
This may cause breakage. distance
If L is less than 0.2 mm, the possibility of breakage increases.
When the distance L is made longer (around 1 mm), the surface contact
There is no need for mirror finishing because there is no fear. Distance L is 1mm
Is exceeded, the welding flame enters and the heating element 41
1 is more likely to be oxidized. Setting member 412 and lid
A groove is provided around the member 414. This
The welding strength between the setting member 412 and the lid member 414
Can be improved. Weld only right-angled corners without making a groove
In this case, sufficient welding strength can be obtained
Absent. For example, the thicknesses of the setting member 412 and the lid member 414 are
In the case of 6 mm, the chamfer of the groove is C5. In general
Is the width of C: y is determined by y ≦ t-1 mm (t is the thickness).
It is preferable to select them. This is the setting member 412
By leaving the upper and lower ends of the lid member 414 about 1 mm,
This is to prevent such chipping. Also,
Welding is performed not only at the groove but also at 1m as indicated by reference numeral 427.
It is preferable to weld by overlaying about m.
The strength can be further improved. The setting member 412 and the lid member
The spacing of 414 is due to the local temperature difference during welding.
Also helps to prevent damage due to. Setting member 412
In order to provide a gap between the lid members 414 and weld them,
Is a space having a thickness of 0.2 to 1 mm in the case of FIG.
And the outer periphery of the setting member 412 and the lid member 414
After 3 to 4 overlay welding and removing the spacer,
What is necessary is just to build-up welding of the whole peripheral part. Also, as shown in FIG.
Height of 0.2 to the entire outer peripheral side of the lid member 414.
A fire barrier 434 having a width of about 1 mm to about 9 mm and a cover member of 1 mm
414 is formed by integral molding or welding.
After the setting member 412 and the lid member 414 are overlapped,
While applying a fixed quartz glass rod to the groove,
Weld the flame barrier by heating with
The overlaid portion 427 can be formed and welded. In particular
According to the latter method, the heater member is heated by the burner.
Oxidation can be prevented as much as possible.
The spacing dimension can be made more uniform, and the setting section
Material and the outer periphery of the lid member2White cloudiness due to fine powder
Can be prevented from occurring, and the
I can do it. In addition, the fire protection wall 434 is a cover member.
414 may be provided at a height of 0.2 to 1 mm.
The toe is attached to both the setting member 412 and the lid member 414.
The tall height may be provided to be 0.2 to 1 mm.
As shown in FIG. 65, alumina powder 415
And the heater member 411 is filled with the alumina powder 415.
Supporting is advantageous. The alumina powder 415 is supplied to the setting unit 4
13, an alumina powder 415 and a heater member 411 are arranged.
After that, heat treatment is performed at about 1300 ° C. to perform sintering.
This ensures the maximum operating temperature of the carbon heater
To about 1350 ° C. Aluminum
The powder is arranged in the following procedure, for example. Quartz glass tube
After welding and annealing 428 and 429, setting member 4
Paste obtained by dissolving alumina powder with pure water in groove 413
After setting the heater member 411, the heater
Alumina paste is poured into the upper part of the member. And
The water is removed by a dryer at 200 ° C. for 3 hours. Carbon fiber
-Terminal 421 has a carbon terminal 416
And both ends of the heater member 411 are in contact therewith.
Has been continued. As shown in FIG. 66 and FIG.
Material 411 is inserted into the hole of carbon terminal 416
And is fixed by screws 425. Also carb
Terminal 416 is also connected to a metal electrode 417 made of Mo.
Has been continued. A screw 426 is provided at the tip of the metal electrode 417.
It is cut and screwed into the screw hole of carbon terminal 416
Is fixed. The metal electrode 417 is a quartz glass tube 4
28, is drawn out through 28 and connected to the electrode 432
ing. And the setting member 412 and the lid member
After welding and sealing 414, the distortion of quartz glass
It is eliminated by heat treatment at 1150 ° C. This heat treatment
The dried alumina powder is in a calcined state.
Is further subjected to a heat treatment at 1300 ° C. to obtain a sintered body.
It can be. Of the setting member 412 and the lid member 414
There is a gap of 0.2 to 1.0 mm between them. gas
A flexible tube 433 is connected to the introduction pipe 429.
From which non-oxidizing gas such as nitrogen gas is blown
(Arrow G). The blown gas is supplied to the gas introduction passage 423.
And flows around the carbon terminal. And
It is discharged through the quartz tube 428 for metal electrodes. Also,
The gas can also be supplied to the heater member 411.
In this case, care must be taken because temperature unevenness is likely to occur.
You.Example 3-1 62 without using alumina powder.
Created the data. Introduce nitrogen gas into this carbon heater
While conducting a heating test, the carbon wire heater
The temperature of the part was 850 ° C. and 51V10.6A. 10
There is no resistance change even after using for 0 hours, and stable heating is performed.
I was able to. Further, the temperature of the carbon heater is set to 1300
Can be used for 2000 hours or more
Was.Example 3-2 Except that the carbon wire was supported using alumina powder
A carbon heater was made in the same manner as Example 3-1.
Done. A heating test was performed while introducing nitrogen gas.
200 hours at 50 ° C heater temperature (alumina powder surface temperature)
Although it was used continuously for a while, there was no problem such as an increase in resistance. So
After that, when the temperature was further raised, the alumina powder surface
At 1550 ° C., the heater member was disconnected. Of the third group
The present invention is particularly effective for semiconductor manufacturing equipment.
Heaters have a much longer service life than conventional heaters.
Rapid temperature rise and fall are possible. The invention of the third group
Is not limited to the above embodiment. For example, the whole heater
The target shape is not limited to a rectangular flat plate, but may be a circular plate or a cylindrical shape.
Also, make grooves not only on the setting member but also on the lid member.
Can be.Invention of Group 4-1 Hereinafter, with reference to FIG. 87 and FIGS.
A preferred embodiment of the present invention will be described. FIG. 89 shows the 4-1.
Especially for semiconductor heat treatment equipment according to the invention of the group
It is a perspective view which shows the use condition of an effective carbon heater. Ma
FIG. 90 is a top view showing details of the carbon heater, and FIG.
1 is a side view partially omitted, and FIG.
It is an enlarged view of a part. The carb of the invention of the 4-1 group
The heater 610 bundles a plurality of carbon fibers.
Braided multiple carbon fiber bundles into a wire
The heater member 612 is used. Heater member 612
Examples of carbon wire used as
300 carbon fibers with a diameter of 7 μm were bundled
Using 9 carbon fiber bundles,
Some are knitted in ear shapes. Also, the above braid
Is about 3 mm and is made of carbon fiber.
The fuzz on the surface is about 0.5 to 2.5 mm each.
The heater member 612 is located substantially at the center of the quartz glass support 12.
They are arranged concentrically in a zigzag pattern on the surface. Wiring form
May be spiral or other shapes. Heater member 612
Is connected to the heater surface 1 through a hole 21 having a diameter of 3 mm, for example.
It is drawn perpendicular to 3. The wiring groove is a “convex” character
It is formed in a shape. Quartz glass support by heat treatment
The body 602, ie, the entire joint surface of the two quartz glass plates,
It is fused and substantially integrated. That is, this
The bon heater has a plate shape in which two heater members 612 are sealed.
Substantially integrated by quartz glass support 602
The plate-like quartz glass support 602
Around the heater member 612, the heater member 61 is provided.
To the fluff of carbon fiber formed on the surface of No.2
Therefore, it has a structure in which a hollow space is formed. this
A method for manufacturing a terminal portion according to an example will be described. 1) N2Stone with a large diameter (for example, 19 mm in diameter)
The English transparent pipe 603 is welded to the flat quartz container. Crack
Annealing treatment (for example, 1150 ° C., 1 h
r). 2) In a small diameter (for example, 9 mm diameter) quartz pipe 661
A plurality of wire-like carbons are pulled in using a string.
Then insert this quartz pipe into the setting hole of the quartz container.
You. The wire is tight inside a small-diameter quartz pipe 661.
Placed for 3) Each member is arranged as shown in FIG.
Assemble. At that time, the carbon material 662
By the action, the cutting of the carbon wire can be prevented. 4) Opaque pipe 603 that has been joined in advance by welding
A transparent pipe is welded to the lower part of a. At that time, the branch pipe
664 to N2Introduce gas to prevent oxidation of heater members
Go. 5) N2While introducing the sealed end under the lower transparent pipe
Attach the child. 6) A vacuum is drawn from the branch pipe 664 to reduce the pressure inside the heater.
You. Then, round the base 664a of the technical pipe 664 with flame.
Then, the branch pipe 664 is removed. The end of the heater member 612 extends from the heater member to the heater surface.
Pulled out almost perpendicularly to M
o terminal line 641. These are in a glass tube
Are located in The Mo terminal line 641 is
Connected to two Mo external tangents 653 via foil 655
I have. The Mo foil 655 is pinch-sealed. In addition, above
The carbon heater according to the invention of the 4-1st group includes the above-described carbon heater.
Except for the terminal portion, the carbon heater of the second group of the invention described above.
It has the same configuration as the
Built. Further, the carbon nanotubes of the invention of the 4-1 group are provided.
The data is the above terminal part and quartz glass support (fusion method)
Other than that, the car of the invention of the 4-2th group to be described later
The configuration can be the same as that of the Bon heater. like this
With this configuration, approximately 1
Temperature unevenness on the surface of a semiconductor wafer separated by 00 mm
Can be kept at ± 0.5 ° C. or less. Also, compact
It is easy to manufacture and has advantages in cost.
Is big. Arranged in the middle of quartz transparent glass pipe 603
Opaque quartz glass pipe 603a
Heat radiation inside the transmitted quartz transparent glass pipe 603 and
This has the effect of blocking heat conduction by itself. This
And prevent oxidation of the Mo rods 641 and 653.
In addition, damage to the quartz pinch portion 656 can be effectively prevented. Ma
In this experimental example, between the core 635 and the cylindrical core 648,
Because carbon material 662 for color is interposed in
When pressing the wire-like carbon with the core, the core
Resolves the problem that the carbon wire is cut by rotating
Can beInvention of Group 4-2 Hereinafter, with reference to FIG. 70 to FIG.
A preferred embodiment will now be described. FIG. 70 shows the 4-2th glue.
The invention of the pump is particularly effective for a semiconductor heat treatment apparatus.
FIG. 71 is a perspective view showing a usage state of the carbon heater.
FIG. 3 is a perspective view showing a heater alone. FIG. 72 shows FIG.
1 is a top view showing details of the carbon heater 1 and FIG.
It is the side view which omitted the minute. The invention of the 4-2th group
In the carbon heater 510, a plurality of carbon fibers
-Knit multiple carbon fiber bundles
An ear-shaped heater member 515 is used. Heater member
The cross section of 515 is not limited to a circular shape but may be a flat shape.
As a specific example of the heater member 515, for example, a diameter of 7 μm is used.
Carbon fiber bundled with 300 m carbon fibers
Knit into a wire shape with a diameter of about 2 mm using 9
There is something in the middle. The braided span is about
3mm, fluff on the surface by carbon fiber
Each is about 0.5 to 2.5 mm. like this
By using the heater member 515, the current load density can be reduced.
It can be improved about 1.5 times compared to the conventional Mo-Si wire,
Rapid heating becomes possible. The heater member 515 is quartz glass
Placed in the setting recess 516 of the lower container 511 of the flat container
Then, the upper container 512 is put thereon. Heater member 51
5 is a lower container 511 and an upper container 51 of a quartz glass flat container.
It is enclosed in a container with the appearance of being sandwiched between two. Superior
The upper surface of the heater 512 serves as the heater surface 512.
In the figure, the heater surface 512 is a semicircular plane. Setting recess 5
16 are symmetrically arranged on the plane of FIG.
Gas passage 517 for introducing gas on the line of symmetry and gas introduction
-An outlet 518 is formed. Of the heater member 515
The wiring form, that is, the shape of the setting recess 516 is arbitrary.
No. In the illustrated example, the shape is zigzag.
Shape. The quartz glass containers 511 and 512 are half
A split shape with a semicircular notch at the center in a circular shape
I have. Therefore, as shown in FIG.
Then, the donut-shaped heater 520 can be formed. Setting recess 5
A rod-shaped terminal insertion portion 519 is formed at both ends of
The rod-shaped terminal 521 is arranged perpendicular to the heater surface 531.
ing. The rod-shaped terminal 521 has an end portion of the heater member 515.
Is connected. At the corresponding position of the upper container 512, a rod shape
Grooves for terminals are formed. For the rod-shaped terminal insertion part 519
Is connected to a quartz glass tube 513. Quartz glass tube
513 is perpendicular to the heater surface 531 on the lower surface of the lower container 511.
Sealed and fixed. Some parts of the quartz glass tube 513 are not
Transparent quartz can be used. In this case, the heater side
Can suppress heat transfer and heat conduction due to light from
You. And, the temperature rise of the members arranged below it
Control to protect the member and prevent heat loss.
Can be. Terminal portion inside quartz glass tube 513
The main body 523 and the rod-shaped terminal 521 are connected to a plurality of wire-shaped cars.
They are connected by terminal wires 522 made of bon. end
An inner tangent line 524 made of Mo is guided downward from the child body 523.
Has been started. In this manner, a plurality of wires are used as conductive wires.
The use of the terminal wire 522 made of carbon
Thus, the electric resistance can be reduced and the heat generation can be suppressed. quartz
At the lower end of the glass tube 513, a cap made of quartz glass
526 are connected. The inner tangent 524 is the cap 52
6 is drawn downward. Inscribed inscribed
The lower end of the line 524 is connected to the upper part of the foil body 528 made of Mo.
Have been. An external tangent line 529 made of Mo is provided below the foil body 528.
Is connected. The external tangent line 529 is a two-pole in FIG.
Yes, but it can be unipolar. Mo foil 528 is sealed with quartz
Sealed by a stop terminal 527. This quartz sealing end
The child 527 heats the tip of the quartz cap 526 by heating.
It is pinched (pinched) and sealed. Toko
The inner tangent 524 out of the cap as it is
In the case of the squeezing, the difference in thermal expansion coefficient between Mo and quartz
Cracks and the like occur in the quartz sealing terminal 527, and the sealing performance is impaired.
There is a problem that it is lost. Eliminate such problems
For this purpose, the foil body 528 is interposed and the quartz sealing terminal 527 is provided.
Pinch and seal. Shown in FIGS. 74 and 75
As described above, the opposing surfaces of the upper container 512 and the lower container 511 have a distance of L.
They face apart from each other. Distance L is 0.2-1mm
is there. When shortening the distance L (around 0.2 mm)
Is to mirror-face the opposing surfaces of the upper and lower containers 511 and 512.
Is preferred. This is when surface drop occurs due to polishing
The opposite surface may touch and cause damage
It is. If the distance L is less than 0.2mm, it may be damaged.
Becomes larger. When the distance L is long (around 1 mm)
Since there is no fear of surface contact, there is no need for mirror finishing.
If the distance L exceeds 1 mm, the welding flame enters
However, the risk of the heating element 515 being oxidized increases. Up and down
A groove is provided around the containers 511 and 512. This
As a result, the welding strength of the upper and lower vessels 511 and 512 is dramatically increased.
Can be improved. Weld only right-angled corners without making a groove
In this case, sufficient welding strength can be obtained
Absent. For example, the upper and lower containers 511 and 512 have a thickness of 6 mm.
In the case of, the chamfer of the groove is C5. Generally, C
Width: y is selected according to y ≦ t-1 mm (t is wall thickness)
Is preferably performed. These are upper and lower containers 511, 512
By leaving the upper and lower edges of
This is to prevent chipping. In addition, welding is grooved
Not only, but also about 1 mm
Welding is preferable, in which case the strength is further increased.
I can do it. In addition, the interval between the upper and lower containers 511 and 512 is increased.
Is to prevent damage due to local temperature differences during welding.
It also helps. Space between upper and lower containers 511, 512
In order to weld them, in the case of FIG.
With a spacer of 0.2 to 1 mm
3 and 4 points are welded on the outer periphery of 11 and 512
After removing the
No. In addition, as shown in FIG.
0.2 to 1 mm in height and 0.1 to 9 mm in width
Of the flame barrier 534 into the upper container 512
The upper container 512 and the lower container 51 are formed by contact.
After superimposing 1, a predetermined quartz glass rod is placed on the groove.
Prevention by heating with an oxyhydrogen burner while writing
Weld the flame embankment part, further form the overlay part 532 and weld
can do. Especially the burner according to the latter method
Oxidation of the heater member due to heating can be prevented as much as possible.
The spacing between the upper and lower containers can be made more uniform.
And SiO on the outer periphery of the upper and lower containers2White by fine powder
Color fogging can be prevented and the carbon heater
Heat uniformity can be improved. In addition, 534
May be provided in the lower container 511 at a height of 0.2 to 1 mm.
And further into either the upper container 512 or the lower container 511.
Also provided so that the total height is 0.2-1 mm
Is also good. Welding of the upper and lower vessels 511 and 512 is performed by gas introduction and
From the gas introduction pipe 514 connected to the discharge port 518,
This is performed while introducing nitrogen gas. Introduce nitrogen gas to nitrogen
Let the gas flow out of the surroundings and push the oxyhydrogen flame for welding
The heating element 51 disposed in the setting recess 516 so as to be returned.
5 is prevented from being oxidized. The gas passage 517 has such a nitrogen passage.
It is necessary to arrange them so as to be suitable for the action of the raw gas. Ma
In addition, the introduction of nitrogen gas should be
It is also useful for preventing oxidation of the wire-like carbon of the slave wire. welding
In the subsequent annealing step, the operation is performed while introducing nitrogen gas.
Business. Then, while introducing nitrogen again,
Ear-shaped carbon 522 set in quartz glass tube 513
Then, the sealing terminal 523 is attached. After setting the terminal section
Is performed while introducing nitrogen gas. Introduction
Non-metals such as nitrogen, helium, argon, and neon
Oxidizing gas can be used, but from the economic point of view
Nitrogen is reasonable. Finished assembly of quartz glass container
Then, exhaust the inside of the container and set the inside of the container to the specified pressure.
I do. Accordingly, the inside of the quartz glass tube 513 described above also
The state becomes a predetermined reduced pressure state. Generally, carbon materials are oxidized
Filling container with non-oxidizing gas such as nitrogen
Alternatively, it is necessary to evacuate the container. But vacuum
Tends to accelerate the reaction between carbon material and quartz glass
Therefore, in the present invention, the container is filled with a non-oxidizing gas.
Adopt a method. Turn on the heater while introducing nitrogen gas.
In the heating method, heat treatment is performed by installing a nitrogen gas line, etc.
Due to the complexity of the device structure, the preferred embodiment of the present invention
Seals the inside of the container with a small amount of nitrogen gas
I do. The sealing pressure is determined as follows. For example,
Used at 1000 ° C and the pressure inside the heat treatment furnace is vacuum and normal pressure
When using both, the pressure inside the heater is 0-1a
tm is set to about 0.5 atm. 10
In order to make 0.5 atm at 00 ° C, at room temperature 20 ° C
0.5atm × 293K / 1273K × 760Torr
/ Atm = 87 Torr and 87 Torr at room temperature
The pressure inside the heater is reduced so that it is sealed. Inside the heater
The unit is set to, for example, about 0.1 atm. That is,
Perform assembly work while introducing raw gas, and complete
After the completion, nitrogen gas is extracted from the gas introduction pipe 514, and
Is adjusted to a predetermined pressure. Depressurizing the inside of the container
It is also advantageous in terms of the life of British glass containers. Computer
As a result of the simulation, a quartz glass container for the heater was used.
Is more susceptible to internal pressure than external pressure
The result is weak. 1 atmosphere of nitrogen gas at room temperature
When the heater is heated, the nitrogen inside expands when the heater is heated,
Pressure acts from inside the quartz glass container.
Finally, the gas introduction pipe 514 is close to the lower surface of the lower container 511.
Remove and seal with flame at a location. For this reason, gas
The inlet tube 514 and the quartz glass tube 513 can be closed.
It is arranged at a certain interval. It should be noted that the present invention
Effective carbon heaters for body treatment equipment are described above.
Cleaning semiconductors at high temperatures
The present invention can also be applied to a washing device or the like.Example 4-2-1 Groove processing and outer diameter processing on a 8.0 mm thick quartz glass plate
After that, the work surface is polished with oxyhydrogen flame
Perform a semicircular, quartz glass lower container with an outer diameter of 240 mm
Obtained. Also, using a 8.0 mm thick quartz glass plate,
An upper container corresponding to the container was formed. Gas is introduced into the lower container
Fused quartz glass tube for terminal and quartz glass tube for terminal
Contacted The outer diameter of the former is 6.5 mm, and the outer diameter of the latter is 25.
4 mm. In the groove of the lower container and the glass tube for terminals
Place the heater member and terminal set, cover the upper container, and
While introducing nitrogen gas from the input glass tube,
The outer periphery was welded. The groove is C5 and the weld overlay is
1 mm. In principle, the subsequent processes are also performed with nitrogen gas.
It was done while introducing Terminal section of the other end of the heater member
The body was placed at the open end of a quartz glass tube and sealed. Soshi
Then, an annealing process was performed. Finally, quartz for gas introduction
Exhaust the nitrogen gas from the glass tube and adjust the pressure in the container to 180T
At orr, the gas introduction tube was sealed off. that's all
Using a T-shaped carbon heater manufactured by the procedure
Then, a heating test was performed. Apply current to the heating element to
When the temperature reaches 1100 ° C with the radiation thermometer, the internal pressure of the heater
The force was measured to be about 1 atmosphere. Also, multiple
The temperature of the carbon wire bundle was 105 ° C. Room
Time required for the heater temperature to reach 1100 ° C from the temperature
Was about 10 seconds. 100 at a heater temperature of 1100 ° C
After continuous use for 0 hours, no abnormality was observed. Ma
In addition, heating at a heater temperature of 1300 ° C. can be performed without any problem.
did it. Hereinafter, with reference to FIGS.
A more preferred embodiment of the invention will be described. FIG.
Carbon to which the terminal device for carbon heater of the present invention is applied
It is a perspective view which shows a heater. FIG. 77 is a top view thereof.
You. The carbon heater 601 is a semi-doughnut-shaped quartz glass.
And a quartz glass tube 603 underneath.
Are connected vertically. Quartz glass container 602
It consists of a container body and a lid member, and the container body has a heater
A groove 604 for disposing the material 612 is formed.
At both ends of the groove 604, terminals for arranging terminal devices
A recess 606 is provided. In addition, the inside of the container 602 is non-oxidized.
Gas inlet / discharge port 608 to create a neutral atmosphere
A passage 607 is also formed. 2 carbon heaters 601
Combined to form a circular heater surface, the semiconductor manufacturing equipment
Can be used as a heating heater. Terminal recess 606
And the quartz glass tube 603 contains the terminal device of the present invention.
Is placed. In the terminal device of the present invention, the heater member 6 is provided.
12 and a plurality of wire-like carbon terminal wires 613
Terminal devices 610 and 600 for connecting
Wire 613 and metal terminal wire 641
Second terminal device 640 for connection, quartz glass tube 60
3 and the metal on the power supply side
Third terminal for connecting terminal wire (external tangent line) 653
There are three types of devices 650. First, refer to FIGS.
In the following, the first terminal device will be described. This terminal equipment
The terminal 620 is connected to the terminal member 611 using the intermediate member 634.
The terminal wire connection member 616 is connected. rod
The external shape of the terminal member 611 is generally a cylindrical rod shape.
A heater member 612 is provided on one end side of the rod-shaped terminal member 611.
A through hole 614 for insertion is formed parallel to the end face.
I have. The screw hole 623 is inserted so as to communicate with the through hole 614.
Is provided. The through hole 614 and the screw hole 623 are as shown in FIG.
As shown in FIG.
I have. Insert the heater member 612 into the through hole 614 and screw
The fixing screw 619 is screwed into the hole 623 to form the heating element 612.
Is fixed. This will ensure a secure fit
From the bar-shaped terminal member 611 without generating a spark.
Electric power can be supplied to the heater member 612. Rod shape
A terminal wire guide member 616 is provided at the other end of the terminal member 611.
A large diameter blind screw hole 615 for connecting
ing. The blind screw holes are located on the axis. Terminal wire
The guide member 616 is connected to the terminal member 6 via the intermediate member 633.
11 is connected. The intermediate member 633 has a threaded portion on the outer circumference.
634 is a cylindrical member. Terminal wire connection member 6
16 is formed in a cylindrical shape as a whole. The through hole is
It narrows conically near the lower end. The other end
On the inner periphery on the continuation end side, an external thread portion 634 of the intermediate member 633 is provided.
A corresponding female thread 622 is formed. Terminal wire connection
A core member 635 is inserted into the through hole of the member 616.
You. The core member 635 is a plain cylindrical shape and has a terminal wire.
The end on the side protrudes conically. The core member 635 is
Only a part of it is inserted into the through hole of the terminal wire connection member 616.
Can also be entered. For that, for example
For example, a recess may be formed in the intermediate member 633. wire
The terminal wire 613 made of carbon is used as the terminal wire connecting member 6.
16 and the core member 635 in a state of being distributed and distributed.
Pressed and fixed. Multiple shallow grooves outside core member 635
It is also possible to provide a book and guide the divided wires.
No. When assembling, as shown in FIG.
The wire-like carbon terminal wire 613 is connected to a plurality of wires 613.
a, and press lightly with the core member 635,
Then, the intermediate member 635 is screwed. This
A plurality of wire-like carbon terminal wires 613
The connection member 618 and the core member 631
By screwing into the terminal member 611 via 633,
The terminal wire 613a disposed in the groove-shaped region is connected to the terminal member 6
11 can be strongly connected. Therefore, good conduction
Is guaranteed. Also, a heater member with shape flexibility
Abnormal response within the carbon heater to thermal expansion and thermal deformation
Connection can be made without generating force. Above Wye
The carbon terminal wire 613 is the same as the heater member 612.
It is better to use the same material. If the materials are different,
Unit length of multiple wire-like carbon terminal wires 613
The resistance value per contact is set to a value corresponding to that of the heater member 612.
Make it small so that heat generation of the terminal wire is sufficiently suppressed.
The wire-like carbon terminal wire 613 and the heater member 612
The temperature was approximately the electrical resistance value ratio. For example,
The terminal member 612 has a resistance value of 10Ω / m.
Is 1Ω / m · book, the temperature of the heater member 612 is
At 1000 ° C., the terminal wire was about 100 ° C. Wai
Wire 613 and heater member 612 are the same
In the case of a material, the number of terminal wires is 5 times the number of heaters.
It is preferable that it be twice or more. For example, for one heater
Assuming that the number of terminal wires is four, the normal semiconductor processing
Heater temperature was 1100 ° C as in the process
In that case, the terminal wire temperature was about 275 ° C. 275 ° C
Is the temperature at which the vacuum sealing material such as Viton deteriorates.
On the other hand, by reducing the number of wires to five,
The slave wire temperature becomes approximately 220 ° C, and the heat-resistant temperature becomes 230 ° C or less.
We were able to. In this way, the above-described power transmitting power
By lowering the temperature of the ear-shaped carbon terminal wire 613
To prevent deterioration of the vacuum seal material such as Viton.
it can. In addition, the wire-like carbon itself is a carbon fiber.
Heat conduction from the heater.
Can be obtained. For example, heat transfer of ordinary special carbon material
Conductivity is 100W / mK, while wire-shaped car
In Bonn, it is 1 W / mK or less. Next, FIGS. 84 and 85
The second terminal device will be described with reference to FIG. This end
The child device 640 is a terminal wire 6 made of wire-like carbon.
13 and the metal terminal wire 641
The wire connection member 643, the terminal portion main body 642, and the metal wire connection
The connection is made by using a connection member 645. the above
The wire-like carbon connecting member 643 is the first carbon connecting member described above.
Approximately the same shape as the terminal wire connection member 616 in the terminal device
And the operation is the same. Terminal body 642 is all
It is a physically cylindrical member with a wire bundle
Connecting portion 644 for connecting the guiding means 643
is there. To connect a metal wire connecting member 645 to the other end side
Connection portion 646 is provided. The connection part 644 is a female screw
It is a large diameter screw hole having a portion. The connection portion 646 has a medium
Tapered portion (hole) 642b for accommodating child member 647
Are formed. This tapered hole and the large diameter screw hole
Through. In addition, on the outer periphery of the connection portion 646, a male screw portion is provided.
Are formed. The metal wire connecting member 645 is a cup-shaped part
And is connected to the connecting portion 46 of the terminal portion main body 642.
And screw it in. As shown in FIG. 85,
The core member 647 has two split molds.
It has a truncated cone shape. The outer tapered surface is the terminal body 64.
2 corresponds to the second tapered portion 642b. Opposing surface of each split mold
Is provided with a groove-shaped holding portion 647a for holding a metal wire.
There is. The connection part 644 of the terminal part main body 642 and a plurality of wires
The bottom of the ear-shaped carbon connection member 643 (the wire bundle 61
3) is a cylindrical core 648 having a threaded portion on the outer periphery.
Connected via As shown in FIG.
1 of the terminal portion main body 642 holding the
The metal wire connecting member 645 is screwed into
The wire 641 can be securely connected to the terminal body 642.
Sparks can be prevented. This is split type core 647
And a taper engagement between the taper hole of the terminal portion main body 642
You. Mo (molybdenum) is used as the metal terminal wire 641.
Although it is preferable to use a metal rod 641,
Can also be used. Mo is very close to carbon material
Because of its expansion coefficient, it depends on the thermal history of the carbon terminal body.
Cracks such as cracks can be prevented. Mo has a melting point
Because of the high temperature of about 2100 ° C, generation of metal impurities is suppressed.
Can be obtained. Therefore, Mo metal rod is made of quartz
Suitable for the inner tangent of the sealing terminal (terminal wire on the heater side)
is there. The terminal body 642 and the core member 647 are formed of carbon material.
Preferably. Carbon material is easy to purify, 300
It is convenient because it can withstand high temperatures of 0 ° C. Also, the core
Since the material 647 supports the molybdenum rod,
For prevention, coal with a thermal expansion coefficient similar to that of molybdenum
Materials are preferred. The thermal expansion coefficient of Mo and the carbon material
Are 4.2 to 4.8 × 10-6/ ° C. Most
An embodiment of the third terminal device will be described later with reference to FIG.
I will tell. FIG. 86 shows a part of the carbon heater and the first to third carbon heaters.
Is schematically shown. The first terminal device and the second
The terminal device of No. 2 has a plurality of wires in a glass tube 603.
They are connected by carbon terminal wires 613. This
A plurality of wire-like carbon terminal wires 61 are used as conductive wires.
Use of 3 reduces electrical resistance and suppresses heat generation
be able to. In addition, wire-like carbon has extremely low heat conduction.
It has a small advantage. In the glass tube 603,
It is preferable to charge nitrogen or argon gas
No. This ensures that the terminal device placed in the pipe is resistant to
Can be improved. The third terminal device 650 is a quartz glass.
Tangent line 641 arranged inside the pipe 603 and the power supply side
This is for connecting the external tangent line 653. This example
Here, the inner tangent line 641 is a molybdenum rod 641. Moly
One end of the rod 641 is connected to the second terminal device 640.
The other end is connected to the molybdenum foil 655. Moly
Budden rod 641 is indirectly connected to heater member 612
Is done. The lower end of the quartz glass tube 603 is made of quartz glass
Cap is connected, and the molybdenum rod 641 is
Pulled out through the cap. Molybdenum foil 65
5, two external tangents 653 are drawn out from the bottom side
Have been. The external tangent 653 may be a single pole. And mo
Pinch the ribbed foil 655 so that the whole
A rule portion 656 is formed. Pinch seal part 656
Sets the molybdenum foil 655 inside the glass tube 3 and in the atmosphere.
Shielded from The pinch seal portion 656 is made of quartz glass.
It is made of steel. The pinch seal portion 656 is made of, for example, quartz.
Heat and soften the tip of the cap and pinch it (with scissors
Can be formed by sealing. As mentioned above,
Heater member 612 woven with carbon fiber bundle
Are not directly connected to the internal tangent line 641, but are connected indirectly.
You. In other words, the heater member is disposed apart from the heat.
This is very important. The external tangent 653 has a diameter of 1.4.
Use two molybdenum rods of mm to 2.0 mm
Can be. The inner tangent line 641 has a diameter of 1.4 mm
A 2.0 mm molybdenum rod can be used. Quartz pie
For the pipe 603, a pipe with an outer diameter of 15 mm or more can be used.
Wear. Molybdenum foil 655 has a width of 8 mm or more
The thickness can be 0.2mm ~ 0.5mm.
You.Example 4-2-2 Example 4-2-2 relates to the first terminal device. nitrogen
Place two purified terminal members in the atmosphere,
The two heater members were fixed at intervals of 1 m. At this time
Had an electric resistance of 5Ω. Next, the core member and the terminal wire
Eighteen heater members are fixed to terminal members using connecting members
Then, the terminal device of FIG. 78 was manufactured. And this heater
Electric power was supplied from the member to the heater. 10 minutes later the heater
The temperature becomes 1100 ° C and the electrical resistance becomes 2.5Ω
Was. At this time, the temperature of 18 carbon wires was measured.
As a result, the temperature was 105 ° C. 1000 hours in this state
When used, no change in electrical resistance was observed. use
Carbon wire, terminal members, and other carbon members
Purified to 5 ppm or less with ash. In contrast
When an unpurified product was used, the wire was broken in 16 hours.
The carbon wire heater after disconnection was observed with EPMA.
However, deterioration due to iron was observed. In this way,
Parts and other carbon members are less than 5 ppm in distribution
Is preferred. The iron concentration of the purified carbon material is
The content is preferably 0.1 ppm or less.Example 4-2-3 Example 4-2-3 relates to the second terminal device. diameter
The terminal device of FIG. 84 was manufactured using a 2 mm Mo metal rod.
Made. Arrange carbon wire heater in quartz glass container
And keep the container in a nitrogen atmosphere.
-2 terminal device and example 4-2-3 terminal device
Connected. The length of the heater member is 1m and two wires
And The resistance value of the heater is 5Ω at room temperature and 11
It was 2.5Ω when heated at 00 ° C. 1100 heater
Temperature of wire-shaped carbon terminal wire (18 wires) at ℃
Was 105 ° C. In addition, the Mo metal terminal section is 5
5 ° C. Use this carbon heater for 1000 hours
As a result, no damage such as cracks occurred on the terminal body.
No other problems arose. In addition, Example 4-2
The carbon member of the terminal device in -3 is also an example 4-
Purified similarly to 2-2 was used.Example 4-2-4 Example 4-2-4 relates to the third terminal device. diameter
Two 1.4 mm external tangent wires and a 15 mm outer diameter quartz pie
Using a Mo foil having a width of 8 mm, as shown in FIG.
Was manufactured. Apply 30A current to this terminal device
When flowing, damage such as cracks may occur in the sealed part
Not confirmed. First of Invention of Group 4-2
According to the terminal device for a carbon heater, the heater member and the
As many terminal wires as wire-like carbon
Can be easily connected. Also, this terminal device is
It has a simple structure and has a sufficiently long life. No. 4-2 glue
According to the second invention, the terminal device for carbon heater
If the terminal wire consisting of multiple wire-like carbon and metal
Can be reliably and easily connected to the terminal wire.
This terminal device has a simple structure and has a long life.
Good for a minute. The third carbon fiber according to the invention of the 4-2 group.
According to the data terminal device, it can be used with a large current of about 30A.
Even so, you can enjoy a long life. In addition, the launch of Group 4-2
The description is not limited to the embodiments described above. For example, for each member
It is possible to reverse the relationship between the screw and male screw and the relationship between the unevenness
Can be. Such design changes are based on the description in this specification.
It can be easily accomplished by those skilled in the art based on the
Do not state.Invention of the fifth group Hereinafter, the fifth group of the invention will be described with reference to FIGS.
A preferred embodiment will be described. (A) and (B) of FIG.
First embodiment using the invention of the fifth group in a semiconductor manufacturing apparatus
It is the schematic which shows an example and 2nd Example. Heater unit 2
10 is provided with a cylindrical furnace core tube 211, and a heater is provided outside thereof.
Tab member 212 is wound. 41A shown in FIG.
In one embodiment, the heater member 212 reciprocates vertically.
The second embodiment shown in FIG.
Here, the heater member 212 is spirally wound. Ma
In addition, the heater member 212 of the first embodiment and the second embodiment.
Can be divided into multiple zones and controlled separately,
It becomes easy to control the lower temperature distribution uniformly. Heater member 21
2 is high purity carbon water having an ash content of 10 ppm or less.
Ears are suitable. Such high purity carbon wire
Can prevent impurity contamination,
Low heat conduction and low heat capacity enable rapid temperature rise and fall
It works. However, metal heaters can be used
It is. In the lower part inside the furnace core tube 211, a plurality of cars
Multiple carbon fiber bundles also serving as bon fiber
Heater member 2 woven into a wire-like elongated shape using
22, a flat container-shaped carbon heater 220 is disposed.
Have been. The heater member 222 has an elongated shape.
If it is, a thing like a tape shape can also be used. Ma
A specific example of the heater member is a car having a diameter of 3 μm.
Carbon fiber bundle with 330 bon fiber bundles
Woven into a wire shape with a diameter of about 2 mm using 9 pieces
It is. FIG. 42 and FIG.
3A and 3B are a top view and a sectional view, respectively. Carbon heater 220
Is a high-purity carbon rod in a quartz glass container 221.
Terminal member 223 and a wire made of translucent alumina alone.
The heater member 222 is supported by a support jig 224.
Configuration. Quartz glass container 221 is transparent
It can be made of quartz and consists of a container body 247 and a base 248.
You. The container body 247 and the base 248 are made of ground glass.
Is joined. The outer surface exposed portion of the container body 247, particularly
The upper surface that becomes the heat generating surface has a semicircular or trapezoidal convex section.
Stripe or concentric as a whole
Or in a grid, and this outer surface is
It is preferable that the sheet is subjected to a dispensing process. Thus, the present invention
Above the heater surface by a linear heater member such as the heater member
Heat generated by the light scattering
A prism effect can be obtained. Usually for the same effect
A method of sandblasting the heater surface has been adopted.
However, in this case, the surface is grainy,
Heat dissipation from the surface is suppressed, and heat is accumulated in the quartz glass itself
Energy efficiency is reduced. This meaning
It is an important matter that the above polishing is performed.
is there. In addition, a container made of quartz glass has many small closed pores.
It can also be made of intrinsic opaque quartz. Base 2
A branch pipe 233 made of quartz glass is connected to 48.
You. To prevent oxidation of carbon as a heater member
Then, an inert gas or nitrogen gas is introduced from the branch pipe 233.
it can. In addition, the inside of the container is evacuated, for example, 10 torr.
The branch pipe 233 can be used even when the vacuum is lower than r.
On the upper surface of the base 248, a wire support member 2 described later is provided.
24, and a plurality of rod-shaped terminal members 223.
There are two through holes for passing through. Base 248
Prevents heat from escaping below the carbon heater 220.
Therefore, it is composed of opaque quartz glass. On the base
Surface or above, made of carbon made of glassy carbon
A reflection plate 225 is provided. Thus, the heater member 2
By placing the reflection plate 225 below the bottom 22,
Thermal radiation can be reduced. On the surface of the reflector 225
Is mirror-finished with a center line average roughness Ra of 0.1 μm or less.
Have been. As described above, in the invention of the fifth group,
Multiple carbon fiber bundles
A heater member woven into a wire-like elongated shape using several wires
222 is used as a heater, and
It is bent by the jig 224,
Heats a substantially disc-shaped body so that its in-plane temperature distribution is uniform
What you want to do. To do so, this heater section
It is important to make the material 222 evenly tensioned.
Be a point. In the fifth group of the invention, as shown in FIG.
First, the heater member 222 is
Through the through-hole of the tool 224, and a bar-shaped terminal at each end.
After passing through the lateral hole 237 of the member 223, one of the rod-shaped terminals
A heater member is attached to the member 223 by using a bolt-shaped holding member 228.
222, and the other heater member 222 on one side is pressed.
While pulling with an appropriate force, the bolt-like holding member 2
At 28, the pressure is maintained. The heater member 222
It is preferable to use ash having a ash content of 10 ppm or less.
New In this case, impurity contamination can be prevented and heat conduction can be prevented.
Small heat capacity, enabling rapid temperature rise and fall
You. The heater member 222 includes a wire support jig 224.
On a plane parallel to the plane of the container 221.
It has a curved portion and is arranged in zigzag. Of the heater member 222
At both ends, bar-shaped terminal members 223 are arranged.
The power is supplied via this. FIG. 44 shows the terminal member 22.
3 is an assembly drawing of FIG. The terminal member 223 includes a terminal body 223.
a, a pressing member 228, and a cap 229.
You. The terminal member 223 is preferably at least a part of the exposed portion.
Alternatively, the entire exposed portion is coated with SiC. this
Can be used in air if coated with SiC
It works. The terminal body 223a is made of carbon,
It is formed like a bolt. The axis of the terminal body 223a
In the vicinity of the middle of the direction, there is a penetrating horizontal hole 237 perpendicular to the axis.
Is provided. Penetrating from the hexagonal head of terminal body 223a
Over the horizontal hole 237
An axial hole 236 is formed. Of the axial hole 236
The wall is threaded. Also on the leg of terminal body 223a
Screws are cut. In FIG. 44, the head of the terminal body 223 is shown.
Is a hexagon, but can be rotated with a tool such as a spanner.
Other shapes may be used as long as they are in the shape. The holding member 228 is a terminal
It is formed in a screw type corresponding to the axial hole 236 of the main body 223.
Have been. The head has a slot 2 for a flathead screwdriver.
35 are provided. The holding member 228 is
Screwed into the axial hole 236 and inserted into the through hole 203
The heater member 222 is pressed. Thus, the holding member 2
28 to make the heater member 222 a terminal body 223a.
The heater member 222 comes off due to close contact.
Fall and spark generation can be prevented. Cap 229
Nut shape corresponding to the screw of the leg of terminal body 223a
Has been established. With the terminal body 223a and the cap 229
Expanded graphite sheet (shown in the figure)
)), Air leaks inside the heater and dust
Can be prevented. (A) of FIG. 45 corresponds to FIGS.
3 is a perspective view showing a wire support jig 224 of FIG.
(B) is a sectional view showing a modification of the wire support jig.
You. The wire support jig 224 is a thin cylindrical type,
A hole 224a for passing the heater member 222
I have. The base of the wire support jig 224 is quartz glass
It is embedded in the setting hole of the container 221. Wire support cure
By supporting the heater member 222 with the tool 224,
Keep the heater member 222 from touching the quartz glass container.
One. This prevents silicification of the wire and reduces electrical resistance.
A change in resistance can be prevented. Thin wire support jig 224
The cylindrical or pipe shape of
In addition, the heat capacity can be reduced. Small heat capacity
Heat response is faster and more resistant to thermal shock.
You. The wire support jig 224 is made of transparent alumina.
Can be formed. In this case, the heat from the transparent part
Increased radiation improves temperature uniformity of the workpiece
You. The characteristics required for the wire support jig 224
For example, the heater member 222 at a high temperature of about 1300 ° C.
Is non-reactive and non-conductive. These two conditions
What is satisfactory is translucent alumina.
Can be formed. However, it does not
However, when trying to increase the rate of rapid temperature rise, thermal shock
As a result, cracks or breakage of the wire support jig may occur.
There is. As a structure to solve this, high-purity carbon
And a translucent alumina assembly member. In particular, the pair
The part of the upright member that contacts the heater member is made of high-purity carbon material.
And placed in the flat container 221 of the assembly member.
The connection part is preferably made of a translucent alumina material.
It will be suitable. The wire support jig 2 of FIG.
41 is a carbon member 242 and an alumina pipe member 24
3 are connected. For the carbon member 242
The horizontal hole 244 for penetrating the heater member 222 is
Is formed. 46-60 illustrate the implementation of FIGS.
An embodiment in which the example is modified is shown. FIG. 46 shows a heater
FIG. 4 is a cross-sectional view illustrating a main part of a member 220. Rod terminal member
Also, the connection structure with the heater member is shown in FIGS.
46 and the embodiment of FIGS.
ing. Hereinafter, the characteristic configuration will be described. Hi
The data member 222 bundles a plurality of carbon fibers.
Using multiple carbon fiber bundles into a wire shape
It is woven. As the heater member 222
Can be used as long as it has a narrow shape.
Can be used. The heater member 222 has a certain thickness (about 2 mm).
Is preferable. Torsion
The wire is easy to loosen, there is temperature variation, soaking
It tends to be poor. Then, the electrical resistance varies.
As a result, the uniformity with respect to the wafer decreases. The above heater member
222 is a carbon wire having an ash content of 3 ppm or less.
It is preferred to use In this case, prevent impurity contamination
And rapid heat transfer with low heat capacity.
It is possible to raise and lower the temperature. Both ends of the heater member 222
A terminal member 223 made of carbon
Power is supplied via 23. As shown in FIGS.
As shown in FIG.
23a. One side of the flange 223a is
A screw 223b is formed, and an axial hole is formed on the other side.
223c and a horizontal hole 223d are formed. Wai
Of the terminal member 223 in the horizontal direction.
After inserting into 223d, carbon bolt-shaped holding part
Screw 228 into the axial hole 223c of the terminal member 223.
Then, the wire 223 is fixed to the terminal member 223.
Alumina insulator ring 263 is a wire heater member 2
22 and a flange of the terminal member 223.
223a. This allows the glass
Reflector 225 made of carbon and carbon
The electrical insulation of the terminal member 223 is achieved. Base 2
48, the flange 223a of the terminal member 223 described above.
Is set, and the carbon and carbon fiber
Spring washer 26 made of mixture (C / C)
5 is set. Nut 266 to the terminal body 223
The terminal member 223 is screwed into the male screw 223b.
Is fixed to the base 248. The additional terminal 267 is the axis
The female screw formed in the hole 267b in the direction
It is screwed and fixed to the male screw 223b. car
The additional terminal 267 made of Bonn has a bolt-like holding member 268.
Is screwed. The additional terminal 267 has a small exposed portion.
At least a part, preferably the whole exposed part is coated with SiC.
Is performed. By performing SiC coating in this way,
It can be used even in the atmosphere. Of the additional terminal 267 in the axial direction.
A penetrating horizontal hole 267a perpendicular to the axis is provided near the middle.
There is. From one end of the additional terminal 267 to the hole 267a
The axial hole 2 for receiving the holding member 268.
67b are formed. On the inner wall of the axial hole 267b
Is threaded. Screw on the other end of additional terminal 267
Is cut. The holding member 268 is a shaft of the additional terminal 267.
It is formed in a screw shape corresponding to the direction hole 267b. Push
The mounting member 268 is screwed into the axial hole 267b of the additional terminal 267.
Wire-like carbs inserted into the side holes 267a
Of the terminal wire 270 made of a metal. Thus, the holding part
Wire 2 made of wire-like carbon using material 268
70 to the additional terminal 267
The terminal wire 270 made of wire-like carbon
Prevents the generation of sparks. Wire carbon
Consists of a plurality of carbon fibers
A plurality of bundled carbon fiber bundles (for example, 2
0) knitted. From wire-like carbon
Is connected to another additional terminal 272.
It is fixed to. The upper end of this additional terminal 272 is
Hole 272a is formed, and a female thread is cut there.
It is. So that it penetrates the bottom of the hole 272a.
A hole 272b is formed in the additional terminal 272. Wire
End of the terminal wire 270 made of carbon
After inserting the set screw 273 into the additional end 272b,
Screw into the axial hole 272a of the
A terminal wire 270 made of carbon is fixed to the additional terminal 272.
Set. A male screw 272 is provided at the lower end of the additional terminal 272.
c is formed. Screw the nut 274 into it,
One end of the metal wiring 275 is fixed to the additional terminal 272.
The other end of the metal wiring 275 is connected to a power supply (not shown)
Have been. Usually, the metal wiring 2 is connected to the nut 266 described above.
75, but in this case, the carbon terminal body
With the heat generation of 223, the metal wiring is oxidized and deteriorated,
In particular, a structure provided with a terminal wire made of the above-mentioned wire-like carbon
The structure solves such a problem. 48 to 4
9 shows a specific example of the terminal member 23 in FIG. Figure
50 to 51 show specific examples of the additional terminal 67 in FIG.
I have. 52 to 53 show specific examples of the holding member 28 in FIG.
Is shown. 54 to 55 show the pressing member 68 of FIG.
Is shown. FIGS. 56 to 57 are additions of FIG.
A specific example of the terminal 72 is shown. FIGS. 58-59 show FIG.
6 shows a specific example of the nut 74. Wire support cure
The tool 224 includes a thin cylindrical heater member 222.
There is a hole to pass through. Wire support jig 22
4 is buried in the setting hole of the quartz glass container 221.
Be included. Heater member supported by wire support jig 224
By doing so, the heater member 222 is
Keep away from touching. This way, the carbon
More reliably prevent silicification of the heater member and change in electrical resistance
Can be prevented. Wire support jig 224 is thin cylindrical type
In other words, a pipe shape increases heat radiation upward.
In addition, the heat capacity can be reduced. Small heat capacity
Heat response is faster and more resistant to thermal shock.
You. The wire support jig 224 is made of transparent alumina.
Can be formed. In this case, the heat from the transparent part
Increased radiation can improve temperature uniformity of the workpiece
You. In the fifth group of the invention, the rod-shaped terminal member 22 is provided.
3 in the length direction, for inserting the bolt-shaped pressing member 228
A substantially cylindrical hole 223c is formed, and
A substantially circular lateral hole 223d penetrating the hole 223c is formed.
The heater member is inserted into the side hole 223d,
The hole has a length at least reaching the lower part of the side hole 223d
The bolt-shaped holding member 228 is rotatably inserted.
However, at this time, as shown in FIG.
The diameter of the substantially cylindrical hole 223c for inserting the pressing member 228
Is made larger than the diameter of the substantially cylindrical lateral hole 223d,
As shown in (B), the heat inserted into the side hole 223d
The pressing member is pressed by the bolt-shaped pressing member 228,
It is deformed flat to reach the bottom of the hole 223d
Is preferred. As shown in FIG. 60, the heater member 222 is directly
Attempts to flatten and hold it with the tilting holding member 228
Then, when the bolt-shaped holding member 228 is rotationally inserted.
The carbon fiber constituting the heater member 222 is
Cuts a little, resulting in lower holding strength
However, the bolt-shaped holding member 228 and
Expanded graphite sheet (not shown) between heater member 222
By interposing the
Can be avoided. The invention of the fifth group
The carbon heater of FIG.
This is placed below the semiconductor wafer as shown in
The present invention can be applied to a semiconductor manufacturing apparatus that performs processing. Also, the fifth glue
The invention of the loop is not limited to the above embodiment. For example,
The heater is not limited to a cylindrical shape, but may be hexagonal or rectangular.
No. Also, the arrangement of the heater members in the carbon heater
May be spiral or other shapes. Group 5 launch
In the light of the
Bon heater bundled with multiple carbon fibers
Long wire type using multiple fiber bundles
The heater member woven in a shape is formed by a plurality of rod-shaped terminal members and wires.
Non-contact support in a flat container by ear support jig
The heat generated by the heating element
Can be reduced, and the heat uniformity is improved. Also, suddenly
Rapid rise and fall of temperature can be made possible. This carbo
Heater can have a simple structure, reducing cost
In addition, by selecting its detailed structure and material,
Various problems unique to this carbon heater can be solved,
The service life of the unit can be improved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】第2グループの発明のカーボンヒータの一実施
例を示す半面図。
FIG. 1 is a half view showing an embodiment of a carbon heater of the invention of the second group.

【図2】図1のカーボンヒータのXX断面図。FIG. 2 is an XX cross-sectional view of the carbon heater of FIG.

【図3】図1のカーボンヒータを融着処理する前の組み
立て状態を示す断面図。
FIG. 3 is a cross-sectional view showing an assembled state before the carbon heater of FIG. 1 is subjected to a fusion process.

【図4】図1のカーボンヒータの融着処理方法を示す断
面図。
FIG. 4 is a cross-sectional view showing a method of performing a fusion treatment of the carbon heater of FIG. 1;

【図5】図1のカーボンヒータの使用状況を示す側面
図。
FIG. 5 is a side view showing a usage state of the carbon heater of FIG. 1;

【図6】図7のカーボンヒータを融着処理する前の組み
立て状態を示す断面図。
FIG. 6 is a cross-sectional view showing an assembled state before the carbon heater of FIG. 7 is subjected to a fusion process.

【図7】第2グループの本発明のカーボンヒータの他の
実施例を示す断面図。
FIG. 7 is a sectional view showing another embodiment of the carbon heater of the second group of the present invention.

【図8】図9のカーボンヒータを融着処理する前の組み
立て状態を示す断面図。
FIG. 8 is a cross-sectional view showing an assembled state before the carbon heater of FIG. 9 is subjected to a fusion process.

【図9】第2グループの本発明のカーボンヒータのさら
に他の実施例を示す断面図。
FIG. 9 is a sectional view showing still another embodiment of the carbon heater of the present invention belonging to the second group.

【図10】第2グループの発明のカーボンヒータのさら
に他の実施例を示す断面図。
FIG. 10 is a sectional view showing still another embodiment of the carbon heater of the invention of the second group.

【図11】図12のカーボンヒータの組み立て図。FIG. 11 is an assembly view of the carbon heater of FIG.

【図12】第2グループの発明のカーボンヒータのさら
に他の実施例を示す断面図。
FIG. 12 is a sectional view showing still another embodiment of the carbon heater of the second group of the invention.

【図13】図12のカーボンヒータの平面図。FIG. 13 is a plan view of the carbon heater of FIG.

【図14】図15のカーボンヒータ用反射板の組立図。FIG. 14 is an assembly view of the carbon heater reflector of FIG. 15;

【図15】第2グループの発明のカーボンヒータ用反射
板の一実施例を示す断面図。
FIG. 15 is a sectional view showing an embodiment of a reflector for a carbon heater of the invention of the second group.

【図16】第2グループの発明のカーボンヒータ用反射
板の他の実施例を示す断面図。
FIG. 16 is a sectional view showing another embodiment of the reflector for a carbon heater of the second group of the invention.

【図17】第2グループの発明のカーボンヒータ用反射
板が隣接配置されたカーボンヒータの実施例を示す断面
図。
FIG. 17 is a cross-sectional view showing an embodiment of a carbon heater in which a carbon heater reflector of the second group of the invention is arranged adjacent to the carbon heater.

【図18】第2グループの発明のカーボンヒータのさら
に他の実施例における融着処理前の状態を示す断面図。
FIG. 18 is a cross-sectional view showing a state before a fusion process in still another embodiment of the carbon heater of the second group of the invention.

【図19】図18のカーボンヒータの融着処理後の状態
を示す断面図。
FIG. 19 is a sectional view showing a state after the fusion processing of the carbon heater of FIG. 18;

【図20】第2グループの発明のカーボンヒータのさら
に他の実施例における融着処理前の状態を示す断面図。
FIG. 20 is a sectional view showing a state before a fusion bonding process in still another embodiment of the carbon heater of the second group of the invention.

【図21】第2グループの発明のカーボンヒータのさら
に他の実施例における融着処理前の状態を示す断面図。
FIG. 21 is a sectional view showing a state before a fusion bonding process in still another embodiment of the carbon heater of the second group of the invention.

【図22】第2グループの発明のカーボンヒータのさら
に他の実施例を示す図で、(A)が上面図、(B)が断
面半円状凸部を有する場合のX−X断面図、(C)が断
面台形状凸部を有する場合のX−X断面図。
FIG. 22 is a view showing still another embodiment of the carbon heater according to the second group of the present invention, wherein (A) is a top view, (B) is a sectional view taken along line XX in the case of having a semicircular convex portion in cross section, XX sectional drawing in case (C) has a cross-section trapezoidal convex part.

【図23】第2グループの発明のカーボンヒータのさら
に他の実施例を示す図で、(A)が上面図、(B)が断
面半円状凸部を有する場合のY−Y断面図、(C)が断
面台形状凸部を有する場合のY−Y断面図。
23A and 23B are diagrams showing still another embodiment of the carbon heater according to the second group of the present invention, wherein FIG. 23A is a top view, FIG. FIG. 5C is a YY cross-sectional view when (C) has a trapezoidal cross-sectional convex portion.

【図24】第2グループの発明のカーボンヒータのさら
に他の実施例を示す図で、(A)が上面図、(B)が断
面半円状凸部を有する場合のZ−Z断面図、(C)が断
面台形状凸部を有する場合のZ−Z断面図。
24A and 24B are diagrams showing still another embodiment of the carbon heater according to the second group of the invention, wherein FIG. 24A is a top view, FIG. FIG. 9 is a ZZ cross-sectional view when (C) has a cross-sectional trapezoidal convex portion.

【図25】第2グループの発明のカーボンヒータの製造
方法の一例を示す工程図。
FIG. 25 is a process chart showing an example of a method for manufacturing a carbon heater of the second group of the invention.

【図26】第2グループの発明のカーボンヒータ(又は
カーボンヒータ用反射板)の製造方法の他の例を示す工
程図。
FIG. 26 is a process chart showing another example of a method for manufacturing a carbon heater (or a reflector for a carbon heater) of the second group of the invention.

【図27】図26の製造方法で製造したカーボンヒータ
(又はカーボンヒータ用反射板)の一例を示す斜視図。
FIG. 27 is a perspective view showing an example of a carbon heater (or a reflector for a carbon heater) manufactured by the manufacturing method of FIG. 26;

【図28】図27のカーボンヒータ(又はカーボンヒー
タ用反射板)の使用状態を示す斜視図。
FIG. 28 is a perspective view showing a use state of the carbon heater (or a reflector for a carbon heater) of FIG. 27;

【図29】第1グループの発明のカーボンヒータの第1
実施例を示す斜視図。
FIG. 29 is a first view of the carbon heater according to the first group of the invention;
The perspective view showing an example.

【図30】第1グループの発明のカーボンヒータの第2
実施例を示す斜視図。
FIG. 30 is a second view of the carbon heater according to the first group of the invention;
The perspective view showing an example.

【図31】図30のカーボン電極付近を示す断面図。FIG. 31 is a sectional view showing the vicinity of the carbon electrode of FIG. 30;

【図32】第1グループの発明のカーボンヒータの第3
実施例を示す斜視図。
FIG. 32 is a third view of the carbon heater according to the first group of the invention;
The perspective view showing an example.

【図33】第1グループの発明のカーボンヒータの第4
実施例を示す斜視図。
FIG. 33 is a fourth view of the carbon heater according to the first group of the invention;
The perspective view showing an example.

【図34】図33のカーボンヒータの一部を示す平面
図。
FIG. 34 is a plan view showing a part of the carbon heater of FIG. 33.

【図35】図33のカーボンヒータの変形例を示す平面
図。
FIG. 35 is a plan view showing a modification of the carbon heater of FIG. 33.

【図36】図33のカーボンヒータの別の変形例を示す
平面図。
FIG. 36 is a plan view showing another modified example of the carbon heater of FIG. 33.

【図37】第1グループの発明のカーボンヒータの第5
実施例を示す斜視図。
FIG. 37 is a fifth view of the carbon heater according to the first group of the present invention;
The perspective view showing an example.

【図38】(A),(B)は図37のカーボンヒータの
一部を示す斜視図、(C),(D)はその側面図。
38 (A) and (B) are perspective views showing a part of the carbon heater of FIG. 37, and (C) and (D) are side views thereof.

【図39】図38のカーボンヒータの変形例を示す斜視
図。
FIG. 39 is a perspective view showing a modification of the carbon heater of FIG. 38;

【図40】第1グループの発明の他の実施例を示してお
り、(A)が横断面図、(B)が縦断面図。
FIGS. 40A and 40B show another embodiment of the invention of the first group, in which FIG. 40A is a cross-sectional view, and FIG.

【図41】(A)と(B)は、それぞれ第5グループの
発明を半導体熱処理装置に用いた第1実施例と第2実施
例を示す概略図。
FIGS. 41A and 41B are schematic views showing a first embodiment and a second embodiment, respectively, in which the fifth group of the invention is used in a semiconductor heat treatment apparatus.

【図42】図41のカーボンヒータを示す平面図。FIG. 42 is a plan view showing the carbon heater of FIG. 41.

【図43】図41のカーボンヒータの断面図。FIG. 43 is a sectional view of the carbon heater of FIG. 41.

【図44】図41のカーボン製の棒状端子部材の組立
図。
FIG. 44 is an assembly view of the carbon rod-shaped terminal member of FIG. 41.

【図45】(A)は図41のワイヤー支持治具を示す斜
視図、(B)はその変形例を示す断面図。
FIG. 45 (A) is a perspective view showing the wire support jig of FIG. 41, and FIG. 45 (B) is a cross-sectional view showing a modified example thereof.

【図46】第5グループの発明のカーボンヒータの別の
実施例における主要部の概略を示す断面図。
FIG. 46 is a sectional view schematically showing a main part of another embodiment of the carbon heater of the fifth group of the invention.

【図47】図46の端子部材の一例を示す斜視図。FIG. 47 is a perspective view showing an example of the terminal member of FIG. 46.

【図48】図46の端子部材の一例を示す正面図。FIG. 48 is an exemplary front view showing an example of the terminal member shown in FIG. 46;

【図49】図46の端子部材の一例を示す上面図。FIG. 49 is a top view showing an example of the terminal member of FIG. 46.

【図50】図46の付加端子の具体例を示す正面図。FIG. 50 is an exemplary front view showing a specific example of the additional terminal shown in FIG. 46;

【図51】図46の付加端子の具体例を示す上面図。FIG. 51 is a top view showing a specific example of the additional terminal of FIG. 46;

【図52】図46の押え部材の具体例を示す正面図。FIG. 52 is a front view showing a specific example of the pressing member of FIG. 46;

【図53】図46の押え部材の具体例を示す上面図。FIG. 53 is a top view showing a specific example of the pressing member of FIG. 46.

【図54】図46の押え部材の具体例を示す上面図。FIG. 54 is a top view showing a specific example of the pressing member of FIG. 46.

【図55】図46の押え部材の具体例を示す上面図。FIG. 55 is a top view showing a specific example of the pressing member of FIG. 46.

【図56】図46の付加端子の具体例を示す正面図。FIG. 56 is an exemplary front view showing a specific example of the additional terminal shown in FIG. 46;

【図57】図46の付加端子の具体例を示す上面図。FIG. 57 is a top view showing a specific example of the additional terminal of FIG. 46;

【図58】図46のナットの具体例を示す正面図。FIG. 58 is a front view showing a specific example of the nut of FIG. 46;

【図59】図46のナットの具体例を示す上面図。FIG. 59 is a top view showing a specific example of the nut in FIG. 46.

【図60】(A)は第5グループの発明の実施例におい
て、端子部材の横方向の穴にワイヤーの発熱体を入れ、
軸方向の穴には押え部材が入っていない状態を示し、
(B)は、そのあと、押え部材を端子部材の軸方向の穴
に十分にねじ込んだ状態をしており、
FIG. 60A shows a fifth group of embodiments of the invention, in which a wire heating element is inserted into a lateral hole of a terminal member;
Shows the state where the holding member is not in the axial hole,
(B) is a state in which the holding member is then sufficiently screwed into the axial hole of the terminal member,

【図61】第5グループの発明のカーボンヒータの1つ
の配置例を示す説明図。
FIG. 61 is an explanatory view showing one arrangement example of the carbon heater of the fifth group of the invention.

【図62】第3グループの発明のカーボンヒータを示す
概略図。
FIG. 62 is a schematic view showing a carbon heater according to a third group of the invention;

【図63】第3グループの発明のカーボンヒータの設定
部材を示す上面図。
FIG. 63 is a top view showing a setting member of the carbon heater according to the third group of the invention.

【図64】図63の設定部材の端面図。FIG. 64 is an end view of the setting member of FIG. 63.

【図65】第3グループの発明の実施例におけるヒータ
部材の設定の仕方を示す断面図。
FIG. 65 is a sectional view showing how to set a heater member in the embodiment of the third group of the invention.

【図66】第3グループの発明の実施例におけるカーボ
ンターミナルを示す上面図。
FIG. 66 is a top view showing a carbon terminal in an embodiment of the third group of the invention.

【図67】第3グループの発明の実施例におけるカーボ
ンターミナルを示す断面図。
FIG. 67 is a sectional view showing a carbon terminal in an embodiment of the third group of the invention.

【図68】第3グループの発明のカーボンヒータの実施
例における外周部付近を示す断面図。
FIG. 68 is a sectional view showing the vicinity of the outer peripheral portion in the embodiment of the carbon heater according to the third group of the invention;

【図69】第3グループの発明のカーボンヒータの実施
例における外周部付近の別の態様を示す断面図。
FIG. 69 is a sectional view showing another aspect near the outer peripheral portion in the embodiment of the carbon heater of the third group of the invention;

【図70】第4グループの発明によるカーボンヒータの
使用状態を示す斜視図。
FIG. 70 is a perspective view showing a use state of the carbon heater according to the invention of the fourth group.

【図71】第4グループの発明のカーボンヒータ単体を
示す斜視図。
FIG. 71 is a perspective view showing a carbon heater alone according to a fourth group of the invention.

【図72】第4−2グループの発明のカーボンヒータの
詳細を示す上面図。
FIG. 72 is a top view showing details of the carbon heater of the invention of the 4-2nd group;

【図73】第4−2グループの発明のカーボンヒータの
一部分を省略した側面図。
FIG. 73 is a side view in which a part of the carbon heater of the invention of the 4-2 group is omitted.

【図74】第4−2グループの発明のカーボンヒータの
外周部を示す部分断面図。
FIG. 74 is a partial sectional view showing the outer peripheral portion of the carbon heater according to the invention of the 4-2nd group;

【図75】第4−2グループの発明のカーボンヒータの
外周部を示す部分断面図。
FIG. 75 is a partial cross-sectional view showing the outer peripheral portion of the carbon heater according to the invention of the 4-2 group;

【図76】第4−2グループの発明の端子装置を適用し
たカーボンヒータを示す斜視図。
FIG. 76 is a perspective view showing a carbon heater to which the terminal device according to the invention of the 4-2nd group is applied;

【図77】図76のカーボンヒータの上面図。FIG. 77 is a top view of the carbon heater of FIG. 76.

【図78】第4−2グループの発明による第1の端子装
置の実施例を示す部分断面図。
FIG. 78 is a partial sectional view showing an embodiment of a first terminal device according to the invention of the 4-2nd group;

【図79】図78の端子装置の端子部材を示す側面図。FIG. 79 is a side view showing the terminal member of the terminal device shown in FIG. 78;

【図80】図79の端子部材A−A断面図。FIG. 80 is a sectional view of the terminal member AA in FIG. 79;

【図81】図78の端子装置に用いるねじを示す側面
図。
FIG. 81 is a side view showing a screw used for the terminal device of FIG. 78;

【図82】図81のねじを示す上面図。FIG. 82 is a top view showing the screw of FIG. 81;

【図83】図78の端子装置の組立図。FIG. 83 is an assembly view of the terminal device in FIG. 78;

【図84】第4−2グループの発明による第2の端子装
置を示す断面図。
FIG. 84 is a sectional view showing a second terminal device according to the invention of the 4-2 group;

【図85】図84の端子装置の割型中子部材を示す斜視
図。
85 is a perspective view showing a split core member of the terminal device shown in FIG. 84.

【図86】第4−2グループの発明による第1〜第3の
端子装置とそれを適用したカーボンヒータの一部を示す
概略図。
FIG. 86 is a schematic view showing first to third terminal devices according to the invention of the 4-2th group and a part of a carbon heater to which the terminal devices are applied;

【図87】第4−1グループの発明の別の実施例を示す
断面図。
FIG. 87 is a sectional view showing another embodiment of the invention of the 4-1st group;

【図88】第1グループの発明におけるヒータ部材の一
例を示しており、カーボンファイバー束3本を3つ編み
にした状態を示しており、
FIG. 88 illustrates an example of a heater member according to the first group of the invention, and illustrates a state where three carbon fiber bundles are knitted into three pieces;

【図89】第4−1グループの発明による半導体熱処理
装置用のカーボンヒータの使用状態を示す斜視図。
FIG. 89 is a perspective view showing a use state of a carbon heater for a semiconductor heat treatment apparatus according to the invention of the 4-1 group;

【図90】図89のカーボンヒータの詳細を示す上面
図。
FIG. 90 is a top view showing details of the carbon heater of FIG. 89;

【図91】図89のカーボンヒータを概略的に示す側面
図。
FIG. 91 is a side view schematically showing the carbon heater of FIG. 89;

【図92】図91の符号100の部分の拡大断面図。FIG. 92 is an enlarged sectional view of a portion denoted by reference numeral 100 in FIG. 91;

フロントページの続き (72)発明者 金 富雄 山形県西置賜郡小国町大字若山118 (72)発明者 永田 智浩 山形県西置賜郡小国町大字西44−1 (72)発明者 山村 茂 山形県西置賜郡小国町大字小国町390 (72)発明者 齋藤 紀彦 山形県西置賜郡小国町大字西44−1 (72)発明者 寺岡 浩治 埼玉県大宮市堀崎町1661−2−308 (72)発明者 稲葉 毅 山形県西置賜郡小国町大字小国町390 (72)発明者 本間 浩幸 山形県西置賜郡小国町大字若山226 (72)発明者 中尾 賢 神奈川県相模原市田名2954−10 (72)発明者 斎藤 孝規 神奈川県相模原市大島2736 (72)発明者 長内 長栄 神奈川県相模原市清新8−1−14−605 (72)発明者 牧谷 敏幸 東京都昭島市東町2−1−22−101 Fターム(参考) 3K092 PP20 QA02 QA05 QB16 QB26 QC02 QC42 QC43 QC63 QC64 RA03 RB14 RC04 RC26 RD08 RD11 RF04 RF12 RF19 TT19 VV15 VV22 Continuing on the front page (72) Inventor Tomi Kim 118, Wakayama, Ogunimachi, Nishiokitama-gun, Yamagata Prefecture (72) Tomohiro Nagata 44-1, Ojimachi, Ogunimachi, Nishiokitama-gun, Yamagata Prefecture (72) Inventor Shigeru Yamamura, Oguni, Nishiokitama-gun, Yamagata Prefecture 390, Ogunicho, Omachi-machi (72) Inventor Norihiko Saito 44-1, Ojimachi, Ogunimachi, Nishiokitama-gun, Yamagata Prefecture (72) Koji Teraoka 161-2-308, Horizakicho, Omiya-shi, Saitama (72) Inventor Takeshi Inaba Yamagata Oguni-machi, Oguni-machi, Nishiokitama-gun 390 (72) Inventor Hiroyuki Honma 226, Oji-machi, Oguni-machi, Nishiokitama-gun, Yamagata Prefecture (72) Inventor Satoshi Nakao 2954-10, Tana, Sagamihara-shi, Kanagawa, Japan 2736 Oshima-shi, Tokyo (72) Nagae Nagaei, Inventor 8-1--14-605, Shinshin, Sagamihara-shi, Kanagawa (72) Inventor Toshiyuki Makiya 2-1-22-101, Higashicho, Akishima-shi, Tokyo F-term (reference) 3K092 PP20 QA02 QA05 QB16 QB26 QC02 QC42 QC43 QC63 QC64 RA03 RB14 RC04 RC26 RD08 RD11 RF04 RF12 RF19 TT19 VV15 VV22

Claims (47)

【特許請求の範囲】[Claims] 【請求項1】 直径が5〜15μmであるカーボンファ
イバーを複数本束ねたカーボンファイバー束を複数本用
いてワイヤー形状やテープ形状のような縦長形状に編み
込み,その含有不純物量を灰分で10ppm以下とした
ヒータ部材(11,111,121…161,212,
222,411,515,612)を具備するカーボン
ヒータ。
1. A carbon fiber bundle comprising a plurality of carbon fibers each having a diameter of 5 to 15 μm, and woven into a vertically long shape such as a wire shape or a tape shape by using a plurality of carbon fiber bundles. The heater members (11, 111, 121 ... 161, 212,
222, 411, 515, 612).
【請求項2】 上記ヒータ部材(11,111,121
…161,222,411,515,612)の1本も
しくは複数本を石英ガラス製もしくはアルミ製の密封形
部材(12,136,146,156,221,41
2,144,511,512,602)内に封入した請
求項1に記載のカーボンヒータ。
2. The heater member (11, 111, 121).
..., 161, 222, 411, 515, 612) are made of a quartz glass or aluminum sealed member (12, 136, 146, 156, 221, 41).
2. The carbon heater according to claim 1, wherein the carbon heater is enclosed in 2,144,511,512,602).
【請求項3】 上記密封形部材(136,146,15
6)が二重管形状(136),直管形状(146),環
状管形状(156)から選んだ形状であり、複数個組み
合わせて所定形状のヒーティングゾーンを形成する請求
項2に記載のカーボンヒータ。
3. The sealing member (136, 146, 15)
3. The heating zone according to claim 2, wherein 6) is a shape selected from a double tube shape (136), a straight tube shape (146), and an annular tube shape (156), and a plurality of them are combined to form a heating zone having a predetermined shape. Carbon heater.
【請求項4】 上記密封形部材(136,146,15
6,221,412,414,511,512,60
2)内に形成される空間に非酸化性ガスを流入させる構
成とする請求項2に記載のカーボンヒータ。
4. The sealing member (136, 146, 15)
6,221,412,414,511,512,60
3. The carbon heater according to claim 2, wherein a non-oxidizing gas is caused to flow into a space formed in the second heater.
【請求項5】 上記密封形部材(136,146,15
6,221,412,414,511,512,60
2)内に形成される空間を20torr以下の真空にす
る請求項2に記載のカーボンヒータ。
5. The sealing member (136, 146, 15)
6,221,412,414,511,512,60
3. The carbon heater according to claim 2, wherein the space formed in 2) is evacuated to 20 torr or less.
【請求項6】 上記密封形部材は、板状の石英ガラス支
持体によって実質的に一体化されており、この石英ガラ
ス支持体中の上記ヒータ部材の周辺部には、中空の空間
が形成されている請求項2に記載のカーボンヒータ。
6. The sealed-type member is substantially integrated by a plate-shaped quartz glass support, and a hollow space is formed around the heater member in the quartz glass support. The carbon heater according to claim 2, wherein:
【請求項7】 上記石英ガラス支持体(12)が複数枚
の石英ガラス板(12a〜12h,32a〜32e)の
各々の接合面全体を融着により実質的に一体化したもの
であり、少なくとも一枚の石英ガラス板の接合面に配線
用溝(14)を形成し、そこに上記ヒータ部材(11)
を配置した請求項2もしくは6に記載のカーボンヒー
タ。
7. The quartz glass support (12) is obtained by substantially integrating the entire bonding surface of each of a plurality of quartz glass plates (12a to 12h, 32a to 32e) by fusion. A wiring groove (14) is formed in the joint surface of one quartz glass plate, and the heater member (11) is formed therein.
7. The carbon heater according to claim 2, wherein
【請求項8】 上記石英ガラス支持体(12)が2枚の
石英ガラス板(12a,12b)を融着したものであ
り、少なくとも1枚の石英ガラス板の接合面に所定深さ
の配線用溝が形成されており、上記深さ分を含まない各
々の石英ガラス板の厚さが略同一である請求項2もしく
は6に記載のカーボンヒータ。
8. The quartz glass support (12) is obtained by fusing two quartz glass plates (12a, 12b) together, and has a predetermined depth for wiring at a joint surface of at least one quartz glass plate. 7. The carbon heater according to claim 2, wherein a groove is formed, and the thickness of each quartz glass plate not including the depth is substantially the same.
【請求項9】 上記石英ガラス支持体(12)が厚さの
異なる2枚の石英ガラス板(12a,12b)を融着し
たものであり、少なくとも1枚の石英ガラス板の接合面
に所定深さの配線用溝が形成されており、上記深さ分を
含まない一方の石英ガラス板(12a)の厚さが他方
(12b)の厚さの1/2以下になっている請求項2も
しくは6に記載のカーボンヒータ。
9. The quartz glass support (12) is formed by fusing two quartz glass plates (12a, 12b) having different thicknesses, and has a predetermined depth on a joint surface of at least one quartz glass plate. The thickness of one quartz glass plate (12a) not including the depth is less than or equal to の of the thickness of the other (12b). 7. The carbon heater according to 6.
【請求項10】 上記配線用溝(14)が、その長さ方
向に垂直な断曲形状の少なくとも下方側(14d)に湾
曲形状を有し、かつ、つや出し処理されている請求項7
乃至9のいずれかに記載のカーボンヒータ。
10. The wiring groove (14) has a curved shape at least on a lower side (14d) of a bent shape perpendicular to its length direction, and is subjected to a polishing process.
10. The carbon heater according to any one of claims 9 to 9.
【請求項11】 上記配線用溝(14)が、その長さ方
向に垂直な断面形状が全体的に「凸」の字状になってい
る請求項7に記載のカーボンヒータ。
11. The carbon heater according to claim 7, wherein the wiring groove (14) has a generally “convex” character in cross section perpendicular to its length direction.
【請求項12】 第1の石英ガラス板(32a)に配線
用溝(14a)を形成し、第2の石英ガラス板(32
b)に配線用溝(14a)と対になる幅狭の挿入用溝
(14b)もしくは貫通スリットを形成し、第1・第2
石英ガラス板(32a、32b)を溝同士(14a,1
4b)が対向するように接合し、第2石英ガラス板(3
2b)の表面を研磨又は研削して挿入用溝(14b)も
しくは貫通スリットの底部を削除することによりこれを
挿入用窓として露出させ、そこから第1の石英ガラス板
(32a)の配線用溝(14a)内にヒータ部材(1
1)を押し込み、研磨面又は研削面(33)に第3の石
英ガラス板(32c)を合わせ、しかる後に3枚の石英
ガラス板(32a〜32c)を融着させ溝(14)以外
の部分全面を実質的に一体化させることにより、上記配
線用溝(14)を、その長さ方向に垂直な断面形状が全
体的に「凸」の字状にしている請求項11に記載のカー
ボンヒータ。
12. A wiring groove (14a) is formed in a first quartz glass plate (32a), and a second quartz glass plate (32) is formed.
b) forming a narrow insertion groove (14b) or a through slit to be paired with the wiring groove (14a),
The quartz glass plates (32a, 32b) are inserted into the grooves (14a, 1).
4b) are joined to face each other, and the second quartz glass plate (3
The surface of 2b) is polished or ground to remove the insertion groove (14b) or the bottom of the through slit, thereby exposing it as an insertion window, from which the wiring groove of the first quartz glass plate (32a) is formed. The heater member (1) is provided in (14a).
1), the third quartz glass plate (32c) is aligned with the polished surface or the ground surface (33), and then the three quartz glass plates (32a to 32c) are fused to form a portion other than the groove (14). The carbon heater according to claim 11, wherein the wiring groove (14) has a generally "convex" shape in cross section perpendicular to its length direction by substantially integrating the entire surface. .
【請求項13】 上記配線用構内部を減圧又は非酸化性
ガス雰囲気に保った状態で融着させたものである請求項
12記載のカーボンヒータ。
13. The carbon heater according to claim 12, wherein the inside of the wiring structure is fused under a reduced pressure or a non-oxidizing gas atmosphere.
【請求項14】 石英ガラス支持体(12)が、不透明
石英ガラス層(12e)を有する請求項6もしくは7に
記載のカーボンヒータ。
14. The carbon heater according to claim 6, wherein the quartz glass support has an opaque quartz glass layer.
【請求項15】 複数枚の石英ガラス板(12c〜12
e)のうちの1枚(12e)が不透明石英ガラス板(1
2e)である請求項7乃至9のいずれかに記載のカーボ
ンヒータ。
15. A plurality of quartz glass plates (12c to 12c)
e) (12e) is an opaque quartz glass plate (1e).
The carbon heater according to any one of claims 7 to 9, which is 2e).
【請求項16】 上記ヒータ部材(11)と、少なくと
も片面が鏡面のカーボン製反射板(15)とが、板状の
石英ガラス支持体(12)に封入されている請求項6も
しくは7に記載のカーボンヒータ。
16. The plate according to claim 6, wherein said heater member and said carbon reflecting plate having at least one mirror surface are enclosed in a plate-like quartz glass support. Carbon heater.
【請求項17】 3枚の石英ガラス板(12c〜12
e)を用い、いずれかの石英ガラス板(12c〜12
e)の2つの接合面に設けた配線用溝(14)と反射板
設定座ぐり(16)に、それぞれヒータ部材(11)と
少なくとも片面が鏡面のカーボン製反射板(15)を配
置し、各石英ガラス板(12c〜12e)の接合部を融
着により一体化した請求項16に記載のカーボンヒー
タ。
17. Three quartz glass plates (12c to 12c)
e), using any of the quartz glass plates (12c to 12c)
e) a heater member (11) and a carbon reflecting plate (15) having at least one mirror surface on at least one side are respectively disposed in the wiring groove (14) and the reflecting plate setting counterbore (16) provided on the two joining surfaces of (e); 17. The carbon heater according to claim 16, wherein joint portions of the respective quartz glass plates (12c to 12e) are integrated by fusion.
【請求項18】 全体的に板状の石英ガラス支持体(2
2)に、少なくとも片面が鏡面のカーボン製反射板(1
5)が封入されている反射板状体を上記密封形部材に隣
接配置する請求項6もしくは7に記載のカーボンヒー
タ。
18. A quartz glass support (2) which is generally plate-shaped.
In 2), a carbon reflecting plate (1
The carbon heater according to claim 6 or 7, wherein the reflecting plate-like member (5) is disposed adjacent to the sealing member.
【請求項19】 板状の石英ガラス支持体(12,13
6,146,156,221,412,414,51
1,512,602)の少なくとも一つの外表面に、断
面半円状もしくは台形状の凸部(13a,13b)が形
成されており、この外表面がつや出し処理されている請
求項2,6,7もしくは16のいずれか1項に記載のカ
ーボンヒータ。
19. A plate-like quartz glass support (12, 13)
6,146,156,221,412,414,51
A convex portion (13a, 13b) having a semicircular cross section or a trapezoidal cross section is formed on at least one outer surface of the first, second, third, and second surfaces of the at least one outer surface. 17. The carbon heater according to any one of 7 and 16.
【請求項20】 上記密閉形部材が配線用溝(413)
を有する石英ガラス製の設定部材(412)及び石英ガ
ラス製の蓋部材(414)からなり、上記配線溝に上記
ヒータ部材を配置する請求項2に記載のカーボンヒー
タ。
20. The sealing member is a wiring groove (413).
The carbon heater according to claim 2, comprising a setting member (412) made of quartz glass and a cover member (414) made of quartz glass having the following, and the heater member is arranged in the wiring groove.
【請求項21】 上記の設定部材(412)及び蓋部材
(414)のいずれかもしくは両者の外周部に防炎堤
(434)を形成し、この防炎堤以外の対向面が0.2
〜1.0mmの間隔において配置され、両部材を石英ガ
ラスの肉盛り法によって、一体化している請求項20に
記載のカーボンヒータ。
21. A flame barrier (434) is formed on the outer periphery of one or both of the setting member (412) and the lid member (414), and an opposing surface other than the flame barrier is 0.2 mm.
21. The carbon heater according to claim 20, wherein the carbon heater is arranged at an interval of about 1.0 mm, and both members are integrated by a cladding method of quartz glass.
【請求項22】 上記ヒータ部材(411)の両端にカ
ーボンターミナル(416)を配置し、カーボンターミ
ナル(416)に金属電極(417)を接続し、この金
属電極(417)のカーボンターミナル側の部分に石英
ガラスパイプ(418)を被せた請求項21に記載のカ
ーボンヒータ。
22. A carbon terminal (416) is arranged at both ends of the heater member (411), a metal electrode (417) is connected to the carbon terminal (416), and a portion of the metal electrode (417) on the carbon terminal side. 22. The carbon heater according to claim 21, wherein a quartz glass pipe (418) is placed on the heater.
【請求項23】 ヒータ部材が封入された空間内に非酸
化性ガスを導入し、電極(417)に被せた石英ガラス
パイプ(418)からガスを排出する請求項22に記載
のカーボンヒータ。
23. The carbon heater according to claim 22, wherein a non-oxidizing gas is introduced into a space in which the heater member is enclosed, and the gas is discharged from a quartz glass pipe (418) covering the electrode (417).
【請求項24】 配置用溝(413)にアルミナ粉(4
15)を配置し、アルミナ粉(415)の焼結体によっ
てヒータ部材(411)を支持する請求項20に記載の
カーボンヒータ。
24. An alumina powder (4) is placed in the placement groove (413).
21. The carbon heater according to claim 20, wherein the heater member is supported by a sintered body of alumina powder.
【請求項25】 上記ヒータ部材(11,515,61
2)もしくはヒータ部材の両端に接続された端子部が、
ヒータ部材(11)の形成するヒータ面(13,53
1)に対して実質的に垂直に引き出される請求項6もし
くは20に記載のカーボンヒータ。
25. The heater member (11, 515, 61)
2) Or the terminal portions connected to both ends of the heater member
The heater surface (13, 53) formed by the heater member (11)
21. The carbon heater according to claim 6, wherein the carbon heater is drawn substantially perpendicular to 1).
【請求項26】 ヒータ部材(515)の両端をヒータ
面(531)の反対側に突出させ、突出したヒータ部材
を石英ガラス支持板に当接する石英ガラス筒内に配列さ
れた複数のもしくは少なくとも一方の端部が複数に分割
されたワイヤー状カーボンにより固定し、上記石英ガラ
ス筒に石英ガラス管を被せて上記密閉形部材を密封した
請求項25に記載のカーボンヒータ。
26. Both ends of a heater member (515) are projected to the opposite side of the heater surface (531), and a plurality of or at least one of the projected heater members is arranged in a quartz glass cylinder in contact with a quartz glass support plate. 26. The carbon heater according to claim 25, wherein the end portion of the carbon heater is fixed by a plurality of divided wire-like carbons, and the quartz glass tube is covered with a quartz glass tube to seal the hermetically sealed member.
【請求項27】 上記ワイヤー状カーボン(613)の
他端側を、中空部(649)が形成されその内側に中子
部材(635)を有する第2のワイヤー状カーボン接続
部材に、この中子部材(635)での押圧によって接続
し、割型中子(647)を利用する金属線接続部材(6
45)により金属製の内接線(641)を接続し、両接
続部材を任意の接続部材(642,648)によって接
続した請求項26に記載のカーボンヒータ。
27. The other end of the wire-like carbon (613) is connected to a second wire-like carbon connecting member having a hollow portion (649) and a core member (635) inside the hollow portion (649). The metal wire connecting member (6) is connected by pressing with the member (635) and utilizes the split core (647).
27. The carbon heater according to claim 26, wherein the metal inner tangent line (641) is connected by (45), and both connection members are connected by optional connection members (642, 648).
【請求項28】 上記割型中子(647)の外側にテー
パ面(647b)を形成し、端子部本体(642)にテ
ーパ面(647b)と係合するテーパ部(642b)を
形成し、割型中子に形成した支持部(647a)で金属
製の内接線(641)を挟み込み、これを押圧しつつ任
意の接続部材(642,648)に接続する請求項27
に記載のカーボンヒータ。
28. A tapered surface (647b) is formed outside the split mold core (647), and a tapered portion (642b) is formed on the terminal portion main body (642) to engage with the tapered surface (647b). 28. A metal inner tangent line (641) is sandwiched between support portions (647a) formed on a split core, and is connected to an arbitrary connection member (642, 648) while being pressed.
2. The carbon heater according to 1.
【請求項29】 上記密閉形部材内でヒータ部材(51
5)を線対称に配置し、その対称軸上にガス導入・排出
口(518)を形成し、容器(511,512)の溶接
時にガス導入・排出口(518)から非酸化性ガスを導
入し、容器(511,512)の封着時にガス導入・排
出口(518)から排気を行う構成にした請求項28に
記載のカーボンヒータ。
29. A heater member (51) in said closed type member.
5) is arranged in line symmetry, a gas inlet / outlet (518) is formed on the axis of symmetry, and a non-oxidizing gas is introduced from the gas inlet / outlet (518) when welding the containers (511, 512). 29. The carbon heater according to claim 28, wherein exhaust is performed from the gas introduction / exhaust port (518) when the container (511, 512) is sealed.
【請求項30】 カーボンワイヤからなるヒータ部材
(11,612)とワイヤー状カーボン(613)を石
英ガラス筒内に配列された複数のもしくは少なくとも端
部が複数に分割されたワイヤー状カーボンにより固定
し、前記ワイヤー状カーボン(613)と電源側の金属
製の内接線(641)を第2の端子装置(640)で接
続する構成にし、第2の端子装置(640)が、複数の
もしくは端部が複数に分割されたワイヤー状カーボン
(613)を接続するための第2のワイヤー状カーボン
接続部材(643)を備え、割型中子(647)を利用
して金属製の内接線(641)を接続する構成の金属線
接続部材(645)を備え、第2のワイヤー状カーボン
接続部材(643)と金属線接続部材(645)を接続
するための端子部本体(642)を備え、両接続部材
(643,645)を任意の接続部材(642,64
8)に接続する構成になっている請求項25に記載のカ
ーボンヒータ。
30. A heater member (11, 612) made of a carbon wire and a wire-like carbon (613) are fixed by a plurality of wire-like carbon arranged in a quartz glass cylinder or at least an end portion of which is divided into a plurality of pieces. The wire-like carbon (613) and the metal inner tangent (641) on the power supply side are connected by a second terminal device (640), and the second terminal device (640) has a plurality of or end portions. Is provided with a second wire-like carbon connecting member (643) for connecting the wire-like carbon (613) divided into a plurality of pieces, and a metal inner tangent (641) using a split core (647). And a terminal portion main body (6) for connecting the second wire-like carbon connecting member (643) and the metal wire connecting member (645). 42), and connect both connecting members (643, 645) to optional connecting members (642, 64).
26. The carbon heater according to claim 25, wherein the carbon heater is connected to 8).
【請求項31】 上記ヒータ部材(612)が複数のも
しくは少なくとも端部が複数に分割されたワイヤー状カ
ーボンが内部に配列された石英ガラス筒及び第2端子装
置(611,640)が石英ガラス管(603)内に封
入されている請求項30に記載のカーボンヒータ。
31. A quartz glass cylinder in which a plurality of heater members (612) or at least ends of which are divided into a plurality of wire-like carbons are arranged inside, and a second terminal device (611, 640) is a quartz glass tube. 31. The carbon heater according to claim 30, wherein the carbon heater is enclosed in (603).
【請求項32】 上記石英ガラス管(603)の内側に
配置された金属製の内接線(641)と電源側の外接線
(653)をMo箔(655)を介して接続し、Mo箔
(655)を石英ガラス製のピンチシール部(656)
で封着した構成の第3の端子装置を有する請求項31に
記載のカーボンヒータ。
32. A metal inner tangent (641) disposed inside the quartz glass tube (603) and an external tangent (653) on the power supply side are connected via a Mo foil (655), and the Mo foil (655) is connected. 655) is a pinch seal portion (656) made of quartz glass.
32. The carbon heater according to claim 31, further comprising a third terminal device configured to be sealed with the third terminal device.
【請求項33】 ヒータ部材(515)の両端に端子部
材(521)を接続してヒータ面(531)の反対側に
突出させ、端子部材(521)に石英ガラス管(51
3)を被せて石英ガラス部材(511,512)を密封
した請求項25に記載のカーボンヒータ。
33. A terminal member (521) is connected to both ends of the heater member (515) and protrudes from the opposite side of the heater surface (531).
26. The carbon heater according to claim 25, wherein the quartz glass member (511, 512) is sealed by covering 3).
【請求項34】 上記石英ガラス管(513)の自由端
側に端子部本体(523)を配置し、端子部材(52
1)と端子部本体(523)を複数本のもしくは少なく
とも一方の端部が複数に分割されたワイヤー状カーボン
(522)で接続した請求項33に記載のカーボンヒー
タ。
A terminal body (523) is arranged on the free end side of the quartz glass tube (513), and a terminal member (52) is provided.
The carbon heater according to claim 33, wherein 1) and the terminal portion main body (523) are connected by a plurality of wires or at least one end portion of which is divided into a plurality of wire-like carbons (522).
【請求項35】 上記密閉形部材内でヒータ部材(51
5)を線対称に配置し、その対称軸上にガス導入・排出
口(518)を形成し、容器(511,512)の溶接
時にガス導入・排出口(518)から非酸化性ガスを導
入し、容器(511,512)の封着時にガス導入・排
出口(518)から排気を行う構成にした請求項34に
記載のカーボンヒータ。
35. A heater member (51) in said closed type member.
5) is arranged in line symmetry, a gas inlet / outlet (518) is formed on the axis of symmetry, and a non-oxidizing gas is introduced from the gas inlet / outlet (518) when welding the containers (511, 512). 35. The carbon heater according to claim 34, wherein exhaust is performed from the gas introduction / exhaust port (518) when the container (511, 512) is sealed.
【請求項36】 カーボンワイヤからなるヒータ部材
(612)とワイヤー状カーボン(613)を第1の端
子装置(611)で接続し、前記ワイヤー状カーボン
(613)と電源側の金属製の内接線(641)を第2
の端子装置(640)で接続する構成にし、第1の端子
装置(611)が、端子部材(611)を備え、その一
端側に形成したヒータ部材接続部(614)にヒータ部
材(612)を接続するようになっており、複数のもし
くは端部が複数に分割されたワイヤー状カーボン(61
3)を接続するための第1のワイヤー状カーボン接続部
材(616)を備え、端子部材(611)の他端側に第
1のワイヤー状カーボン接続部材(616)を接続する
ようになっており、第1のワイヤー状カーボン接続部材
(616)を中空に形成してその内側に中子部材(63
5)を配置し、分割したワイヤー状カーボン(613
a)を中子部材(635)で押圧して接続する構成にな
っており、第2の端子装置(640)が、複数のもしく
は端部が複数に分割されたワイヤー状カーボン(61
3)を接続するための第2のワイヤー状カーボン接続部
材(643)を備え、割型中子(647)を利用して金
属製の内接線(641)を接続する構成の金属線接続部
材(645)を備え、第2のワイヤー状カーボン接続部
材(643)と金属線接続部材(645)を接続するた
めの端子部本体(642)を備え、両接続部材(64
3,645)を端子部本体(642)の一端側と他端側
に接続する構成になっている請求項33に記載のカーボ
ンヒータ。
36. A heater member (612) made of carbon wire and a wire-like carbon (613) are connected by a first terminal device (611), and the wire-like carbon (613) is connected to a metal inner tangent on a power supply side. (641) second
The first terminal device (611) includes a terminal member (611), and the heater member (612) is connected to the heater member connection portion (614) formed at one end of the first terminal device (611). The wire-like carbon (61
3) a first wire-like carbon connecting member (616) for connecting the first wire-like carbon connecting member (616) to the other end of the terminal member (611). The first wire-like carbon connecting member (616) is formed hollow, and the core member (63
5) is arranged and divided into wire-like carbon (613).
a) is pressed by a core member (635) to be connected, and the second terminal device (640) is connected to a wire-like carbon (61) having a plurality of or divided ends.
3) a second wire-like carbon connecting member (643) for connecting the metal wire connecting member (641), which is configured to connect a metal inner tangent (641) using a split core (647); 645), and a terminal body (642) for connecting the second wire-like carbon connection member (643) and the metal wire connection member (645).
34. The carbon heater according to claim 33, wherein the first and second terminals (3, 645) are connected to one end and the other end of the terminal body (642).
【請求項37】 上記ヒータ部材(612)と上記第1
及び第2の端子装置(611,640)が石英ガラス管
(603)内に封入され、石英ガラス管(603)の内
側に配置された金属製の内接線(641)と電源側の外
接線(653)をMo箔(655)を介して接続し、M
o箔(655)を石英ガラス製のピンチシール部(65
6)で封着した構成の第3の端子装置を有する請求項3
6に記載のカーボンヒータ。
37. The heater member (612) and the first member (612).
A second terminal device (611, 640) is sealed in a quartz glass tube (603), and a metal inner tangent (641) and an outer tangent (64) are arranged inside the quartz glass tube (603). 653) via Mo foil (655)
o The foil (655) is pinched with a quartz glass pinch seal (65).
4. A third terminal device having a configuration sealed in step 6).
7. The carbon heater according to 6.
【請求項38】 上記ヒータ部材を封入した密閉形部材
が、石英ガラス製もしくはアルミナ製平板状容器である
請求項2に記載のカーボンヒータ。
38. The carbon heater according to claim 2, wherein the sealed member enclosing the heater member is a flat plate made of quartz glass or alumina.
【請求項39】 上記ヒータ部材(222)を複数の端
子部材及びワイヤー支え治具(224)によって上記平
板状容器(221)内に非接触で支持されて封入した請
求項38に記載のカーボンヒータ。
39. The carbon heater according to claim 38, wherein the heater member (222) is supported in a non-contact manner and enclosed in the flat container (221) by a plurality of terminal members and a wire support jig (224). .
【請求項40】 上記端子部材の長さ方向に、ボルト差
し込み用の略円筒状の穴部が形成されており、かつ少な
くともこの穴部を貫通する略円筒状横穴が形成されてお
り、該横穴に上記ヒータ部材を差し込み、該穴部に少な
くとも該横穴の下端に達する長さを有するボルトを回転
挿入する構造にした請求項39に記載のカーボンヒー
タ。
40. A substantially cylindrical hole for inserting a bolt, and a substantially cylindrical lateral hole passing through at least the hole is formed in a longitudinal direction of the terminal member. 40. The carbon heater according to claim 39, wherein the heater member is inserted into the hole, and a bolt having a length reaching at least a lower end of the horizontal hole is inserted into the hole portion by rotation.
【請求項41】 上記ワイヤー支え治具(224)が透
光性アルミナ単体もしくは、高純度カーボン及び透光性
アルミナの組立部材から成る請求項39もしくは40に
記載のカーボンヒータ。
41. The carbon heater according to claim 39, wherein the wire supporting jig (224) is made of a single piece of translucent alumina or an assembly member of high-purity carbon and translucent alumina.
【請求項42】 上記組立部材の、ヒータ部材に接する
部分が、高純度カーボン材料から成り、上記組立部材
の、平板状容器(221)に配置接続する部分が、透光
性アルミナ材料から成る請求項41に記載のカーボンヒ
ータ。
42. A part of said assembly member which is in contact with the heater member is made of a high-purity carbon material, and a part of said assembly member which is arranged and connected to the flat container (221) is made of a translucent alumina material. Item 42. The carbon heater according to item 41.
【請求項43】 上記平板状容器の発熱面に、断面半円
状もしくは台形状の凸部(13a,13b)が形成され
ており、この表面がつや出し処理されている請求項2
1、32、37もしくは42に記載のカーボンヒータ。
43. The heat generating surface of the flat container has a convex portion (13a, 13b) having a semicircular or trapezoidal cross section, and the surface is polished.
The carbon heater according to 1, 32, 37 or 42.
【請求項44】 上記ヒータ部材を封入した密閉形部材
が、湾曲形である請求項2記載のカーボンヒータ。
44. The carbon heater according to claim 2, wherein the sealed member enclosing the heater member has a curved shape.
【請求項45】 上記板状の石英ガラス支持体(12)
に上記ヒータ部材(11)を封入し、ヒータ部材(1
1)の周辺部以外の石英ガラス支持体(12)を実質的
に一体化した板状のカーボンヒータを、所定形状の断面
を有するカーボン製下型(41)と、それと対になるカ
ーボン製上型(42)との間で押圧して、板状のカーボ
ンヒータを所定形状に湾曲させたものである請求項44
に記載のカーボンヒータ。
45. The plate-shaped quartz glass support (12).
The heater member (11) is sealed in the heater member (1).
A plate-like carbon heater substantially integrated with a quartz glass support (12) other than the peripheral part of 1) is provided with a carbon lower mold (41) having a cross section of a predetermined shape, and a carbon upper mold (41) paired therewith. 45. The plate-shaped carbon heater is bent into a predetermined shape by being pressed between the mold and the mold.
2. The carbon heater according to 1.
【請求項46】 上記カーボン製上型・下型(41,4
2)が半円形の断面形状を有しており、実質的に半円筒
形のカーボンヒータとする請求項45に記載のカーボン
ヒータ。
46. The upper and lower carbon molds (41, 4).
46. The carbon heater according to claim 45, wherein 2) has a semicircular cross-sectional shape and is a substantially semi-cylindrical carbon heater.
【請求項47】 上記板状の石英ガラス支持体(12)
に少なくとも一面が鏡面のカーボン製反射板を、上記ヒ
ータ部材(11)とは独立して封入した請求項45に記
載のカーボンヒータ。
47. The plate-shaped quartz glass support (12)
46. The carbon heater according to claim 45, wherein a carbon-made reflecting plate having a mirror surface at least on one side is enclosed independently of the heater member (11).
JP25451398A 1997-07-31 1998-07-30 Carbon heater Expired - Lifetime JP4185194B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP25451398A JP4185194B2 (en) 1997-07-31 1998-07-30 Carbon heater

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP9-218941 1997-07-21
JP9-219018 1997-07-31
JP21894197 1997-07-31
JP21901897 1997-07-31
JP13263098 1998-04-28
JP10-132630 1998-04-28
JP25451398A JP4185194B2 (en) 1997-07-31 1998-07-30 Carbon heater

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001240041A Division JP4198901B2 (en) 1997-07-31 2001-08-08 Carbon heater

Publications (2)

Publication Number Publication Date
JP2000021890A true JP2000021890A (en) 2000-01-21
JP4185194B2 JP4185194B2 (en) 2008-11-26

Family

ID=27471701

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25451398A Expired - Lifetime JP4185194B2 (en) 1997-07-31 1998-07-30 Carbon heater

Country Status (1)

Country Link
JP (1) JP4185194B2 (en)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150396A (en) * 1998-11-16 2000-05-30 Sakaguchi Dennetsu Kk Thermal radiation reflector
JP2000164602A (en) * 1998-11-30 2000-06-16 Toshiba Ceramics Co Ltd Sealing terminal
JP2001257057A (en) * 2000-03-14 2001-09-21 Japan Science & Technology Corp Heat generating and heater and actuator having the same and manufacturing method of actuator
EP1158569A2 (en) * 2000-05-25 2001-11-28 Toshiba Ceramics Co., Ltd. Heater sealed with carbon wire heating element
JP2002025755A (en) * 2000-07-04 2002-01-25 Tokyo Electron Ltd Hot plate manufacturing method
WO2002047129A1 (en) * 2000-12-05 2002-06-13 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing and inspecting devices, and method of manufacturing the ceramic substrate
JP2002277054A (en) * 2000-03-30 2002-09-25 Toshiba Ceramics Co Ltd Fluid heating device
JP2002299250A (en) * 2001-03-29 2002-10-11 Tokyo Electron Ltd Connection method for heater for heat treatment equipment and heat treatment equipment
JP2003297770A (en) * 2002-03-29 2003-10-17 Toshiba Ceramics Co Ltd Reflector for semiconductor heat treatment and method for manufacturing the reflector
JP2004127819A (en) * 2002-10-04 2004-04-22 Aruba Japan:Kk Heater with reflective table
JP2004139769A (en) * 2002-10-16 2004-05-13 Aruba Japan:Kk Exoergic structure
WO2004114377A1 (en) * 2003-06-23 2004-12-29 Tokyo Electron Limited Heat treatment apparatus
US6885814B2 (en) 2002-03-25 2005-04-26 Toshiba Ceramics Co., Ltd. Carbon wire heating object sealing heater and fluid heating apparatus using the same heater
KR100743764B1 (en) 2004-09-29 2007-07-30 세이코 엡슨 가부시키가이샤 Projection-type display device
WO2008038477A1 (en) * 2006-09-28 2008-04-03 Covalent Materials Corporation Planar heater and semiconductor heat treatment apparatus provided with the heater
JP2008510677A (en) * 2004-08-23 2008-04-10 ヘラオイス.クヴァールツグラース.ゲゼルシャフト.ミット.ベシュレンクテル.ハフツング.ウント.コンパニー.コマンディットゲゼルシャフト Member having reflector layer and method for manufacturing the same
WO2010073736A1 (en) * 2008-12-26 2010-07-01 新日本製鐵株式会社 Continuous casting method and nozzle heating device
US8197600B2 (en) 2007-03-29 2012-06-12 Tokyo Electron Limited Vaporizer and semiconductor processing system
JP2013100226A (en) * 2013-01-10 2013-05-23 Toyo Tanso Kk Expansible graphite sheet, protection method for carbonaceous crucible using the same, and single crystal pulling apparatus
CN106973445A (en) * 2017-05-23 2017-07-21 河南易珀尔科技有限公司 A kind of integrated constant temperature warming plate
JP2018101581A (en) * 2016-12-21 2018-06-28 クアーズテック株式会社 heater
WO2019208626A1 (en) * 2018-04-27 2019-10-31 京セラ株式会社 Heater and production method therefor
CN110800094A (en) * 2017-06-27 2020-02-14 捷易斯路森科技有限公司 Triple tube heating device for exhaust gas heating of semiconductor and liquid crystal display manufacturing process easy to install
WO2021111760A1 (en) * 2019-12-06 2021-06-10 株式会社アドバンテック Stage for heating and cooling object
US11654658B2 (en) * 2016-05-26 2023-05-23 Nippon Sheet Glass Company, Limited Laminated glass
CN106973445B (en) * 2017-05-23 2024-04-26 中山市第二建筑设计院有限公司 Integrated constant temperature heating plate

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52119579A (en) * 1976-03-31 1977-10-07 Toray Ind Inc Method of discharging electrode for electric dust-collector device
JPS53145138A (en) * 1977-05-25 1978-12-18 Toray Ind Inc Plane heating element
JPS61124088A (en) * 1984-11-20 1986-06-11 日本電熱株式会社 Manufacture of hot plate
JPS6369184A (en) * 1986-09-10 1988-03-29 松下電器産業株式会社 Electric heating unit
JPS63121288A (en) * 1986-11-10 1988-05-25 松下電器産業株式会社 Manufacture of electric heating unit
JPS63284787A (en) * 1987-05-14 1988-11-22 Deisuko Haitetsuku:Kk Strand heater and semiconductor heat treatment device
JPH01264964A (en) * 1988-03-18 1989-10-23 Toyo Tanso Kk Carbon fiber-reinforced composite material having excellent thermal impact resistance and its production
JPH04112481A (en) * 1990-08-31 1992-04-14 Tokyo Kogyo Boeki Shokai:Kk Heat emitting member
JPH05269726A (en) * 1992-03-25 1993-10-19 Mitsui Constr Co Ltd Reinforcing material for structure of civil engineering structure
JPH06746Y2 (en) * 1987-03-09 1994-01-05 日本特殊陶業株式会社 Gasket type pressure sensor
JPH0637025A (en) * 1992-07-20 1994-02-10 Fuji Electric Co Ltd Heat treatment apparatus
JPH06168775A (en) * 1992-11-27 1994-06-14 Sumitomo Chem Co Ltd Heater and manufacture thereof
JPH06260430A (en) * 1993-03-08 1994-09-16 Eiko:Kk Plate heater and manufacture thereof
JPH06317550A (en) * 1993-03-12 1994-11-15 Nippondenso Co Ltd Ceramic heater
JPH07144371A (en) * 1993-11-24 1995-06-06 Nippon Steel Chem Co Ltd Carbon fiber reinforced resin composite material having high damping capacity
JPH07161725A (en) * 1993-12-06 1995-06-23 Sumitomo Osaka Cement Co Ltd Wafer heater and electrode part member for heater
JPH07235371A (en) * 1994-02-23 1995-09-05 Gunze Ltd Complex tubing body resistance heat emission type
JPH0817746A (en) * 1994-06-30 1996-01-19 Toshiba Mach Co Ltd Heater
JPH08138845A (en) * 1994-11-07 1996-05-31 Hattori Hiiteingu Kogyo Kk Quartz glass heater and its manufacture
JPH08222357A (en) * 1995-02-09 1996-08-30 Tokai Carbon Co Ltd Manufacture of carbon heating element
JPH08232555A (en) * 1995-02-27 1996-09-10 Maeda Corp Window cover
JPH08315965A (en) * 1994-09-29 1996-11-29 Tokyo Electron Ltd Heating device, its manufacture, and treatment device
JPH0963973A (en) * 1995-06-15 1997-03-07 Toshiba Ceramics Co Ltd Vapor growth device
JPH0982786A (en) * 1995-09-19 1997-03-28 Ngk Insulators Ltd Semiconductor processing apparatus and manufacture thereof

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52119579A (en) * 1976-03-31 1977-10-07 Toray Ind Inc Method of discharging electrode for electric dust-collector device
JPS53145138A (en) * 1977-05-25 1978-12-18 Toray Ind Inc Plane heating element
JPS61124088A (en) * 1984-11-20 1986-06-11 日本電熱株式会社 Manufacture of hot plate
JPS6369184A (en) * 1986-09-10 1988-03-29 松下電器産業株式会社 Electric heating unit
JPS63121288A (en) * 1986-11-10 1988-05-25 松下電器産業株式会社 Manufacture of electric heating unit
JPH06746Y2 (en) * 1987-03-09 1994-01-05 日本特殊陶業株式会社 Gasket type pressure sensor
JPS63284787A (en) * 1987-05-14 1988-11-22 Deisuko Haitetsuku:Kk Strand heater and semiconductor heat treatment device
JPH01264964A (en) * 1988-03-18 1989-10-23 Toyo Tanso Kk Carbon fiber-reinforced composite material having excellent thermal impact resistance and its production
JPH04112481A (en) * 1990-08-31 1992-04-14 Tokyo Kogyo Boeki Shokai:Kk Heat emitting member
JPH05269726A (en) * 1992-03-25 1993-10-19 Mitsui Constr Co Ltd Reinforcing material for structure of civil engineering structure
JPH0637025A (en) * 1992-07-20 1994-02-10 Fuji Electric Co Ltd Heat treatment apparatus
JPH06168775A (en) * 1992-11-27 1994-06-14 Sumitomo Chem Co Ltd Heater and manufacture thereof
JPH06260430A (en) * 1993-03-08 1994-09-16 Eiko:Kk Plate heater and manufacture thereof
JPH06317550A (en) * 1993-03-12 1994-11-15 Nippondenso Co Ltd Ceramic heater
JPH07144371A (en) * 1993-11-24 1995-06-06 Nippon Steel Chem Co Ltd Carbon fiber reinforced resin composite material having high damping capacity
JPH07161725A (en) * 1993-12-06 1995-06-23 Sumitomo Osaka Cement Co Ltd Wafer heater and electrode part member for heater
JPH07235371A (en) * 1994-02-23 1995-09-05 Gunze Ltd Complex tubing body resistance heat emission type
JPH0817746A (en) * 1994-06-30 1996-01-19 Toshiba Mach Co Ltd Heater
JPH08315965A (en) * 1994-09-29 1996-11-29 Tokyo Electron Ltd Heating device, its manufacture, and treatment device
JPH08138845A (en) * 1994-11-07 1996-05-31 Hattori Hiiteingu Kogyo Kk Quartz glass heater and its manufacture
JPH08222357A (en) * 1995-02-09 1996-08-30 Tokai Carbon Co Ltd Manufacture of carbon heating element
JPH08232555A (en) * 1995-02-27 1996-09-10 Maeda Corp Window cover
JPH0963973A (en) * 1995-06-15 1997-03-07 Toshiba Ceramics Co Ltd Vapor growth device
JPH0982786A (en) * 1995-09-19 1997-03-28 Ngk Insulators Ltd Semiconductor processing apparatus and manufacture thereof

Cited By (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150396A (en) * 1998-11-16 2000-05-30 Sakaguchi Dennetsu Kk Thermal radiation reflector
EP1006563A3 (en) * 1998-11-30 2004-10-13 Toshiba Ceramics Co., Ltd. Sealing terminal
JP2000164602A (en) * 1998-11-30 2000-06-16 Toshiba Ceramics Co Ltd Sealing terminal
JP2001257057A (en) * 2000-03-14 2001-09-21 Japan Science & Technology Corp Heat generating and heater and actuator having the same and manufacturing method of actuator
JP2002277054A (en) * 2000-03-30 2002-09-25 Toshiba Ceramics Co Ltd Fluid heating device
EP1158569A2 (en) * 2000-05-25 2001-11-28 Toshiba Ceramics Co., Ltd. Heater sealed with carbon wire heating element
EP1158569A3 (en) * 2000-05-25 2004-12-01 Toshiba Ceramics Co., Ltd. Heater sealed with carbon wire heating element
JP2002025755A (en) * 2000-07-04 2002-01-25 Tokyo Electron Ltd Hot plate manufacturing method
US6960743B2 (en) 2000-12-05 2005-11-01 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing, and method of manufacturing the ceramic substrate
WO2002047129A1 (en) * 2000-12-05 2002-06-13 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing and inspecting devices, and method of manufacturing the ceramic substrate
JP2002299250A (en) * 2001-03-29 2002-10-11 Tokyo Electron Ltd Connection method for heater for heat treatment equipment and heat treatment equipment
JP4618920B2 (en) * 2001-03-29 2011-01-26 東京エレクトロン株式会社 Heater heater connection method and heat treatment apparatus
US6885814B2 (en) 2002-03-25 2005-04-26 Toshiba Ceramics Co., Ltd. Carbon wire heating object sealing heater and fluid heating apparatus using the same heater
US7072578B2 (en) 2002-03-25 2006-07-04 Toshiba Ceramics Co., Ltd. Carbon wire heating object sealing heater and fluid heating apparatus using the same heater
JP2003297770A (en) * 2002-03-29 2003-10-17 Toshiba Ceramics Co Ltd Reflector for semiconductor heat treatment and method for manufacturing the reflector
US7336892B2 (en) 2002-03-29 2008-02-26 Covalent Materials Corporation Reflection plate for semiconductor heat treatment and manufacturing method thereof
JP2004127819A (en) * 2002-10-04 2004-04-22 Aruba Japan:Kk Heater with reflective table
JP2004139769A (en) * 2002-10-16 2004-05-13 Aruba Japan:Kk Exoergic structure
WO2004114377A1 (en) * 2003-06-23 2004-12-29 Tokyo Electron Limited Heat treatment apparatus
US7658801B2 (en) 2003-06-23 2010-02-09 Tokyo Electron Limited Heat treatment apparatus
JP2008510677A (en) * 2004-08-23 2008-04-10 ヘラオイス.クヴァールツグラース.ゲゼルシャフト.ミット.ベシュレンクテル.ハフツング.ウント.コンパニー.コマンディットゲゼルシャフト Member having reflector layer and method for manufacturing the same
KR100743764B1 (en) 2004-09-29 2007-07-30 세이코 엡슨 가부시키가이샤 Projection-type display device
CN101517706B (en) * 2006-09-28 2012-05-23 科发伦材料株式会社 Planar heater and semiconductor heat treatment apparatus provided with the heater
WO2008038477A1 (en) * 2006-09-28 2008-04-03 Covalent Materials Corporation Planar heater and semiconductor heat treatment apparatus provided with the heater
KR101160724B1 (en) * 2007-03-29 2012-06-28 도쿄엘렉트론가부시키가이샤 Vaporizer and semiconductor processing system
US8197600B2 (en) 2007-03-29 2012-06-12 Tokyo Electron Limited Vaporizer and semiconductor processing system
KR101282455B1 (en) 2008-12-26 2013-07-04 신닛테츠스미킨 카부시키카이샤 Continuous casting method and nozzle heating device
JP4585606B2 (en) * 2008-12-26 2010-11-24 新日本製鐵株式会社 Continuous casting method and nozzle heating device
JP2010167495A (en) * 2008-12-26 2010-08-05 Nippon Steel Corp Continuous casting method and nozzle heating device
US8360136B2 (en) 2008-12-26 2013-01-29 Nippon Steel Corporation Continuous casting method and nozzle heating device
WO2010073736A1 (en) * 2008-12-26 2010-07-01 新日本製鐵株式会社 Continuous casting method and nozzle heating device
JP2013100226A (en) * 2013-01-10 2013-05-23 Toyo Tanso Kk Expansible graphite sheet, protection method for carbonaceous crucible using the same, and single crystal pulling apparatus
US11654658B2 (en) * 2016-05-26 2023-05-23 Nippon Sheet Glass Company, Limited Laminated glass
JP2018101581A (en) * 2016-12-21 2018-06-28 クアーズテック株式会社 heater
CN106973445A (en) * 2017-05-23 2017-07-21 河南易珀尔科技有限公司 A kind of integrated constant temperature warming plate
CN106973445B (en) * 2017-05-23 2024-04-26 中山市第二建筑设计院有限公司 Integrated constant temperature heating plate
CN110800094A (en) * 2017-06-27 2020-02-14 捷易斯路森科技有限公司 Triple tube heating device for exhaust gas heating of semiconductor and liquid crystal display manufacturing process easy to install
CN110800094B (en) * 2017-06-27 2023-05-23 捷易斯路森科技有限公司 Triple tube heating device for waste gas heating of semiconductor and liquid crystal display manufacturing process easy to set
WO2019208626A1 (en) * 2018-04-27 2019-10-31 京セラ株式会社 Heater and production method therefor
WO2021111760A1 (en) * 2019-12-06 2021-06-10 株式会社アドバンテック Stage for heating and cooling object
JP7477491B2 (en) 2021-12-09 2024-05-01 株式会社フルヤ金属 Heat reflector

Also Published As

Publication number Publication date
JP4185194B2 (en) 2008-11-26

Similar Documents

Publication Publication Date Title
JP2000021890A (en) Carbon heater
KR100274312B1 (en) Carbon heater
JP4845146B2 (en) Carbon heater
KR20010095084A (en) Fluid heating apparatus
KR101139612B1 (en) Planar heater
TW469612B (en) Heater
US20040211772A1 (en) Carbon heating apparatus using a graphite felt and manufacturing method thereof
JP4198901B2 (en) Carbon heater
JP3434721B2 (en) Sealed terminal
JP3646912B2 (en) Heater encapsulated heater
JP3372515B2 (en) heater
JPH07296955A (en) Carbon heater
JP4526734B2 (en) Heater element, heating device and substrate heating device
JP3417467B2 (en) Heater with wafer holder
JP3883003B2 (en) heater
JP3547040B2 (en) Cylindrical heater and method of manufacturing the same
JPS60245215A (en) Vertical furnace
JP5110790B2 (en) Heat treatment equipment
JPH11102915A (en) Heater unit for semiconductor thermal treatment equipment
JP2023096833A (en) Tabular heater
JPH0864335A (en) Lighting arrester
KR20000033452A (en) High temperature resistive heater for ultra high vacuum and its manufacturing method thereof
JP2018006451A (en) Bonding method
JPS61290621A (en) Manufacture of heater for indirectly heated type cathode
JPS61296635A (en) Heater for indirectly-heated cathode

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070403

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070525

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20070711

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080304

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080424

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080702

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080902

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080905

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110912

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120912

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130912

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term