GB2364170A - Dual damascene bond pad structure for lowering stress and allowing circuitry under pads - Google Patents

Dual damascene bond pad structure for lowering stress and allowing circuitry under pads Download PDF

Info

Publication number
GB2364170A
GB2364170A GB0030319A GB0030319A GB2364170A GB 2364170 A GB2364170 A GB 2364170A GB 0030319 A GB0030319 A GB 0030319A GB 0030319 A GB0030319 A GB 0030319A GB 2364170 A GB2364170 A GB 2364170A
Authority
GB
United Kingdom
Prior art keywords
bond pad
film
barrier layer
semiconductor device
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB0030319A
Other versions
GB0030319D0 (en
GB2364170B (en
Inventor
Sailesh Chittipeddi
William Thomas Cochran
Yehuda Smooha
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/465,075 external-priority patent/US6417087B1/en
Priority claimed from US09/465,089 external-priority patent/US6838769B1/en
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of GB0030319D0 publication Critical patent/GB0030319D0/en
Publication of GB2364170A publication Critical patent/GB2364170A/en
Application granted granted Critical
Publication of GB2364170B publication Critical patent/GB2364170B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • H01L2224/05096Uniform arrangement, i.e. array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/05187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05546Dual damascene structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/05609Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/8538Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/85399Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01007Nitrogen [N]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01039Yttrium [Y]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Abstract

A dual damascene bond pad (27) resistant to stress effects within an integrated circuit is disclosed, allowing for the bond pad (27) to be formed over a substrate (1) containing active circuitry. The dual damascene structure is created by forming bond pad opening (20) and vias (19) in region (40), and depositing metal film (17) in the opening (20) and vias (19). The opening has barrier layer film (13) on bottom surface of opening (20) and vias (19) extending downwardly through barrier layer film (13) and lower dielectric film (11). A conductive layer (5) may be interposed between substrate region (1) and lower dielectric film (11). The reduction in stress of bond pad (27) results in the pad (27) being more resistant to cracking when an external wire (25) is attached to the pad (27), thus preventing leakage currents between the bond pad (27) and any underlying circuitry.

Description

2364170 DUAL DAMASCENE BOND PAD STRUCTURE FOR LOWERING STRESS AND ALLOWING
CIRCUITRY UNDER PADS AND A PROCESS TO FORM THE SAME
FIELD OF THE INVENTION
This invention relates generally to the field of integrated circuits and particularly to processes to form integrated circuits and integrated circuits having at least a portion of the active circuitry positioned under the bond pads.
BACKGROUND OF THE INVENTION
As today's advancing semiconductor processing technology allows for higher integration levels within integrated circuit devices, it becomes increasingly more important to fully utilize the space available within the substrates on which the integrated circuit devices are produced.
An integrated circuit device is also known as a chip especially when it is still included within the semiconductor substrate on which it is formed Chip size is determined, in large part, by the density and number of individual devices which combine to form a completed integrated circuit device By minimizing or reducing chip size, more chips can be created within a substrate of a fixed dimension, and production costs are therefore decreased.
Each integrated circuit device includes a number of bond pads which are used to provide for electrical connection to external components.
More specifically, the electrical connections between the external pins of an assembled integrated circuit package and the integrated circuit itself, are made through bond pads which are generally located on the periphery of the chip Bond pads are metal areas which are electrically connected to the multitude of individual devices which combine to form the integrated circuit, via buffers and other electrically conducting interconnects Due to conventional bonding technology used to couple external conductive wires to the bond pads, and also due to design constraints, the bond pads have relatively large dimensions when compared to other features such as transistors or other individual devices which combine to form the integrated circuit device Therefore, bond pads occupy or cover a significant portion of the chip surface The area underneath the bond pads thus occupies a substantial fraction of the surface of the substrate comprising the chip.
Conventionally, the area used to form the bond pads, in a sense, came at the expense of area which could be used to form other devices of the integrated circuit It can be therefore understood that providing active devices beneath the bond pads increases the level of integration of the integrated circuit device and may also allow for a reduced chip size.
The electrical connection between the package and the bond pad requires physical integrity as well as high electrical conductivity The conventional bonding processes used to bond an external wire of the package to the bond pad of the integrated circuit, typically require either elevated temperatures, high pressures, or both, as well as ultrasonic energy.
These effects are required to produce a connection between the bond pad and the external wire which is of high physical integrity and low electrical resistance These conditions used for coupling the wire to the bond pad, however, can cause defects in a dielectric film over which the bond pad is typically formed.
Bond pads are conventionally formed over dielectric materials to electrically insulate the bond pad metal from the substrate and from other electrical devices which may be formed beneath the bond pads The conditions of conventional methods used to couple external wires to bond pads, can produce mechanical stresses in the dielectric film formed beneath the bond pad The stresses may cause defects which can result in leakage currents through the dielectric formed between the bond pads and the underlying substrate, which is frequently electrically conducting, and other devices if they are formed beneath the bond pads As such, using conventional processing technology, these leakage currents preclude incorporating active devices beneath the bond pads This limitation decreases the level of integration and the efficient use of substrate space for device purposes.
Attempts have been made to use the substrate area underneath the bond pads for active device purposes Attempts have been made using conventional wire bonding technology For example, U S.
lo Patent No 5,751,065 to Chittipeddi, et al discloses providing an additional layer of metal beneath the dielectric formed beneath the bond pad, in order to minimize the effect of the stress of the bonding process, upon the substrate and the other devices formed beneath the bond pad Metal is malleable and acts to absorb the stress This technique using the additional I 5 metal layer, however, requires an additional sequence of process steps directed to depositing and patterning the metal film in order to produce the modified bond pad structure These additional processing steps take time and add production and material costs to the production of an integrated circuit.
The present invention addresses the shortcomings of previous techniques, and provides a novel device and process for forming a bond pad structure which allows for the area beneath the bond pads to be utilized for active devices The process does not require the formation of a separate metal film directed to accommodating the stresses produced using conventional wire bonding techniques.
SUMMARY OF THE INVENTION
According to the present invention, a dual damascene bond pad structure is formed over active devices within an integrated circuit device The upper section of the bond pad opening includes a lower surface formed of a barrier layer film and a plurality of via holes extending through the barrier layer film and through the dielectric film beneath the barrier layer.
The bond pad is formed of metal and the via holes provide for electrical connection between the bond pad metal and other features, some of which are active devices formed beneath the bond pad.
According to another aspect of the present invention, a dual damascene bond padstructure is formed over active devices within an integrated circuit device The upper section of the bond padopening lo includes a lower surface formed of a barrier layer film and a plurality of via holes extending through the barrier layer film and through the dielectric film beneath the barrier layer The bond pad is formed of metal and the via holes provide for electrical connection between the bond pad metal and other features, some of which are active devices formed beneath the bond Is pad.
BRIEF DESCRIPTION OF THE DRAWING
The invention is best understood from the following detailed description when read in connection with the accompanying drawing It is emphasized that, according to common practice, the various features of the drawing are not to scale On the contrary, the dimensions of the various features are arbitrarily expanded or reduced for clarity Included in the drawing are the following figures:
FIG 1 is a cross-sectional view of an exemplary embodiment of the bond pad structure according to the present invention; FIG 2 is a cross-sectional view of another exemplary embodiment of the bond pad structure according to the present invention; FIG 3 is a plan view of a bond pad according to the present invention; and FIGS 4 A-4 M are cross-sectional views of the various sequences of process operations used to form exemplary embodiments of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
FIG 1 is a cross-sectional view showing the bond pad structure of the present invention Bond pad 27 is formed within bond pad region 40 formed over substrate 1 Within bond pad region 40 and beneath bond pad 27, active devices such as transistor 7 may be formed.
More particularly, FIG 1 shows bond pad 27 formed within bond pad region 40 over substrate 1 Substrate 1 may be any suitable substrate on which semiconductor devices and integrated circuits are formed In an exemplary embodiment, substrate 1 may be a silicon wafer.
Bond pad 27 is formed of metal film 17 Metal film 17 may be any suitable metal film used as a conductive film within an integrated circuit Examples of such conductive films include tungsten, aluminum, copper and their alloys such as Al Cu Si In other exemplary embodiments (not shown), metal film 17 may be a composite film including one of the metal films described above, and another film such as a barrier layer film.
Bond pad 27 includes lower surface 14 which is formed of a barrier layer film 13 In the embodiment shown in FIG 1, barrier layer film 13 also extends peripherally beyond bond pad region 40 Top surface 24 of bond pad 27 is essentially coplanar with top surface 23 of upper dielectric film 15, within which bond pad opening 20 is formed Beneath barrier layer 13 is lower dielectric film 11 which generally insulates bond pad 27 from conductive film 5 and other active devices which may be formed beneath the bond pad 27 and within bond pad region 40 In the exemplary embodiment, via holes 19 (hereinafter, "vias") provide direct electrical connection between bond pad 27 and conductive film 5 In various embodiments, conductive film may be a metal film such as aluminum or copper which may be patterned, a polysilicon or other semiconductor film, or a patterned semiconductor film.
In an exemplary embodiment, conductive film 5 may be a patterned metal alloy film such as Al Cu Si or another aluminum or copper alloy.
Metal film 17 is included within opening 20 formed within upper dielectric film 15 Electrical connection is provided between bond pad 27 o 10 and conductive film 5 through vias 19 formed through barrier layer film 13 which forms the bottom surface 14 of the bond pad, and through lower dielectric film 11 Together, opening 20 and vias 19 form a dual damascene structure In the exemplary embodiment shown in FIG 1, conductive film 5 is further coupled, by means of contact 9 formed in insulating film 3, to transistor 7 formed on substrate 1 within bond pad region 40 In other exemplary embodiments, conductive film 5 may be additionally or alternatively connected laterally to other devices not included within bond pad region 40.
Although FIG 1 shows vias 19 each directly extending to surface 6 of conductive film 5, it should be understood that, in embodiments where the conductive film is a patterned film, vias 19 may additionally or alternatively extend down to areas within bond pad region 40 where patterned conductive film 5 is not present.
Insulating film 3 generally insulates conductive film 5 from other devices formed on and within substrate 1 within bond pad region 40 It should be understood that, although transistor 7 is shown including source region S and drain region D formed within substrate 1 beneath bond pad 27 and within bond pad region 40, other active devices may be used It is an advantage of the present invention that any of various active devices may be formed in or on substrate 1 within bond pad region 40 and beneath bond pad 27 because of the dual damascene structure of the bond pad and the presence of barrier layer film 13 forming the lower surface of the bond pad opening within bond pad region 40 It should be further understood that more than one active device may be included within the bond pad region 40.
The completed structure shown in FIG 1 also includes conductive external wire 25 bonded to top surface 24 of bond pad 27.
Because of the structure of the bond pad of the present invention, when external wire 25 is bonded to top surface 24 using conventional bonding io methods which typically stress the underlying substrate, cracks are prevented from forming in underlying dielectric films such as lower dielectric film 11 As such, the effects of the stress associated with the bonding process, are reduced Leakage through the underlying dielectric film is suppressed, allowing for active devices such as transistor 7 to be included Is beneath bond pad 27 within bond pad region 40.
FIG 2 shows another exemplary embodiment of the bond pad structure according to the present invention The structure shown in FIG 2 is substantially the same as the bond pad structure shown in FIG 1, with the exception being that, in FIG 2, barrier layer film 13 does not extend peripherally beyond bond pad region 40 The presence of barrier layer film 13 within bond pad region 40 and the dual damascene structure of bond pad 27 including vias 19, suffices to suppress stress related defects in the -
underlying films during the bonding process by which external conductive wire 25 is bonded to top surface 24 of bond pad 27 Other features of FIG.
2 are identical to the features in FIG 1 and are as described in conjunction with FIG 1.
FIG 3 is a plan view which represents a top view of each of the cross-sectional structures shown in FIGS 1 and 2 As such, dashed lines 19 represent vias shown in FIGS 1 and 2 which extend down from the bond pad and which may provide connection to features formed beneath the bond pad and within bond pad region 40 Lead line 29 provides electrical connection to other features formed on or within substrate 1 It should be understood that the configuration of bond pad 27 is not intended to be limited to the square structure shown in FIG 3 Rather, bond pad 27 may take on various shapes For example, the shape of bond pad 27 may be rectangular, trapezoidal, or rounded Furthermore, the bond pad structure of the present invention is not intended to be limited to the number and arrangement of vias 19 shown in FIG 3 The nine vias shown in FIG 3 are io intended to be exemplary only In various embodiments, the dual damascene bond pad structure formed according to the present invention may include any number of via openings 19, including a single via within bond pad region 40.
With respect to each of FIGS 1, 2 and 3, it should be stressed that various features have been expanded or reduced for clarity The relative dimensions of the features shown in FIGS 1-3, are not intended to accurately represent the actual relative dimensions of the features in the physical embodiment; rather, they are primarily illustrative For example, the thickness of each of the films shown has been increased with respect to the lateral dimension of the bond pad structure, in order to illustrate the relative arrangement of the films.
Now turning to FIGS 4 A-4 M, another aspect of the present invention is shown FIGS 4 A-4 M show the sequence of process operations used to form various exemplary embodiments of the bond pad structure.
FIG 4 A is a cross-sectionai view showing conductive film 5, over which the bond pad structure of the present invention will be formed As shown in FIGS 1 and 2, conductive film 5 is formed within the bond pad region and over a substrate which includes active devices formed beneath conductive film 5 within the bond pad region For purposes of clarity, the substructure beneath conductive film 5 is not shown in FIGS 4 A-4 M, but it should be understood that bond pad region 40 includes active devices formed on or within the substrate and beneath the bond pad The substrate and active devices (not shown) beneath conductive film 5 are as described in conjunction with FIG 1 In an exemplary embodiment, conductive film 5 may be a metal film such as tungsten, aluminum, copper, an aluminum alloy, or a copper alloy, or it may be a semiconductor film such as polysilicon or the like Conductive film 5 may be a patterned film, and in an exemplary embodiment will be patterned within the region (bond pad region 40) above o 10 which the bond pad will be formed.
Now turning to FIG 4 B, lower dielectric film 11 is shown.
Lower dielectric film 11 may be an oxide, oxynitride, or other insulating film, and may be formed by conventional methods such as chemical vapor deposition (CVD) FIG 4 C shows barrier layer film 13 formed over lower s 15 dielectric film 11 Barrier layer film 13 may be formed by conventional methods such as CVD, sputtering or evaporation Barrier layer film 13 includes top surface 14 which will ultimately form the lower surface of a bond pad opening which will be formed in a subsequently deposited, superjacent dielectric film Barrier layer film 13 is shown as formed within bond pad region 40 and also extending laterally beyond bond pad region 40.
In another exemplary embodiment, barrier layer film may be patterned using conventional methods and will remain only within bond pad region 40 In various exemplary embodiments, barrier layer film 13 may be a film formed of tantalum (Ta), tantalum silicide (Ta Si), tantalum nitride (Ta N), titanium (Ti), titanium silicide (Ti Si), titanium nitride (Ti N), tungsten silicide (W Si), or tungsten silicide nitride (W Si N) In another exemplary embodiment, barrier layer film 13 may represent a composite film formed using any of the above barrier layer films in combination Thickness 21 of barrier layer film 13 may be any suitable thickness as determined by device requirements, but will preferably be within the range of 500 to 2000 angstroms.
Now turning to FIG 4 D, upper dielectric film 15 is formed over top surface 14 of barrier layer film 13 Upper dielectric film 15 may be an oxide, oxynitride, or other insulating film, and may be the same or a different film than lower dielectric film 11 Thickness 16 of upper dielectric film 15 may be any suitable thickness as determined by device requirements In various exemplary embodiments, thickness 16 may range from 200 to 20,000 angstroms Upper dielectric film 15 includes top surface 23, and may be formed using conventional methods such as CVD or plasma enhanced-CVD.
FIG 4 E shows bond pad opening 20 formed within bond pad region 40 by removing the portions of upper dielectric film 15 which are in bond pad region 40 This is accomplished by forming a masking pattern within a masking film 31 formed over top surface 23 of upper dielectric film Conventional processing techniques, such as by coating a photosensitive masking film 31 such as photoresist, over top surface 23, then subsequently patterning the film using conventional methods, may be used After a pattern is formed in masking film 31, opening 20 is then formed by etching methods Wet, chemical etching methods may be used, or dry, RIE (reactive ion etching) plasma etching methods may be employed Any suitable etching procedure which selectively removes upper dielectric film 15 from bond pad region 40, and which does not significantly attack barrier layer film 13, may be used As can be seen, in bond pad region 40, the entire thickness 16 of upper dielectric film 15 is removed by etching, producing opening 20 which includes lower surface 14 which is also the top surface of barrier layer film 13 It should be further understood that within bond pad region 40 and beneath conductive film 5, at least one active device is formed (as shown in FIGS 1 and 2) After opening 20 is formed, masking film 31 may be removed by conventional methods.
FIG 4 F shows the subsequent patterning step used in the dual damascene processing sequence In FIG 4 F, masking film 33 is formed and patterned using conventional methods In an exemplary embodiment, masking film 33 may be a photosensitive film similar or identical to the s photosensitive film 31 shown in FIG 4 E A pattern is formed which includes vias 19 which may provide for electrical contact between the metal bond pad to be formed within bond pad opening 20 (as will be shown in FIG 4 H) and conductive film 5 After a pattern is formed, RIE or plasma etching techniques will be used to remove portions of barrier layer film 13 which are io not covered by masking film 33.
After the removal of barrier layer film 13 is complete, a subsequent etching process is used to remove portions of the lower dielectric film 11 in via 19 regions where the barrier layer film 13 has been removed Conventional reactive ion etching processes may be used to Is selectively remove dielectric film 11 but which do not significantly attack conductive film 5 FIG 4 G shows via openings 19 extending from bond pad opening 20 to exposed surface 6 of underlying conductive film 5 After the vias 19 have been formed by etching through the entire thickness of barrier layer film 13 and lower dielectric film 11, masking film 33 may be removed using conventional methods It should be understood that, in various exemplary embodiments, conductive film 5 may be a patterned film As such, vias 19 may extend down to surface 6 of the patterned conductive film thereby exposing a region of patterned conductive film 5, or the vias mray alternatively extend down to other features within bond pad region 40, in areas where patterned conductive film 5 is not present It should be further understood that any number of vias may be formed through the bottom of bond pad opening 20, according to various exemplary embodiments.
In another exemplary processing sequence (not shown), the order of some of the patterning and etching process operations may be reversed According to a second exemplary embodiment, after the completed film structure is formed as shown in FIG 4 D prior to etching, the via or vias are first formed within the bond pad region Via openings are formed by patterning a first masking film to produce a pattern similar to that of masking film 33 as shown in FIG 4 F, then etching through the entire thickness of the upper dielectric, and barrier layer films After the first masking film is removed, another pattern is formed using a second masking film and exposing the entire bond pad region, which is then etched to remove the entire thickness of the upper dielectric film from the bond pad to region This dielectric etch simultaneously etches the via openings down to the upper surface of the underlying conductive film, producing the vias and the structure shown in FIG 4 G after the second masking film is removed.
Although a different process sequence is used according to this second exemplary embodiment, the resulting structure as shown in FIG 4 G, is the same.
FIG 4 H shows metal film 17 formed within bond pad opening and within vias 19 thereby providing electrical contact from bond pad 27 to underlying features such as conductive film 5 Metal film 17 may be a tungsten film, a copper film, an aluminum film, alloys such as an aluminum silicon film or an aluminum copper silicon film, or other suitable metal films.
Bond pad metal film 17 may be formed by sputter deposition, evaporation, chemical vapor deposition or other means Upon deposition, metal film 17 is a continuous film including portions formed above top surface 23 of upper dielectric film 15 In one exemplary embodiment, the thickness 18 of metal film 17 is chosen to be greater than the depth of the bond pad opening 20 (thickness 16 of upper dielectric film 15 as shown in FIG 4 D) to insure that bond pad opening 20 is completely filled with metal film 17 Thickness 18 of metal film 17 may vary according to the thickness of upper dielectric film 15, but may be as great as 2 microns After the deposition of the film, polishing methods such as chemical mechanical polishing (CMP) may be used to remove portions of metal film 17 which lie above top surface 23, thereby producing the bond pad structure shown in FIG 41 It can be seen that top surface 23 and upper surface 24 of bond pad 27, form a smooth continuous surface and are substantially coplanar Top surface 23 of bond pad 27 may s be subsequently bonded to an external conductive wire, as shown in FIG 1.
FIG 4 J shows another exemplary embodiment of the bond pad of the present invention The bond pad shown in FIG 4 J includes upper barrier film 35 Upper barrier film 35 is added to the structure in the following manner Instead of depositing metal film 17 having a thickness lo sufficient to completely fill bond pad opening 20 (as used to form the structure shown in FIG 4 H), the deposition of metal film 17 is stopped before metal film 17 completely fills bond pad opening 20 At this point, upper barrier layer film 35 is formed over the top surface of bond pad metal film 17, including a portion within bond pad opening 20 Upper barrier layer is film 35 may include any of the films listed in conjunction with barrier layer film 13 and may have a thickness as great as 1000 angstroms Upper barrier layer film 35 may be formed using sputtering techniques, or chemical vapor deposition or other deposition processes After upper barrier layer film 35 is formed over bond pad metal film 17 and within bond pad region 20, a polishing technique such as CMP is used to remove portions of both bond pad metal film 17 and upper barrier film 35 which lie above top surface 23 of upper dielectric film 15.
It should be understood that the bond pad of the exemplary embodiment shown in FIG 4 J, will also include active devices formed beneath the bond pad This feature is shown in the completed bond pad structures shown in the exemplary embodiments of FIGS 1 and 2.
Furthermore, this exemplary embodiment may alternatively include barrier layer film 13 being removed from regions outside bond pad region 40 It should be further understood that the exemplary embodiment shown in FIG.
4 J, may also be bonded to an external conductive wire as shown in each of FIGS 1 and 2.
According to another exemplary embodiment of the process of the present invention, the sequence of process operations may be tailored to form the structure shown in FIG 2 The bond pad structure shown in FIG.
2, differs from the bond pad structure shown in FIG 1 in that barrier layer film 13 does not extend laterally beyond bond pad region 40 in FIG 2 The sequence of process operations used to form this exemplary embodiment may include forming barrier layer film 13 over lower dielectric film 11, then patterning the barrier layer film 13 prior to forming an upper dielectric film, as shown in FIG 4 K Both the lower dielectric film 11 and barrier layer film 13 as described in conjunction with FIGS 4 B-4 C Conventional patterning methods may be used to pattern the barrier layer film and to remove portions of the barrier layer film which extend laterally beyond bond pad Is region 40.
Now turning to FIG 4 L, upper dielectric film 15 is formed over the patterned barrier layer film 13 Upper dielectric film 15 is as described in conjunction with FIG 4 D Next, bond pad opening 20 is formed within upper dielectric film 15 and which exposes barrier layer film 13 Bond pad opening 20 is formed as described in conjunction with FIG 4 E, and vias 19 are formed as described in conjunction with FIGS 4 F and 4 G.
FIG 4 M shows barrier layer film 13 forming the bottom surface 14 of bond pad opening 20 within bond pad region 40 The bond pad is filled with metal film 17, which is formed as described in conjunction with FIGS 4 H and 41 It can be seen that barrier layer film 13 is distinguished from the barrier layer film shown in FIGS 4 E-4 J in that barrier layer film 13 shown in FIG 4 M, does not extend beneath upper dielectric film 15 into regions 41 which are regions outside of bond pad region 40 The structure shown in FIG 4 M is identical to the upper portion of the bond pad structure shown and described in FIG 2.
While the invention is shown and described in conjunction with a single bond pad, it should be understood that the process and structure encompass a plurality of bond pads formed simultaneously within a plurality of integrated circuit devices formed on a substrate The invention is not intended to be limited to a particular structure beneath the bond pads; rather, the present invention covers bond pads formed within a bond pad opening having a barrier layer film as a bottom surface, with any number of lo vias extending vertically beneath the bottom surface within the bond pad region Beneath the bond pad may be a conductive film which may be patterned, and any number of different active devices within the bond pad area The vias may provide electrical connection to the conductive film or other subjacent active devices The conductive film beneath the bond pad Is may be coupled to active devices within or external to the bond pad region.
The individual bond pads which combine to form an individual integratedcircuit device, may also vary from one another in structure.
The preceding merely illustrates the principals of the invention.
It will thus be appreciated that those skilled in the art will be able to devise various arrangements which, although not explicitly described or shown herein, embody the principles of the invention and are included within its spirit and scope Furthermore, all examples and conditional language - recited herein are principally intended expressly to be only for pedagogical purposes and to aid the reader in understanding the principals of the invention and the concepts contributed by the inventors to furthering the art, and are to be construed as being without limitation to such specifically recited examples and conditions More over, all statements herein reciting principals, aspects, and embodiments of the invention, as well as specific examples thereof, are intended to encompass both structural and functional equivalents thereof Additionally, it is intended that such equivalents include both currently known equivalents such as equivalents developed in the future, i e, any elements developed that perform the same function, regardless of structure The scope of the present invention, therefore, is not intended to be limited to the exemplary embodiments shown and described herein Rather, the scope and spirit of the present invention is embodied by the appended claims.

Claims (22)

Claims:
1 A semiconductor device comprising a bond pad formed over a substrate region including active devices formed thereon, the bond pad including bond pad metal formed within an opening having a lower surface formed of a barrier layer, and within at least one via formed through the lower surface and through a dielectric layer disposed beneath the barrier layer.
2 The semiconductor device as in claim 1, further comprising a conductive layer interposed between the substrate region and the dielectric layer.
3 The semiconductor device as in claim 2, wherein the bond pad is coupled to the conductive layer through the at least one via.
4 The semiconductor device as in claim 2, wherein the conductive layer is coupled to at least one of the active devices.
5 The semiconductor device as in claim 2, wherein the conductive layer comprises a patterned film.
6 The semiconductor device as in claim 1, wherein the barrier layer comprises Ti N.
7 The semiconductor device as in claim 1, wherein the barrier layer is formed of a material selected from the group consisting of Ta, Ti, Ta N, W Si, W Si N, Ta Si and Ti Si.
8 The semiconductor device as in claim 1, further comprising a conductive wire coupled to a top surface of the bond pad.
9 The semiconductor device as in claim 1, further comprising a further barrier layer formed over at least part of the bond pad metal within the bond pad opening.
The semiconductor device as in claim 1, wherein the bond pad metal comprises one of W, Al, Cu, an aluminum alloy and a copper alloy.
11 The semiconductor device as in claim 2, wherein the conductive layer comprises one of W, Al, Cu, an aluminum alloy, and a copper alloy.
lo
12 A process for forming a bond pad within a semiconductor device comprising the steps of:
a) providing a semiconductor substrate including a plurality of active devices formed thereon; b) forming a lower dielectric film over the substrate; c) forming a barrier layer over the lower dielectric film in at least a bond pad region, the bond pad region including at least one of the plurality of active devices; d) forming an upper dielectric film over the barrier layer and the lower dielectric film; e) removing the upper dielectric film from the bond pad region, thereby exposing the barrier layer and forming a bond pad opening;
f) forming at least one via within the bond pad region, each via extending through the barrier layer and through the lower dielectric film; and g) substantially filling the at least one via and the bond pad s opening with a metal film.
13 The process as in claim 12, in which step g) includes depositing a metal film including at least one of copper and aluminum within the at least one via and within the bond pad opening.
14 The process as in claim 12, in which step f) includes to reactive ion etching.
The process as in claim 12, in which step e) includes selectively etching the upper dielectric film.
16 The process as in claim 12, in which step c) includes depositing a Ti N film onto the lower dielectric film.
17 The process as in claim 12, in which step g) includes depositing a metal film within the at least one via and within the bond pad opening and over a top surface of the upper dielectric film, then removing portions of the deposited metal film from over the top surface.
18 The process as in claim 17, wherein the portions of the deposited metal film are removed from over the top surface by chemical mechanical polishing.
19 The process as in claim 18, wherein the metal film is a composite film including a further barrier layer formed over a bond pad metal film.
The process as in claim 12, further comprising step al) forming a conductive film over the semiconductor substrate within the bond pad region, and wherein step b) includes forming the lower dielectric film over the conductive film.
21 The process as in claim 12, further comprising step al) forming a patterned conductive film over the semiconductor substrate at least within the bond pad region, and wherein step b) includes forming the lower dielectric film over the patterned conductive film, and at least one via within the bond pad region exposes a region of the patterned conductive film.
22 The process as in claim 12, in which step c) includes depositing a film formed of a material selected from the group consisting of Ta, Ti, Ta N, Ti N, Ta Si, Ti Si, W Si and W Si N.
GB0030319A 1999-12-16 2000-12-12 Dual damascene bond pad structure for lowering stress and allowing circuitry under pads and a process to form the same Expired - Fee Related GB2364170B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/465,075 US6417087B1 (en) 1999-12-16 1999-12-16 Process for forming a dual damascene bond pad structure over active circuitry
US09/465,089 US6838769B1 (en) 1999-12-16 1999-12-16 Dual damascene bond pad structure for lowering stress and allowing circuitry under pads

Publications (3)

Publication Number Publication Date
GB0030319D0 GB0030319D0 (en) 2001-01-24
GB2364170A true GB2364170A (en) 2002-01-16
GB2364170B GB2364170B (en) 2002-06-12

Family

ID=27041199

Family Applications (1)

Application Number Title Priority Date Filing Date
GB0030319A Expired - Fee Related GB2364170B (en) 1999-12-16 2000-12-12 Dual damascene bond pad structure for lowering stress and allowing circuitry under pads and a process to form the same

Country Status (4)

Country Link
JP (1) JP4138232B2 (en)
KR (1) KR100691051B1 (en)
GB (1) GB2364170B (en)
TW (1) TW477000B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1548815A1 (en) * 2002-08-30 2005-06-29 Fujitsu Limited Semiconductor device and its manufacturing method
EP1351294A3 (en) * 2002-03-06 2006-09-27 STMicroelectronics, Inc. System and method for providing a redistribution metal layer in an integrated circuit
US7692315B2 (en) 2002-08-30 2010-04-06 Fujitsu Microelectronics Limited Semiconductor device and method for manufacturing the same
FR2959868A1 (en) * 2010-05-06 2011-11-11 St Microelectronics Crolles 2 SEMICONDUCTOR DEVICE HAVING CONNECTING PLATES WITH INSERTS

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003068878A (en) * 2001-08-23 2003-03-07 Hitachi Ltd Semiconductor integrated circuit device and method of manufacturing the same
DE10200932A1 (en) * 2002-01-12 2003-07-24 Philips Intellectual Property Discrete semiconductor device
US6614091B1 (en) 2002-03-13 2003-09-02 Motorola, Inc. Semiconductor device having a wire bond pad and method therefor
JP4528035B2 (en) * 2004-06-18 2010-08-18 ルネサスエレクトロニクス株式会社 Semiconductor device
JP4674522B2 (en) * 2004-11-11 2011-04-20 株式会社デンソー Semiconductor device
JP5208936B2 (en) * 2006-08-01 2013-06-12 フリースケール セミコンダクター インコーポレイテッド Method and apparatus for improvements in chip manufacturing and design
JP2013235127A (en) * 2012-05-09 2013-11-21 Seiko Epson Corp Electro-optic device, method for manufacturing electro-optic device and electronic apparatus
KR102437163B1 (en) 2015-08-07 2022-08-29 삼성전자주식회사 Semiconductor device
US10833119B2 (en) * 2015-10-26 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pad structure for front side illuminated image sensor
CN107845622B (en) * 2017-12-04 2022-04-08 长鑫存储技术有限公司 Chip stacked body with through-silicon via and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0224013A2 (en) * 1985-10-28 1987-06-03 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate
US5229645A (en) * 1990-06-21 1993-07-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
EP0926721A2 (en) * 1997-12-23 1999-06-30 Siemens Aktiengesellschaft Dual damascene with bond pads

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08293523A (en) * 1995-02-21 1996-11-05 Seiko Epson Corp Semiconductor device and its manufacture
JPH1041298A (en) * 1996-07-23 1998-02-13 Toshiba Corp Semiconductor device and its manufacture
JPH10261624A (en) * 1997-03-19 1998-09-29 Nec Corp Etching and multilayered interconnection structure
JP3647631B2 (en) * 1997-07-31 2005-05-18 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JPH11135506A (en) * 1997-10-31 1999-05-21 Nec Corp Manufacture of semiconductor device
JP3544464B2 (en) * 1997-11-26 2004-07-21 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
JP3382549B2 (en) * 1998-11-02 2003-03-04 キヤノン株式会社 Semiconductor device and active matrix substrate
JP2000299350A (en) * 1999-04-12 2000-10-24 Toshiba Corp Semiconductor device and its manufacture
JP2001196413A (en) * 2000-01-12 2001-07-19 Mitsubishi Electric Corp Semiconductor device, method of manufacturing the same, cmp device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0224013A2 (en) * 1985-10-28 1987-06-03 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate
US5229645A (en) * 1990-06-21 1993-07-20 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
EP0926721A2 (en) * 1997-12-23 1999-06-30 Siemens Aktiengesellschaft Dual damascene with bond pads

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1351294A3 (en) * 2002-03-06 2006-09-27 STMicroelectronics, Inc. System and method for providing a redistribution metal layer in an integrated circuit
US7786582B2 (en) 2002-03-06 2010-08-31 Stmicroelectronics, Inc. System for providing a redistribution metal layer in an integrated circuit
US8163645B2 (en) 2002-03-06 2012-04-24 Stmicroelectronics, Inc. Method for providing a redistribution metal layer in an integrated circuit
EP2849225A3 (en) * 2002-03-06 2015-04-01 STMicroelectronics Inc System and method for providing a redistribution metal layer in an integrated circuit
EP1548815A1 (en) * 2002-08-30 2005-06-29 Fujitsu Limited Semiconductor device and its manufacturing method
EP1548815A4 (en) * 2002-08-30 2005-09-28 Fujitsu Ltd Semiconductor device and its manufacturing method
US7692315B2 (en) 2002-08-30 2010-04-06 Fujitsu Microelectronics Limited Semiconductor device and method for manufacturing the same
EP2204843A3 (en) * 2002-08-30 2010-07-21 Fujitsu Microelectronics Limited Semiconductor device and its manufacturing method
US8034703B2 (en) 2002-08-30 2011-10-11 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US8089162B2 (en) 2002-08-30 2012-01-03 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
FR2959868A1 (en) * 2010-05-06 2011-11-11 St Microelectronics Crolles 2 SEMICONDUCTOR DEVICE HAVING CONNECTING PLATES WITH INSERTS

Also Published As

Publication number Publication date
KR100691051B1 (en) 2007-03-09
GB0030319D0 (en) 2001-01-24
KR20010062445A (en) 2001-07-07
TW477000B (en) 2002-02-21
JP2001298029A (en) 2001-10-26
JP4138232B2 (en) 2008-08-27
GB2364170B (en) 2002-06-12

Similar Documents

Publication Publication Date Title
US6417087B1 (en) Process for forming a dual damascene bond pad structure over active circuitry
JP3526376B2 (en) Semiconductor device and manufacturing method thereof
US6803302B2 (en) Method for forming a semiconductor device having a mechanically robust pad interface
US7067928B2 (en) Method of forming a bonding pad structure
US6100589A (en) Semiconductor device and a method for making the same that provide arrangement of a connecting region for an external connecting terminal
US6815324B2 (en) Reliable metal bumps on top of I/O pads after removal of test probe marks
KR100411576B1 (en) Copper pad structure
US20080136038A1 (en) Integrated circuits with conductive features in through holes passing through other conductive features and through a semiconductor substrate
US4761386A (en) Method of fabricating conductive non-metallic self-passivating non-corrodable IC bonding pads
US5309025A (en) Semiconductor bond pad structure and method
JP2012054588A (en) Aluminium pad power bus for integrated circuit device using copper technology interconnection structure, and signal routing technology
GB2364170A (en) Dual damascene bond pad structure for lowering stress and allowing circuitry under pads
US20010001742A1 (en) Method of fabricating a dual -damascene structure in an integrated cirtcuit with multilevel-interconnect strcture
US7528478B2 (en) Semiconductor devices having post passivation interconnections and a buffer layer
US6838769B1 (en) Dual damascene bond pad structure for lowering stress and allowing circuitry under pads
EP0926721A2 (en) Dual damascene with bond pads
JP2003031574A (en) Semiconductor device and manufacturing method therefor
KR20040056957A (en) Method for forming a pad of a semiconductor device
JPS60187039A (en) Multilayer interconnection member

Legal Events

Date Code Title Description
PCNP Patent ceased through non-payment of renewal fee

Effective date: 20161212