EP4150644A1 - Élément optique, système de lithographie euv et procédé de formation de nanoparticules - Google Patents

Élément optique, système de lithographie euv et procédé de formation de nanoparticules

Info

Publication number
EP4150644A1
EP4150644A1 EP21722416.1A EP21722416A EP4150644A1 EP 4150644 A1 EP4150644 A1 EP 4150644A1 EP 21722416 A EP21722416 A EP 21722416A EP 4150644 A1 EP4150644 A1 EP 4150644A1
Authority
EP
European Patent Office
Prior art keywords
optical element
nanoparticles
layer
element according
protective layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
EP21722416.1A
Other languages
German (de)
English (en)
Inventor
Anastasia Gonchar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Publication of EP4150644A1 publication Critical patent/EP4150644A1/fr
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001Production of X-ray radiation generated from plasma
    • H05G2/003Production of X-ray radiation generated from plasma the plasma being generated from a material in a liquid or gas state
    • H05G2/005Production of X-ray radiation generated from plasma the plasma being generated from a material in a liquid or gas state containing a metal as principal radiation generating component

Definitions

  • the invention relates to an optical element, comprising: a substrate, a multilayer system which is applied to the substrate and reflects E UV radiation, and a protective layer system which has an uppermost layer and which is applied to the multilayer system.
  • the invention also relates to an EUV lithography system which has at least one such optical element.
  • the invention also relates to a method for forming nanoparticles which are embedded in the uppermost layer of the protective layer system of the optical element.
  • an EUV lithography system is understood to mean an optical system or an optical arrangement for EUV lithography, ie an optical system which can be used in the field of EUV lithography.
  • the optical system can be, for example, an inspection system for inspecting a photomask (also called reticle in the following) used in an EUV lithography system, for inspecting a semiconductor substrate to be structured (also called wafer in the following) or a metrology system which is used to measure an EUV lithography system or parts thereof, for example to measure a projection system.
  • EUV radiation is understood to mean radiation in a wavelength range between approx. 5 nm and approx. 30 nm, for example at 13.5 nm. Since EUV radiation is strongly absorbed by most known materials, the EUV radiation is typically guided through the EUV lithography system with the aid of reflective optical elements.
  • the layers of a reflective multilayer system in the form of a coating of a reflective optical element are exposed to harsh conditions during operation in an EUV lithography system, in particular in an EUV lithography system has high radiation output.
  • the EUV radiation also causes some of the EUV mirrors to heat up to high temperatures of possibly several 100 ° C.
  • the residual gases in a vacuum environment, in which the EUV mirrors are usually operated can also affect the layers of the reflective multilayer system in the form of the coating, especially if these gases are converted into reactive species such as ions or by the action of EUV radiation Radicals are converted. Ventilation of the vacuum environment during a break in operation and unintentional leaks can also damage the layers of the reflective multilayer system.
  • the layers of the reflective multilayer system can be contaminated or damaged by hydrocarbons produced during operation, by volatile hydrides, by tin drops or tin ions, by cleaning media, etc.
  • a protective layer system which is applied to the multilayer system and which itself can have one or more layers is used.
  • the Layers of the protective layer system can fulfill different functions in order to avoid typical damage patterns, for example the formation of bubbles or the detachment of layers (delamination), in particular due to a plasma present in the residual gas atmosphere, which in addition to reactive hydrogen also contains other gas components, e.g. reactive oxygen, water, Contains nitrogen, noble gases and hydrocarbons.
  • the protective layer system can also protect the multilayer system from the effects of EUV radiation or from thermal influences. Surface processes, for example oxidation / reduction cycles, take place on the surface of the top layer of the protective layer system and not on the multilayer system.
  • WO 2014/139694 A1 describes an optical element in which the protective layer system has at least a first and a second layer, the first layer being arranged closer to the multilayer system than the second layer.
  • the first layer can have a lower solubility for hydrogen than the second layer.
  • the protective layer system can have a third, uppermost layer which is formed from a material which has a high recombination rate for hydrogen.
  • the first layer, the second layer and / or the third layer can be formed from a metal or from a metal oxide.
  • the material of the third, uppermost layer can be selected from the group comprising: Mo, Ru, Cu, Ni, Fe, Pd, V, Nb and their oxides.
  • EP 1 065568 B1 describes a lithographic projection device which has a reflector with a multilayer reflective coating and with a cover layer.
  • the cover layer can have a thickness between 0.5 nm and 10 nm.
  • the cover layer can have two or three layers made of different materials.
  • the top layer can consist of Ru or Rh, the second layer of B4C, BN, diamond-like carbon, S13N4 or SiC.
  • the material of the third layer corresponds to the material of a layer of the multilayer reflective coating, for example it can be Mo.
  • a reflective optical element with a protective layer system that comprises two layers is known from EP 1 402542 B1.
  • the protective layer system described there has a top layer made of a material which resists oxidation and corrosion, for example Ru, Zr, Rh, Pd.
  • the second layer serves as a barrier layer, which consists of B4C or Mo and which is intended to prevent the material of the top layer of the protective layer system from diffusing into the top layer of the multilayer system that reflects the EUV radiation.
  • a method and a device have become known from EP 1 522895 B1 in which at least one mirror is provided with a dynamic protective layer in order to protect the mirror from being etched with ions.
  • the method includes supplying a gaseous substance (as needed) into a chamber containing the at least one mirror.
  • the gas is typically a gaseous hydrocarbon (CXHY).
  • CXHY gaseous hydrocarbon
  • FIG. 2013/124224 A1 An optical element which is designed as described above is also known from WO 2013/124224 A1.
  • the optical element has a protective layer system with an uppermost layer and with at least one further layer below the uppermost layer, the thickness of which is greater than the thickness of the uppermost layer.
  • the material of the top layer is selected from the group of chemical compounds comprising: oxides, carbides, nitrides, silicates and borides.
  • DE 102019212910.2 describes an optical element which has a protective layer system with a first layer, a second layer and a third, in particular topmost, layer.
  • Metallic particles and / or ions can be implanted into at least one layer of the protective layer system.
  • the ions can be metal ions, e.g. noble metal ions, in particular platinum metal ions, or noble gas ions.
  • the implanted ions are intended to prevent Sn ions from being implanted in the material of the respective layer, which arise when the EUV radiation is generated in an EUV radiation source.
  • the noble metal ions can also serve as hydrogen and / or oxygen blockers.
  • At least one layer of the protective layer system can be doped with metallic (nano) particles, e.g. with (foreign) atoms in the form of noble metal particles.
  • the object of the invention is to provide an optical element and an EUV lithography system in which damage to the protective layer system is prevented or at least slowed down so that the service life of the optical element is increased.
  • an optical element of the type mentioned at the outset in which nanoparticles are embedded in the material of the top layer of the protective layer system, which nanoparticles preferably contain at least one metallic material.
  • the formation of the embedded nanoparticles is typically induced by ion implantation.
  • the material of the embedded nanoparticles does not necessarily match the material of the ions with which the top layer is irradiated to form the nanoparticles.
  • the nanoparticles are also not particles introduced into the material of the top layer by doping. It has been shown that the top layer of the protective layer system or the entire protective layer system can be stabilized with respect to the damage factors described above through the embedded nanoparticles.
  • the nanoparticles contain at least one material that does not match the material of the top layer surrounding the nanoparticles.
  • the embedded nanoparticles consist of the material of the ions used in the ion implantation or the nanoparticles contain the material from which the ions used in the irradiation are formed.
  • This type of formation of embedded nanoparticles in the form of gold particles or nanoclusters embedded in yttrium-doped zirconium dioxide is described in the article “X-Ray Photoelectron Spectroscopy of Stabilized Zirconia Films with Embedded Au Nanoparticles Formed under Irradiation with Gold Ions ", S. Yu. Zubkov et al. , Physics of the Solid State 2018, Vol. 60, No. 3, pp. 598-602.
  • the nanoparticles contain at least one material that is contained in the material of the top layer surrounding the nanoparticles.
  • the ion implantation can induce, for example, a reduction in the (basic) material of the top layer or a typically metallic component of the material of the top layer.
  • embedded nanoparticles generated in this way are for example in the article Jon Implantation-induced Nanoscale Particle Formation in AI2O3 and S1O2 via Reduction “, EM Hunt et al. , Acta mater., Vol. 47, no. 5, pages 1497-1511, 1999.
  • the nanoparticles have mean particle sizes between 0.5 nm and 2 nm.
  • the mean particle size of the nanoparticles can, for example, be based on the values described in the above-cited article by S.
  • the mean particle size must not be greater than the thickness of the top layer of the protective layer system.
  • the mean particle size and the material of the nanoparticles can optionally be determined as a function of a function of the nanoparticles that goes beyond the stabilization of the first layer, as will be described below.
  • the nanoparticles reduce the reflectivity of the top layer for radiation at longer wavelengths than EUV radiation, in particular for radiation in the VUV wavelength range or in the DUV wavelength range.
  • the embedded nanoparticles can reduce the reflectivity of the optical element for radiation with wavelengths outside the EUV wavelength range compared to an identically constructed optical element without nanoparticles embedded in the top layer.
  • radiation outside the EUV wavelength range it can in particular be radiation in the VUV wavelength range, i.e. at wavelengths between 100 nm and 200 nm (VUV wavelength range according to DIN 5031 Part 7) or in the DUV wavelength range in an interval between 100 nm and act 300 nm.
  • the absorption of radiation, especially in the DUV / VUV wavelength range is favorable, since radiation in this wavelength range is usually generated by the EUV radiation source in addition to the EUV radiation and its propagation through the EUV lithography system is undesirable.
  • the reflectivity of the optical element for the radiation outside the EUV wavelength range is typically generated by an increased absorption of the first layer for radiation in this wavelength range.
  • the absorption of the top layer or the embedded nanoparticles for radiation outside the EUV wavelength range depends not only on the material of the nanoparticles, but also on other parameters, for example on the (mean) particle size of the nanoparticles.
  • the material of the nanoparticles is selected from the group comprising: Ru, Pd, Pt, Rh, Ir, Au, Ag, Al, Ta, Cr, Mo, Zr, Y, Sc, Ti, V, Nb, La , W.
  • the absorption effect of the embedded nanoparticles for radiation outside the EUV wavelength range depends on the material of the nanoparticles.
  • the choice of a suitable material for the nanoparticles depends not only on the reinforcing effect on the absorption of the “out-of-band” radiation, but also on its effect on the stability of the material of the top layer during operation in the EUV lithography system.
  • the choice of the material for the nanoparticles typically also depends on the (base) material of the top layer in which the nanoparticles are embedded.
  • the top layer has a thickness between 1.0 nm and 5.0 nm.
  • a minimum thickness of the top layer is required, which is typically 1.0 nm.
  • the comparatively small thickness of the layer (s) of the protective layer system generally leads to a reduction in the absorption of the EUV radiation which passes through the protective layer system, so that the reflectivity of the reflective optical element is increased.
  • the protective layer system has at least one further layer which is arranged between the top layer and the multilayer system.
  • the protective layer system only consists of the top layer, but it is also possible that further layers are arranged under the top layer, for example to block the passage of hydrogen / oxygen ions to the multilayer system or as a barrier to prevent the material from mixing the top layer of the protective layer system with the material of the top layer of the multilayer system (e.g. Si) can serve.
  • the (or each) further layer has a thickness between 0.1 nm and 5.0 nm.
  • the further layer (s) has a thickness between 0.1 nm and 5.0 nm.
  • no nanoparticles are embedded in the further layer (s), so that these layer (s) can have a very small thickness, which contributes to a reduction in the absorption effect of this layer (s).
  • the material of the top layer in which the nanoparticles are embedded and / or the material of at least one further layer is / are formed from a stoichiometric or non-stoichiometric oxide or from a stoichiometric or non-stoichiometric mixed oxide.
  • the oxide or mixed oxide can be a stoichiometric oxide or mixed oxide or a non-stoichiometric oxide or mixed oxide.
  • Mixed oxides are composed of several oxides, i.e. their crystal lattice is composed of oxygen ions and the cations of several chemical elements.
  • the use of oxides in the layers of the protective layer system has proven to be beneficial, since these have a high level of absorption for DUV radiation, which in the case of the top layer can be additionally reinforced by the embedded nanoparticles.
  • the oxide or the mixed oxide contains at least one chemical element selected from the group comprising: Zr, Ti, Nb, Y, Hf, Ce, La, Ta, Al, W, Cr.
  • the material of the top layer and - if present - the other layer (s) should be resistant to cleaning media (aqueous, acidic, basic, organic solvents and surfactants ), as well as against reactive hydrogen (H * ), ie hydrogen ions and / or hydrogen radicals, which are used when cleaning the surface of the protective layer system or the top layer.
  • the material of the top layer should be resistant to Sn or not mix with Sn.
  • Sn contamination deposited on the top layer should be able to be removed from the surface of the third layer with reactive hydrogen (FT).
  • the material of the top layer should also be resistant to redox reactions, i.e. neither oxidize nor - e.g. in contact with hydrogen - be reduced.
  • the top layer should also not contain any substances that are volatile in an atmosphere containing oxygen and / or hydrogen. The oxides or mixed oxides of the metals described above meet these conditions or most of these conditions.
  • the further layer or at least one of the further layers is formed from at least one metal (or from a mixture of metals or an alloy).
  • the further layer (s) can be formed from (at least) one metal. The requirements for resistance to cleaning media etc. are lower for the other layer (s) than for the top layer.
  • the or at least one further layer contains a metal or consists of a metal that is selected from the group comprising: Ru, Pd, Pt, Rh, Ir, Al, Ta, Cr, Mo, Zr, Y, Sc, Ti, V, Nb, La and mixtures thereof.
  • a metal or consists of a metal that is selected from the group comprising: Ru, Pd, Pt, Rh, Ir, Al, Ta, Cr, Mo, Zr, Y, Sc, Ti, V, Nb, La and mixtures thereof.
  • the material of the further layer is selected from the group comprising: C, B 4 C, BN, Si. These materials have proven to be advantageous, in particular with regard to their properties as diffusion barrier layers, in order to prevent the material of the top layer of the multilayer system from diffusing into the protective layer system.
  • the protective layer system has a thickness of less than 10 nm, preferably less than 7 nm.
  • a suitable choice of the materials of the individual layer (s) or with a suitable design of the protective layer system even with a comparatively small thickness of the individual layer (s), an adequate protective effect and thus a long service life of the optical element can be achieved guaranteed.
  • the comparatively small thickness of the layers of the layer system also generally leads to a reduction in the absorption of the EUV radiation that passes through the protective layer system, so that the reflectivity of the reflective optical element is increased. It goes without saying that materials should be selected for the layers of the protective layer system which do not have too great an absorption for EUV radiation.
  • the layers of the protective layer system and the layers of the reflective multilayer system can in particular be applied by a PVD (“physical vapor deposition”) coating process or by a CVD (“chemical vapor deposition”) coating process.
  • the PVD coating process can be, for example, electron beam evaporation, magnetron sputtering or laser beam evaporation (“pulsed laser deposition”, PLD).
  • the CVD coating process can be, for example, a plasma-assisted CVD process (PE-CVD) or an atomic layer deposition (ALD) process.
  • PE-CVD plasma-assisted CVD process
  • ALD atomic layer deposition
  • atomic layer deposition enables very thin layers to be deposited.
  • the optical element is designed as a collector mirror.
  • collector mirrors are typically used as the first mirror behind the EUV radiation source, for example behind a plasma radiation source, in order to collect the radiation emitted in different directions by the radiation source and to reflect it in a bundled manner to the next mirror. Because of the high radiation intensity in the vicinity of the radiation source, there is a particularly high probability that molecular hydrogen present in the residual gas atmosphere can be converted into reactive (atomic or ionic) hydrogen with high kinetic energy, so that collector mirrors are particularly at risk due to the penetration of reactive hydrogen To show signs of separation on the layers of the protective layer system or on the upper layers of your multilayer system.
  • the EUV lithography system can be an EUV lithography system for exposing a wafer or another optical arrangement that uses EUV radiation, for example an EUV inspection system, e.g. for inspecting masks used in EUV lithography, Wafers or the like.
  • Another aspect of the invention relates to a method for forming nanoparticles that are embedded in a top layer of a protective layer system of an optical element which is designed as described above, the method comprising: forming the embedded nanoparticles by irradiating the top layer of the protective layer system with ions .
  • the nanoparticles are formed in the top layer by irradiation with ions.
  • the nanoparticles can be the implanted ions.
  • the nanoparticles contain a material or consist of a material that is contained in the topmost layer and that does not match the material of the ions used in the irradiation.
  • the ion dose required for the irradiation is typically of the order of magnitude between approx. 10 15 ions / cm 2 or approx. 10 16 ions / cm 2 and approx. 10 17 ions / cm 2 .
  • Typical ion energies during implantation are in the order of magnitude of approx. 100-200 keV.
  • 1a, b are schematic representations of an optical element in the form of an EUV mirror, which has a reflective multilayer system and a protective layer system with an uppermost layer in which nanoparticles are or will be embedded, and
  • FIG. 2 shows a schematic representation of an EUV lithography system.
  • Figs. 1a, b show schematically the structure of an optical element 1 which has a substrate 2 made of a material with a low coefficient of thermal expansion, for example Zerodur®, ULE® or Clearceram®.
  • the optical element 1 shown in FIGS. 1 a, b is designed to reflect EUV radiation 4 which strikes the optical element 1 at normal incidence, ie at angles of incidence a of typically less than approximately 45 ° to the surface normal.
  • a reflective multilayer system 3 is applied to the substrate 2.
  • the multilayer system 3 has alternately applied layers of a material with a higher real part of the refractive index at the working wavelength (also called spacer 3b) and a material with a lower real part of the refractive index at the working wavelength (also called absorber 3a), with an absorber-spacer pair Stack forms.
  • a crystal is simulated in a certain way, the lattice planes of which correspond to the absorber layers at which Bragg reflection takes place.
  • the multilayer system 3 has a number of generally more than fifty alternating layers 3a, 3b.
  • the thicknesses of the individual layers 3a, 3b as well as the repeating stacks can be constant or also vary over the entire multilayer system 3, depending on which spectral or angle-dependent reflection profile is to be achieved.
  • the reflection profile can also be influenced in a targeted manner by adding more and less absorbing materials to the basic structure of absorber 3a and spacer 3b in order to increase the possible maximum reflectivity at the respective working wavelength.
  • absorbers and / or spacer materials can be exchanged for one another in some stacks or the stacks can be built up from more than one absorber and / or spacer material.
  • the absorber and spacer materials can have constant or also varying thicknesses over all stacks in order to optimize the reflectivity.
  • additional layers can also be provided, for example as diffusion barriers between spacer and absorber layers 3a, 3b.
  • the stacks of the multilayer system 3 have alternating silicon layers 3a and molybdenum layers 3b.
  • the silicon layers 3b correspond to the layers with a higher real part of the refractive index at 13.5 nm and the molybdenum layers 3a correspond to the layers with a lower real part of the refractive index at 13.5 nm
  • Molybdenum and beryllium, ruthenium and beryllium or lanthanum and B4C are also possible.
  • a protective layer system 5 is applied to the multilayer system 3.
  • the protective layer system consists of a number n of layers 5a, 5n, where n typically assumes a value between 1 and 10.
  • the first layer 5a is furthest away from the multilayer coating 3 and forms an uppermost layer 5a of the protective layer system 5.
  • a surface 6 is formed which forms an exposed interface with the environment.
  • the further layers 5b it is not absolutely necessary for the protective layer system 5 to have the further layers 5b,..., 5n, rather the protective layer system 5 can only consist of a single (topmost) layer 5a.
  • the top layer 5a has a first thickness di which is between 1.0 nm and 5.0 nm.
  • the second layer 5b to the n-th layer 5n each have a thickness d2,..., D n which is between 0.1 nm and 5.0 nm.
  • the material 8 of the uppermost layer 5a is a (stoichiometric or non-stoichiometric) oxide or a (stoichiometric or non-stoichiometric) mixed oxide which contains at least one chemical element selected from the group comprising: Zr , Ti, Nb, Y, Hf, Ce, La, Ta, AI, W, Cr.
  • the material of at least one of the second layers 5b to n-th layers 5n can also be a (stoichiometric or non-stoichiometric) oxide or a (stoichiometric or non-stoichiometric) mixed oxide that contains at least one chemical element that is selected is from the group given above, comprising: Zr, Ti, Nb, Y, Hf, Ce, La, Ta, Al, W, Cr.
  • the material of at least one of the second to n-th layers 5b,..., 5n can be (at least) one metal.
  • the metal can be selected from the group comprising: Ru, Pd, Pt, Rh, Ir, Al, Ta, Cr, Mo, Zr, Y, Sc, Ti, V, Nb, La and mixtures thereof.
  • the material of at least one of the further layers 5b, ..., 5n can alternatively be selected from the group comprising: C, B4C, BN, Si. These materials have proven to be beneficial as diffusion barriers.
  • the choice of suitable materials for the second to n-th layers 5a, ..., 5n depends, among other things, on their arrangement in the protective layer system 5.
  • the protective effect of the protective layer system 5 depends not only on the materials that are selected for the layers 5a, ..., 5n, but also on whether these materials match well in terms of their properties, for example what their lattice constants, their thermal expansion coefficients, their surface free energies, etc.
  • the first layer 5a is formed from TiO x and has a thickness di of 1.5 nm
  • the second layer 5b is formed from Ru and has a thickness d2 of 2 nm
  • the third layer 5c is formed from AlO x and also has one Thickness ch of 2 nm.
  • nanoparticles 7 are embedded in the material 8 of the top layer 5 a of the protective layer system.
  • the nanoparticles 7 are metallic nanoparticles.
  • the metal from which the nanoparticles are formed can be, for example, Ru, Pd, Pt, Rh, Ir, Au, Ag, Al, Ta, Cr, Mo, Zr, Y, Sc, Ti, V, Nb, Act la or w.
  • the material 8 of the uppermost layer 5a, in which the nanoparticles 7 are embedded is a stoichiometric or non-stoichiometric oxide or a stoichiometric or non-stoichiometric mixed oxide.
  • the stability of the uppermost layer 5a with respect to damage factors such as EUV radiation 4, increased temperatures, plasma and oxidation or reduction processes is increased.
  • the formation of the embedded nanoparticles 7 is induced by ion implantation, i.e. for the embedding of the nanoparticles 7, the surface 6 of the top layer 5a of the protective layer system 5 is irradiated with ions 9 during the manufacture of the optical element 1, as shown in Fig. 1b.
  • the material of the embedded nanoparticles 7 can match the material of the ions 9 that is used for the ion irradiation of the optical element 1.
  • the material of the embedded nanoparticles 7 is usually foreign atoms, ie about chemical elements that do not match the material of the top layer 5a surrounding the nanoparticles 8.
  • the ions 9 which are used for the irradiation are, in the example shown, a metallic material, for example a noble metal, in particular gold (Au) or silver (Ag).
  • the material of the uppermost layer 5a, which surrounds the embedded nanoparticles 7, is titanium oxide T1O2 or a titanium mixed oxide (TiO x ) in the example shown.
  • the embedded nanoparticles 7 make it possible in this case not only to stabilize the top layer 5a against external damage factors, but also to increase the absorption of the top layer 5a for radiation at wavelengths that are outside the EUV wavelength range and in this way to increase the reflectivity RDUV of the optical Element 1 compared to an identically constructed optical element 1 for this wavelength range, e.g.
  • the reflectivity REUV of the optical element 1 for the EUV radiation 4 is not or only extremely slightly reduced by the embedding of the nanoparticles 7.
  • the nanoparticles 7 can contain at least one material that is contained in the surrounding material 8 of the uppermost layer 5a.
  • the nanoparticles 7 can additionally contain the material of the ions 9 that are used in the irradiation, but it is also possible that the irradiation with the ions 9 leads to the formation of nanoparticles 7 that are formed exclusively from the chemical elements that are contained in the material 8 of the uppermost layer 5a before or without the irradiation with the ions 9.
  • the irradiation with the ions 9 can lead to a structure formation in which nanoparticles 7 are formed in the material of the uppermost layer 5a by chemically reducing the oxide or the mixed oxide of the uppermost layer 5a.
  • ions 9 in the form of Y + , Ca + , Mg + or Zr + can be used for irradiation in order to reduce monocrystalline aluminum oxide (Al2O3) to Al.
  • Al monocrystalline aluminum oxide
  • the Al formed during the reduction can subsequently form clusters and react with other elements in order to form the embedded Al nanoparticles 7.
  • Mg + is implanted in Al2O3
  • nanoparticles 7 are formed in the form of MgA O ⁇ platelets.
  • the nanoparticles 7 contain both the material of the ions 9 used in the irradiation and the constituents or chemical elements of the material of the top layer 5a (ie Al2O3) before the irradiation.
  • the material of the uppermost layer 5a is quartz glass (S1O2)
  • ZrSh nanoparticles 7 can be formed in the uppermost layer 5a by irradiation with Zr + ions 9.
  • the ion dose required for the formation of nanoparticles 7 described above is typically of the order of magnitude between approx. 10 15 ions / cm 2 or approx. 10 16 ions / cm 2 and approx. 10 17 ions / cm 2 .
  • Typical energies of the ions 9 during implantation or during irradiation are in the order of magnitude of approx. 100-200 keV.
  • the nanoparticles 7 have mean particle sizes p between approx. 0.5 nm and approx. 2 nm.
  • the mean particle size p of the nanoparticles 7 can be set - within certain limits - by a suitable choice of the parameters during the irradiation with the ions 9.
  • the mean particle size p influences the absorption of the top layer 5a for radiation outside the EUV wavelength range and can be selected so that there is particularly strong absorption in a wavelength range of interest so that a reduction in the reflectivity RDUV of the optical element 1 is set for this wavelength range.
  • the top layer 5a can also be formed from other materials, in particular in the form of oxides or mixed oxides, in which nanoparticles 7 are embedded in the manner described above.
  • the optical elements 1 shown in FIGS. 1 a, b can be used in an EUV lithography system in the form of an EUV lithography system 101, as is shown schematically below in the form of a so-called wafer scanner in FIG.
  • the EUV lithography system 101 has an EUV light source 102 for generating EUV radiation which has a high energy density in the EUV wavelength range below 50 nanometers, in particular between approx. 5 nanometers and approx. 15 nanometers.
  • the EUV light source 102 can be designed, for example, in the form of a plasma light source for generating a laser-induced plasma.
  • the EUV lithography system 101 shown in FIG. 2 is designed for an operating wavelength of the EUV radiation of 13.5 nm, for which the optical elements 1 shown in FIGS. 1a, b are also designed. However, it is also possible for the EUV lithography system 101 to be configured for a different working wavelength of the EUV wavelength range, such as, for example, 6.8 nm.
  • the EUV lithography system 101 also has a collector mirror 103 in order to bundle the EUV radiation from the EUV light source 102 to form an illumination beam 104 and in this way to further increase the energy density.
  • the illumination beam 104 is used to illuminate a structured object M by means of an illumination system 110, which in the present example has five reflective optical elements 112 to 116 (mirrors).
  • the structured object M can be, for example, a reflective photomask that has reflective and non-reflective or at least less strongly reflective areas for generating at least one structure on the object M.
  • the structured object M can be a plurality of micromirrors which are arranged in a one-dimensional or multi-dimensional arrangement and which can optionally be moved about at least one axis in order to set the angle of incidence of the EUV radiation on the respective mirror.
  • the structured object M reflects part of the illuminating beam 104 and forms a projection beam 105, which carries the information about the structure of the structured object M and which is irradiated into a projection objective 120, which images the structured object M or a respective sub-area thereof a substrate W generated.
  • the substrate W for example a wafer, has a semiconductor material, for example silicon, and is arranged on a holder, which is also referred to as a wafer stage WS.
  • the projection objective 120 has six reflective optical elements 121 to 126 (mirrors) in order to generate an image of the structure present on the structured object M on the wafer W.
  • the number of mirrors in a projection objective 120 is typically between four and eight, but only two mirrors can optionally be used.
  • the reflective optical elements 103, 112 to 116 of the lighting system 110 and the reflective optical elements 121 to 126 of the projection objective 120 are arranged in a vacuum environment 127 during operation of the EUV lithography system 101.
  • a residual gas atmosphere is formed in which, among other things, oxygen, hydrogen and nitrogen are present.
  • the optical element 1 shown in Fig. 1a, b can be one of the optical elements 103, 112 to 115 of the lighting system 110 or one of the reflective optical elements 121 to 126 of the projection lens 120, which for normal incidence of the EUV Radiation 4 are designed.
  • the protective layer system 5 described in connection with FIGS. 1a, b can significantly increase the service life of the collector mirror 103, in particular it can be reused, for example, after cleaning.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Environmental & Geological Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

L'invention concerne un élément optique (1) comprenant : un substrat (2) ; un système multicouche (3) qui est appliqué sur le substrat (2) et réfléchit le rayonnement EUV (4) ; et un système de couche de protection (5) qui est appliqué au système multicouche (3) et comprend une couche supérieure (5a). Des nanoparticules (7) sont intégrées dans le matériau de la couche supérieure (5a) du système de couche de protection (5), lesquelles nanoparticules contiennent de préférence au moins un matériau métallique. L'invention concerne également une installation de lithographie EUV qui comprend au moins un élément optique (1) conçu comme décrit ci-dessus, et un procédé de formation de nanoparticules (7) dans la couche supérieure (5a) du système de couche de protection (5).
EP21722416.1A 2020-05-14 2021-04-27 Élément optique, système de lithographie euv et procédé de formation de nanoparticules Pending EP4150644A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102020206117.3A DE102020206117A1 (de) 2020-05-14 2020-05-14 Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln
PCT/EP2021/060921 WO2021228545A1 (fr) 2020-05-14 2021-04-27 Élément optique, système de lithographie euv et procédé de formation de nanoparticules

Publications (1)

Publication Number Publication Date
EP4150644A1 true EP4150644A1 (fr) 2023-03-22

Family

ID=75746611

Family Applications (1)

Application Number Title Priority Date Filing Date
EP21722416.1A Pending EP4150644A1 (fr) 2020-05-14 2021-04-27 Élément optique, système de lithographie euv et procédé de formation de nanoparticules

Country Status (4)

Country Link
US (1) US20230076667A1 (fr)
EP (1) EP4150644A1 (fr)
DE (1) DE102020206117A1 (fr)
WO (1) WO2021228545A1 (fr)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI267704B (en) 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US6664554B2 (en) 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
AU2002318192A1 (en) 2001-07-03 2003-01-21 The Regents Of The University Of California Passivating overcoat bilayer
EP1522895B1 (fr) 2003-10-06 2006-11-02 ASML Netherlands B.V. Procédé et appareil de formation d'une couche protectrice sur un miroir
JP4352977B2 (ja) 2004-04-20 2009-10-28 株式会社ニコン 多層膜反射鏡及びeuv露光装置
JP2006080478A (ja) 2004-08-09 2006-03-23 Nikon Corp 光学素子及びこれを用いた投影露光装置
DE102012202850A1 (de) 2012-02-24 2013-08-29 Asml Netherlands B.V. Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element, optisches Element und optisches System für die EUV-Lithographie
JP6416129B2 (ja) * 2013-02-15 2018-10-31 エーエスエムエル ネザーランズ ビー.ブイ. 放射源コレクタ及び製造方法
DE102013102670A1 (de) 2013-03-15 2014-10-02 Asml Netherlands B.V. Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zur Behandlung eines solchen optischen Elements
US9436078B2 (en) * 2015-01-30 2016-09-06 Globalfoundries Inc. Method for a low profile etchable EUV absorber layer with embedded particles in a photolithography mask
TWI763686B (zh) * 2016-07-27 2022-05-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料、製造極紫外線遮罩坯料的方法以及極紫外線遮罩坯料生產系統
DE102019212910A1 (de) 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem

Also Published As

Publication number Publication date
WO2021228545A1 (fr) 2021-11-18
US20230076667A1 (en) 2023-03-09
DE102020206117A1 (de) 2021-11-18

Similar Documents

Publication Publication Date Title
JP6382856B2 (ja) Euvリソグラフィー用の光学素子及び光学系、及びこの光学系を処理する方法
DE102005033141A1 (de) Passivierung eines Mehrschichtspiegels für extreme Ultraviolettlithografie
DE102009045170A1 (de) Reflektives optisches Element und Verfahren zum Betrieb einer EUV-Lithographievorrichtung
DE102012202850A1 (de) Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element, optisches Element und optisches System für die EUV-Lithographie
EP1356476A2 (fr) Filtre spectral a bande etroite et son utilisation
WO2019025162A1 (fr) Agencement optique pour rayonnement ultraviolet extrême comportant un écran pour la protection contre l'effet caustique d'un plasma
EP3491468B1 (fr) Élément optique réfléchissant destiné à la lithographie euv
DE102014114572A1 (de) EUV-Lithographiesystem und Betriebsverfahren dafür
DE102011083461A1 (de) Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
DE102021200490A1 (de) Verfahren zum Bilden einer Schutzschicht, optisches Element und optische Anordnung
DE102006042987B4 (de) Verfahren zum Betrieb einer EUV-Lithographievorrichtung, reflektives optisches Element für EUV-Lithographievorrichtung und Verfahren zu dessen Reinigung
DE102018211980A1 (de) Reflektives optisches Element
WO2021037515A1 (fr) Élément optique et système de lithograhie euv
DE102018204364A1 (de) Optische Anordnung für die EUV-Lithographie
WO2021228545A1 (fr) Élément optique, système de lithographie euv et procédé de formation de nanoparticules
DE102015207140A1 (de) Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
WO2017202579A1 (fr) Élément optique et système de lithographique extrême ultraviolet
DE102021206168A1 (de) Verfahren zum Abscheiden einer Deckschicht, reflektives optisches Element für den EUV-Wellenlängenbereich und EUV-Lithographiesystem
DE102011079450A1 (de) Optische Anordnung mit Degradationsunterdrückung
DE102013222330A1 (de) Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
WO2014135537A1 (fr) Miroir collecteur destiné à un dispositif de lithographie à uv extrême
DE102020210553A1 (de) Reflektierendes optisches Element, Beleuchtungsoptik, Projektionsbelichtungsanlage und Verfahren zum Bilden einer Schutzschicht
WO2022089885A1 (fr) Élément optique, en particulier pour la réflexion d'un rayonnement ultraviolet extrême, ensemble optique et procédé de fabrication d'un élément optique
WO2021037508A1 (fr) Élément optique pour réfléchir un rayonnement euv, système de lithographie euv et procédé d'obturation d'un interstice
DE102017206118A1 (de) Reflektives optisches Element und optisches System

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: UNKNOWN

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20221214

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)