EP2396809A1 - Ion source cleaning in semiconductor processing systems - Google Patents

Ion source cleaning in semiconductor processing systems

Info

Publication number
EP2396809A1
EP2396809A1 EP09840155A EP09840155A EP2396809A1 EP 2396809 A1 EP2396809 A1 EP 2396809A1 EP 09840155 A EP09840155 A EP 09840155A EP 09840155 A EP09840155 A EP 09840155A EP 2396809 A1 EP2396809 A1 EP 2396809A1
Authority
EP
European Patent Office
Prior art keywords
cleaning
cathode
gas
filament
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP09840155A
Other languages
German (de)
English (en)
French (fr)
Inventor
Joseph D. Sweeney
Sharad N. Yedave
Oleg Byl
Robert Kaim
David Eldridge
Lin Feng
Steven E. Bishop
W. Karl Olander
Ying Tang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2009/033754 external-priority patent/WO2009102762A2/en
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of EP2396809A1 publication Critical patent/EP2396809A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J27/00Ion beam tubes
    • H01J27/02Ion sources; Ion guns
    • H01J27/08Ion sources; Ion guns using arc discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0209Avoiding or diminishing effects of eddy currents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0815Methods of ionisation
    • H01J2237/082Electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/22Treatment of data

Definitions

  • Feedstock gases include, but are not limited to, BF 3 , B 10 Hi 4 , B 18 H 22 , PH 3 , ASH 3 , PF 5 , ASF 5 , H 2 Se, N 2 , Ar, GeF 4 , SiF 4 , O 2 , H 2 , and GeH 4 .
  • the residue also forms on the high voltage components of the ion implanter, such as the source insulator or the surfaces of the extraction electrodes, causing energetic high voltage sparking.
  • Such sparks are another contributor to beam instability, and the energy released by these sparks can damage sensitive electronic components, leading to increased equipment failures and poor MTBF.
  • Another common problem can occur with antimony (Sb+) implant using Sb 2 O 3 as the solid doping material, which can be exacerbated by running boron (B) even after only a few hours of Sb+ implantation.
  • the boron beam current can significantly deteriorate with performance and lifetime of ion source significantly compromised. The cause of this degradation in performance is due to the excessive Sb deposited on the source chamber and its components.
  • dopant atoms such as B, Ge, Si, P, and As may deposit in the ion source turbo pump, downstream in its associated vacuum forelines, and roughing pump which is located downstream of the forelines. Over time, these deposits build up and require cleaning, which, historically, has been done manually. But some of the deposits (e.g. solid phosphorus) are pyrophoric and can ignite during the manual maintenance operations. Not only is this a fire hazard, but toxic compounds also can be liberated. There is thus a need in the art to develop an improved method which can desirably clean the deposits in-situ with a gaseous cleaning agent. [0009] In another cause of ion source failure, various materials (e.g.
  • tungsten, W can accumulate on the cathode during extended ion implantation processes. Once these reach a critical level, the cathode power can no longer maintain temperature sufficient to meet the beam current setpoint. This causes loss of ion beam current requiring replacement of the ion source. The resultant performance degradation and short lifespan of the ion source reduces productivity of the ion implanter system.
  • Yet another cause of ion source failure is the erosion (or sputtering) of cathode material. For example, metallic materials (e.g. W, Mo etc.) from the cathode are sputtered by ions in the plasma of the arc chamber.
  • Plasmaless or dry cleaning processes using chlorine trifluoride (ClF 3 ) and other fluorine source materials ⁇ e.g., CF 4 , NF 3 , C 2 F 6 , C 3 Fg, SF 6 and ClF 3 ) can be used for removing solid residues from semiconductor processing chambers, e.g., by reacting with solid residues to form volatile reaction products that are removable from the processing chamber by vacuum or other removal conditions, and in such instances these cleaning reagents may require elevated temperature cleaning conditions. See Y. Saito et al., "Plasmaless Cleaning Process of Silicon Surface Using Chlorine Trifluoride", APPLIED PHYSICS LETTERS, vol. 56(8), pp.
  • U.S. Patent No. 4,498,953 describes an in-situ cleaning method in which an interhalogen, such as BrF 5 , BrF 3 , ClF 3 , or IF 5 is continuously flowed through the processing chamber while maintaining a predetermined pressure within the chamber. At the end of the treatment, the flow of the interhalogen gas is terminated.
  • an interhalogen such as BrF 5 , BrF 3 , ClF 3 , or IF 5
  • fluorine radicals or fluorine-containing interhalogens for cleaning of semiconductor processing equipment has associated deficiencies that have constrained its commercial viability. Fluorine radicals and fluorine-containing interhalogens, including ClF 3 , are highly corrosive in character. Further, interhalogen compounds are severe irritants to the human respiratory tract. For example, the threshold human tolerance levels Of ClF 3 vapor can be as low as 100 ppb, with an LC50 on the order of 1 hour at 300 ppm.
  • Initial power includes the value of the cathode bias power at a time preceding the measurement at a predetermined time, e.g. it can be the power at the time of start up, or the power under normal operating conditions, or any other preset point in time or value.
  • the cathode bias power measurement and the initial power value could be in the form of a range or ranges depending upon the implant process or other circumstances.
  • the etching of (c)(i) includes operating the indirectly heated cathode under conditions of low to moderate temperature sufficient for etching. Low to moderate temperatures in this regard are exemplified as being from about room temperature up to about 2000° C.
  • the regrowing of (c)(ii) includes operating the indirectly heated cathode under conditions of high temperature sufficient for metal deposition to occur.
  • High temperatures in this regard are exemplified as being greater than 2000° C.
  • Corrective step (c) may be performed before, after, or between ion implantation processes. In addition, for regrowing, the corrective step may be performed during the implantation process if the implanted species is selected from one of the fluorinate gases delineated immediately above.
  • a suitable control device such as a microcontroller, a controller, a microprocessor, etc., and the associated electrical, electronic and/or electromechanical components which are appropriately programmed and/or configured to perform automatic repair or cleaning of the components of the ion source such as the filament, repeller electrode, cathode and anticathode.
  • the invention provides a method of operating an ion implantation system including a filament or cathode (or other parts of the ion source that may be etched or have deposits such as without limitation the anticathode, repeller and the like) in an arc chamber of an ion source, to maintain operating efficiency of the ion source, said method comprising contacting the filament or cathode or other parts of the ion source as aforesaid with a tungsten reagent under conditions selected from the group consisting of:
  • the other ion source parts e.g., the cathode, repeller (which corresponds to the cathode and filament respectively) or the like may be provided with a suitable heating element for adjusting the surface temperatures of the components to selectively etch materials therefrom or deposit material thereon.
  • the indirectly heated cathode (IHC) ion source may include two cathodes (instead of a cathode and an anticathode). During implantation, one cathode may be operated as an anticathode, and during the repair or corrective process, the temperatures of both cathodes can be controlled to deposit or etch materials as needed.
  • the invention in a further aspect relates to a method of cleaning one or more components of an ion implantation system for at least partial removal of ionization-related deposits from said one or more components, said method comprising flowing a cleaning gas through the system, under conditions selected from the group consisting of:
  • Etching material from the filament occurs if the temperature of the filament is low to moderate (e.g. less than about 1500 0 C - 2000 0 C), regardless of the temperature of arc chamber wall, although it is preferable that the temperature of arc chamber wall is either less than or greater than the temperature of the filament.
  • the invention relates to a method of cleaning an ion implantation system or one or more components thereof, to remove iomzation-related deposits therefrom, comprising contacting the ion implantation system or one or more components thereof with BrF 3 under conditions in which said BrF 3 is chemically reactive with the deposits to effect at least partial removal thereof.
  • the invention in another aspect, relates to a method of cleaning a foreline of an ion implant system to remove an ionization-related deposit therefrom comprising contacting the foreline of an ion implantation system with a cleaning gas under conditions in which said cleaning gas is chemically reactive with the deposit to at least partially removal same.
  • a cleaning gas under conditions in which said cleaning gas is chemically reactive with the deposit to at least partially removal same.
  • Figure 2 is a graph showing effect OfXeF 2 on the suppressor leakage current, as detailed in Example 1.
  • Figures 3A and 3B are photographs showing evidence of the cleaning effects in situ cleaning, as detailed in Example 1.
  • Figure 8 is a graph showing the cathode W weight change as a function of bias power.
  • the present invention relates to apparatus and methods for monitoring, control and cleaning of semiconductor processing systems and/or components thereof, and to compositions for such cleaning. [0043] In one aspect the invention relates to removal of deposits from semiconductor processing systems or components of semiconductor processing systems, in which the system or system component is contacted with a cleaning composition including a gas-phase reactive material.
  • the invention contemplates the cleaning of semiconductor processing systems and components thereof, as well as other substrates and apparatus that are susceptible to formation of deposits thereon during their normal processing operation. Without limitation, such practice includes cleaning of the vacuum forelines and roughing pumps.
  • cleaning gases maybe flowed through selected one(s) of a plurality of ports to bypass certain areas and/or target specific areas of the implanter. For example, XeF 2 or other cleaning gas may be delivered through a port close to the area where cleaning is desired. Cleaning performance may be enhanced as well insofar as most of the cleaning gas will be directed to the target area and not depleted by reactions with residues along the flow path as may occur if e.g.
  • the cleaning gas is introduced solely through the ion source chamber.
  • the selected port(s) maybe pre-existing or formed/created for such purpose.
  • This technique may be employed for cleaning, without limitation, the ion source region, magnetic/analyzer region, vacuum system(s), process chamber, etc. of the implanter. Cleaning can be realized by flowing the cleaning gas continuously through and/or across the desired area(s) or region(s) of the implanter for a predetermined amount of time. Alternatively, or in combination therewith, the cleaning gas may be confined in the system for a predetermined amount of time to allow the cleaning gas to diffuse and react with the unwanted residues and/or deposits.
  • the present invention in various aspects provides an ion implantation system with the capability to grow/etch the filament in the ion source of the arc chamber, by appropriate control of temperature in the arc chamber to effect the desired filament growth or alternative filament etching.
  • the vacuum chamber of an ion implantation system may be constructed utilizing stainless steel or aluminum.
  • System components within the vacuum chamber may be constructed utilizing graphite (e.g., standard or vitreous), insulator materials (e.g., boron nitride) and/or sealant materials such as Teflon®, Kel-FTM, PEEKTM, DelrinTM, VespelTM, VitonTM, Buna-N, silicon, etc.
  • Other materials that can be present in the ion implantation system, and susceptible to deposit-producing chemical reactions therein, include, without limitation, ceramics, epoxy compositions containing lead oxide, aluminum nitride, aluminum oxide, silicon dioxide, and boron nitride.
  • the ion source itself can be constructed of tungsten, graphite, molybdenum or tantalum, sometimes with minor amounts of copper and silver.
  • the ion source arc chamber is usually constructed of tungsten or molybdenum, or with a graphite body lined with tungsten or molybdenum.
  • the present invention therefore contemplates cleaning of an ion implantation system or component(s) thereof, for at least partial removal of ionization-related deposits that are the same as the material of the arc chamber.
  • Cleaning in accordance with the invention can be carried out in ion implantation systems in which multiple feedstock gases are concurrently introduced to the system. Feedstock gases can also be used concurrently with one or more gas-phase reactive materials, or can be pulsed alternately with one or more gas-phase reactive materials into the system.
  • the ionization-related deposits to which the cleaning methods of the invention are directed include a variety of materials that may interfere with normal operation of the ion implantation system, such as by forming and accumulating in the ion source or other ionization process equipment.
  • the deposited material can variously comprise, consist, or consist essentially of, silicon, boron, phosphorous, germanium, arsenic, tungsten, molybdenum, selenium, antimony, indium, carbon, aluminum and/or tantalum.
  • Ionization-related deposits in the ion source arc chamber and on extraction electrodes can flake and form small particles. These particles, once formed, can be transported in an ion beam, e.g., a beam of dopant ions being implanted into a wafer. If such transported particles reach the wafer, the resulting particle contamination on the wafer can severely reduce the yield of useful devices that can be manufactured on the wafer.
  • the cleaning processes of the present invention remove such ionization-related deposits before they are able to form flakes and particles, and thereby achieve reduction of particles on product wafers and increased yield of semiconductor devices.
  • the gas-phase reactive material, or cleaning gas, used for cleaning in accordance with the invention can include any material that is effective to at least partially remove the ionization-related deposits in the ion implantation system.
  • the invention also contemplates the use of gas-phase reactive materials to remove ionization-related deposits from undesired locations, and/or to deposit material in desired locations, by appropriate control of the reaction.
  • tungsten constitutes the material being removed as an undesired deposit, and in other embodiments, tungsten is desirably deposited on surfaces benefiting from its presence.
  • a gas that is reactive to form a tungsten fluoride intermediate product such as XeF 2 , GeF 4 , SiF 41 BF 3 , AsFs, AsF 3 , PFs, and/or PF 3 , can be used in the controlling and cleaning methods of the invention.
  • tungsten fluoride gases such as WF 6 , WFs 1 and/or WF 4 may be utilized directly in the controlling and cleaning methods of the invention.
  • gas-phase reactive materials of the invention include, but are not limited to, XeF 2 , GeF 4 , SiF 4 , BF 3 , AsF 5 , AsF 3 , PF 5 , PF 3 , F 2 , TaF 3 , TaF 5 , WF 6 , WF 5 , and/or WF 4 .
  • the gas-phase reactive material can be co-administered with a "cleaning enhancement agent" or "co-reactant” that will increase the volatility of the gas-phase reactive material, resulting in removal of more deposits than with the gas-phase reactive material without the cleaning enhancement agent or co-reactant.
  • removal of iridium deposits by XeF 2 can be enhanced by co-administration of Lewis bases and electron back-bonding species.
  • carbon monoxide, trifluorophosphine, and trialkylphosphines can be employed.
  • a cleaning agent useful for removal of metal contaminants such as tungsten that form volatile fluorides, such as XeF 2 can be combined with an oxygen-containing additive that is effective for removing contaminant carbon by converting it to CO, CO 2 , and/or COF 2 .
  • Oxygen-containing additive components useful for such purpose include, without limitation, NO, N 2 O, NO 2 , CO 2 and/or O 2 .
  • the invention therefore contemplates cleaning compositions including both a cleaning agent effective for removing a metal contaminant by reaction forming a volatile (gaseous) fluoride compound of such metal, and a cleaning agent effective for removing carbon contaminant by forming a volatile oxide or oxyfluoride therefrom. These cleaning reagents can be flowed into the arc chamber concurrently or sequentially.
  • these reagents are concurrently flowed into the arc chamber under ionization conditions so that both cleaning agents are ionized to convert metal and carbon contaminants into volatile compounds that are easily removed from the chamber by mechanical pumping thereof.
  • the conditions enabling reaction of the gas-phase reactive material and the deposits may include any suitable conditions of temperature, pressure, flow rate, composition, etc. under which the gas-phase reactive material contacts and chemically interacts with the contaminant so as to remove such material from the substrate, e.g., the surface of the implanter equipment contaminated with deposited material.
  • suitable conditions include, without limitation, ambient temperatures, temperatures in excess of ambient temperature, presence of plasma, absence of plasma, sub -atmospheric pressure, atmospheric pressure, and superatmospheric pressure.
  • Specific temperatures for gas-phase reactive material contacting to remove deposits in various embodiments can range from about O 0 C to about 2000 0 C.
  • Contacting can involve delivery of the gas-phase reactive material in a carrier gas, or in a neat form, or in admixture with a further cleaning agent, dopant, etc.
  • the gas-phase reactive material can be heated for chemical reaction with deposits that are at ambient temperature, in order to increase the kinetics of the reaction.
  • Reaction between the gas-phase reactive material and contaminant deposits can be monitored and/or regulated, based on varying characteristics of the reaction between the cleaning agent and the contaminant.
  • reaction characteristics can include pressure, time, temperature, concentration, presence of a particular species, rate of pressure change, rate of concentration change (of a particular species), change of current, etc. Accordingly, the introduction of the gas-phase reactive material to the system can be terminated based on attainment of a predetermined characteristic of the reaction, such as a predetermined pressure in the vacuum chamber, passage of a predetermined amount of time, or a predetermined temperature, concentration of a specific element in the system, presence of a particular by-product, reaction product or other species in the system, or realization of a predetermined current condition in the monitoring operation.
  • Tungsten deposits can result from reaction of feed gases with the arc chamber of an implanter system. The method used in cleaning such deposits may be dependent on the temperature gradient of the system and/or the current flowing to and through the filament, and/or any other characteristics that are usefully determined and able to be monitored.
  • fluorine from the feed material may react with the arc chamber at a first temperature to form WF ⁇ by reactions (1) or (2):
  • the tungsten fluoride once formed or otherwise present in the system can then migrate to another location in the system. Depending on the temperature of that other location, the tungsten fluoride will etch or deposit tungsten at that location. At the filament, the temperature will primarily depend on the actual current flux passing through it. Temperatures at other locations in the arc chamber may vary depending on the specific location and design of the arc chamber, the filament current, as well as other non-filament electrical currents.
  • the tungsten fluoride can etch that location, removing tungsten and retaining fluorine in the reaction product, so that the etched location shrinks as etching continues.
  • Such etching reactions can include the following reactions (7), (8) and/or (9):
  • the temperature of the components bearing the deposits can be selected to maximize the rate and extent of removal.
  • boron and/or molybdenum deposits in the arc chamber are removed in a corresponding manner.
  • the contacting of the cleaning agent with the process equipment in the process of the invention can be carried out with monitoring of the pressure change during the contacting, with the contacting being terminated when the pressure change goes to zero.
  • the contacting may be conducted with monitoring of partial pressure of the gas-phase reactive material, or reactants deriving therefrom, or reaction products produced in the contacting, with the contacting being terminated when the partial pressure reaches a predetermined value, i.e., an end point.
  • end point monitoring can for example be carried out with a suitable end point monitor, e.g., an end point monitor of a type as more fully described in U.S. Patent No. 6,534,007 and U.S. Patent Application Nos.: 10/273,036; 10/784,606; 10/784,750; and 10/758,825, or a thermopile infrared (TPIR) or other infrared detector.
  • the contacting may be conducted by controlled flow of the gas-phase reactive material using components of the process equipment system that allow regulation of the partial pressure of gas-phase reactive material and therefore control of the reaction rate.
  • a continuous flow of the gas-reactive material is employed to carry out the cleaning operation.
  • ionization-related deposits of tungsten can be deposited at very high temperatures and etched at low to moderate temperatures.
  • ionization-related deposits mean deposits formed due to operation of the plasma, but not necessarily due to ions. Therefore, deposition of tungsten may also occur in the absence of plasma (e.g., there are no ions) as long as there is still a sufficiently hot surface.
  • the location of the deposition or etching is the filament of the implanter system, the temperature and current flux are directly related to one another.
  • the filament When the filament is being etched, the filament becomes thinner and the resistance to electrical current will increase as the cross-section of the filament decreases, so that current flow through the filament is reduced. If the conditions at the filament promote deposition thereon, the resistance to electrical current will decrease with continued deposition, as the cross-section of the filament increases and the filament becomes thicker, with correspondingly increased current flow therethrough.
  • the invention relates to a method of monitoring etching or cleaning of the filament, by monitoring current flow through the filament.
  • the resistance to the electrical current will increase and the current will decrease in order to maintain the filament at the temperature required to support the plasma in the arc chamber.
  • a monitored decrease in such current can therefore be used to indicate a need for deposition of additional material to an etched filament, or for termination of a cleaning or ionization process.
  • the flow circuitry in the above-described equipment arrangement is adapted to transport the cleaning composition from the cleaning composition source to the arc chamber under cleaning conditions. Such adaptation may be based on various properties of the cleaning composition. For example, high conductance may be used to avoid unnecessary pressure drops in the flow path when the cleaning composition has a low vapor pressure. Methods of maximizing flow conductance and minimizing flow constriction are well known in the art.
  • the cleaning may optionally be utilized with additional methods and apparatus for extending the lifetime of the ion implantation system, in particular the ion source.
  • Such lifetime-extending approaches may include modification of an ion implantation system to accommodate specific substrate, deposited materials and/or gas-phase reactive materials.
  • the invention provides a method of forming a doped silicon substrate, comprising implanting Xe + ions in a silicon substrate, and thereafter implanting dopant ions in the silicon substrate.
  • implanting of the Xe + ions serves to amorpm ' ze the crystal structure of the substrate.
  • the gas-phase reactive material may be reactive with both the residue and the vacuum chamber and/or components.
  • the term "selectively,” as applied to the reactivity of the gas-phase reactive halide with a residue, is used to describe a preferential reaction between the gas-phase reactive halide and a residue. While remaining essentially non-reactive with the materials from which the vacuum chamber and/or components of the ion implanter are constructed, the gas-phase reactive halide may react with some with the materials from which the vacuum chamber and/or components of the ion implanter are constructed if the vacuum chamber and/or components comprise elements that are the same as or similar to those of the residue itself. For example, while being selectively reactive and removing tungsten deposits from a component, the gas-phase reactive material might also react with tungsten in the component itself. The residue and the components do not have to be exactly the same material for such co-reaction to occur, but will comprise some materials in common.
  • the ion implanter components are cleaned ex situ, in a separate dedicated chamber to which the components are removed from an ion implanter.
  • cleaning is primarily dependent on three factors: the reactive nature of the cleaning precursor, the volatility of the cleaning reaction by-products, and the reaction conditions employed in the chemical cleaning.
  • the cleaning composition must remove unwanted residue while minimizing wear of the materials of construction of the implanter.
  • the byproducts generated by the cleaning reaction must be volatile enough to facilitate their removal by the vacuum system of the ion implanter or other pumping apparatus.
  • the gas-phase reactive material may for example include a fluorinated xenon compound vapor, such as XeF 2 vapor.
  • XeF 2 is a preferred reactive halide gas, and will sublime at room temperature, but maybe heated using a heater to increase the rate of sublimation.
  • XeF 2 is known to be an effective silicon etchant and has been used as a silicon selective etchant in Micro Electro Mechanical System (MEMS) device processing. Specifically, XeF 2 reacts with silicon according to the following reaction.
  • MEMS Micro Electro Mechanical System
  • OfXeF 2 as an etchant for arsenic, phosphorus and germanium is contemplated by the invention, and may involve the following reactions:
  • the invention relates to a method of improving the performance and extending the lifetime of an ion implant system that employs solid doping material which comprises using XeF 2 or N 2 F 4 as a carrier gas for said solid doping material.
  • the solid doping material includes, but is not limited to, elemental Arsenic, Phosphorus, Selenium, Antimony, SbF 3 , InCl, SeO 2 , Sb 2 O 3 and InCl 3 .
  • the use OfXeF 2 or N2F4 as a carrier gas for Sb 2 O 3 , InCl 3 or other solid doping material removes Sb, In and other dopants deposited on the source chamber and components thereof.
  • the instant method has utility even if a switch over to boron is made after Sb implanting.
  • the invention in another aspect, relates to a method of improving the performance and extending the lifetime of an ion implant system that employs gaseous doping material which comprises using XeF 2 or N 2 F 4 as a co-flow gas with said gaseous doping material.
  • the gaseous doping material includes, but is not limited to, GeH 4 and BF 3 .
  • the use OfXeF 2 or N 2 F 4 as a co-flow gas with GeH 4 or other gaseous doping material removes Ge or other dopants deposited on the source chamber and components thereof.
  • the chemical reaction used to determine the quantity OfXeF 2 required in the cleaning process is: 5 XeF 2 (g) + 2 P (s) -» 5 Xe (g) + 2 PF 5 (g).
  • the enthalpies of formation are taken from Lange's Handbook of Chemistry (14 th ed) and are listed here for the determination of the heat liberated during the reaction: XeF 2 (-164); Xe (0); P (0); and PF 5 (-1594.4).
  • the flow rate OfXeF 2 determines the length of time required for the cleaning process as well as the heat liberated.
  • the maximum sustained flow rate is approximately 50 seem, assuming adequate delivery tubing conductance. This flow rate can be increased to 100 seem or greater if the cylinder is maintained at room temperature by using a heating jacket.
  • the amount OfXeF 2 needed to clean the phosphorus deposit is shown in Table 1, and the amount of heat given off during the cleaning reaction is shown in Table 2.
  • the data shown in Table 3 is based upon the assumption that the byproduct quantities are determined for each element assuming 100% compositional make-up of that element. Furthermore, the maximum concentrations of these species are dependent upon the dilution flow rates in the exhaust systems. For example, just downstream of the roughing pump, the maximum steady state concentration of PF 3 is 3330 ppm if the pump has a nitrogen purge of 10 slpm. This value can increase if the flow rate OfXeF 2 is higher than 50 seem.
  • Each embodiment preferably further comprises a Xe recovery system as commercially available from Air Products and Chemicals, Inc. (PA, USA), and is described in http://www.fabtech.org/product _J>riefings/_a/new_product _air _products_offers_pn_ site_xenon_recovery., incorporated herein.
  • PA Air Products and Chemicals, Inc.
  • Another embodiment of the method of cleaning a foreline of an ion implant system comprises providing the cleaning gas downstream of a turbo pump and flowing said cleaning gas continuously to the foreline of the ion implant system.
  • the continuous flowing of said cleaning gas can be directly into the source housing, the region between the source housing and the source turbo pump, or downstream of the source turbo pump. This practice preferably cleans the deposits on the foreline even when the implant processes are running, thereby reducing the disruption of the operation of ion implanting.
  • the cleaning gas is preferably stored in a gas cylinder; the method preferably further comprises heating the gas cylinder wherein the cleaning gas is stored at room temperature or above.
  • the above-described embodiment preferably further comprises providing a scrubber at the roughing pump outlet to remove the volatile byproducts produced from the cleaning process.
  • the invention relates to a method of improving the performance and extending the lifetime of an ion implant system having a cathode comprising contacting the cathode with a gas mixture comprised of at least one cleaning gas and at least one deposition gas wherein said gas mixture balances the deposition of material on the cathode and the stripping off of the same or other material from the cathode.
  • the cleaning gas of the gas mixture removes dopant material deposited on the cathode and the material of the cathode, whereas the deposition gas of the gas mixture directly or indirectly causes deposition of dopant material onto the cathode.
  • the deposition gas causes deposition on the cathode via the halogen cycle (fluorine from the gas etches W or Mo from the cooler wall and then decomposes the W or Mo onto the very hot cathode), or by actually depositing a dopant molecule/atom on the cathode (e.g. B from BF 3 ), and a similar mechanism applies to the filament of a Bernas ion source.
  • the cleaning gas tends to chemically etch the dopant deposits that are formed, or the cleaning gas may react with the deposition gas prior to dopant deposition in the first place to prevent or minimize deposition.
  • a deposition gas of GeH 4 could cause Ge deposits to form on the cathode, insulators, or other components. If the cleaning gas is XeF 2 , it can react with the GeH 4 to from at least some amount OfGeF 2 and/or GeF 4 , which is far more volatile than Ge, and can thus be removed from the source region via pumping. Further, either or both the deposition gas and the cleaning gas may also be the dopant gas. Storage and dispensing of the gas mixture into ion source implanter may be accomplished by using an adsorption-desorption apparatus (referred to as SDS - safe delivery source) described in US Patent No.
  • SDS - safe delivery source an adsorption-desorption apparatus
  • a fluid storage and dispensing system comprising a vessel for holding a fluid at a desired pressure (referred to as VAC Vacuum Actuated Cylinder) described in US Patent No. 6,101,816, and the contents of which are incorporated herein by reference; or a hybrid fluid storage and dispensing system of SDS and VAC (referred to as VAC-Sorb) described in US Patent No. 6,089,027, and the contents of which are incorporated herein by reference.
  • VAC-Sorb hybrid fluid storage and dispensing system of SDS and VAC
  • These fluid storage and dispensing systems provide sub-atmospheric pressure delivery of the gases, and thereby are safer and more efficient than the high pressure fluid storage and dispensing systems.
  • some of the gases of the gas mixture which are not compatible to co- exist under high pressure fluid storage and dispensing system, can be stored and dispensed together under the SDS, VAC or VAC-Sorb systems.
  • gases of the gas mixture flow simultaneously to contact the cathode or other sensitive component susceptible to deposition.
  • gases of the gas mixture flow sequentially to contact the cathode or other sensitive component susceptible to deposition.
  • the gas mixture comprising a combination of at least one hydrogen-containing gas and at least one fluorine-containing gas wherein the hydrogen-containing gas functions as the cleaning gas, and the fluorine-containing gas functions as the deposition gas.
  • the gas mixture comprises a combination of at least one non-dopant gas, i.e., gas does not contain As, P, Ge, B, Si, or C, and at least one dopant gas wherein the non-dopant gas functions as the cleaning gas, and the dopant gas functions as the deposition gas.
  • cleaning gas are, but not limited to, Xe/H 2 , A1/H 2 , Ne/H 2 , Xe/NH 3 , Ar/NH 3) Ne/NH 3 , Ar/Xe, and Ar/Xe/H 2 .
  • deposition gas examples include F 2 , N 2 F 4 , ClF 3 , WF 6 , MoF 6 , GeF 4 , and NF 3 .
  • This example shows the improvement in ion source lifetime and implanter utilization that is achievable by use of a chemical cleaning agent to remove deposits.
  • the deposits are removed at regular intervals to prevent buildup of contaminant flakes and conductive films in the implanter.
  • BF 2 + implantation is restricted on some high current systems with marginal mass resolution capability at specific mass-energy ranges.
  • XeF 2 cleaning was assessed to determine its affect on the implanter memory effect, using a high current implanter operated for approximately 200 hours in simulated production with a P + ion beam from PH 3 dopant gas. The system was switched to BF 3 gas and immediate implantation of a bare silicon monitor wafer was carried out using a high dose (5 x 10 15 ions/cm 2 ) OfBF 2 + .
  • the resolving aperture of the system's analyzing magnet was opened more than usual to ensure that the contamination effect would be sufficiently large for convenient measurement using secondary ion mass spectrometry (SIMS) analysis.
  • SIMS secondary ion mass spectrometry
  • This example demonstrates the control of filament growth, in an ion source of an illustrative ion implanter system.
  • Figure 5 A is a graph showing the effects OfXeF 2 flow and arc power variation, with respect to increased filament current and weight.
  • the graph shows filament weight in grams, as a function of elapsed time, in hours, of operation of the implanter system.
  • the upper line in the graph represented operation at an XeF 2 flow of 2.2 standard cubic centimeters per minute (seem) and arc power of 100 volts/0.05 amps, for which a filament weight gain of 319 milligrams/hour was determined after 3 hours of operation.
  • the lower line in the graph reflected an XeF 2 flow of 0.5 seem and arc power of 40 volts/0.05 amps, which produced a filament weight gain of 63 milligrams/hour for the 3 hour duration of operation.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Combustion & Propulsion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
EP09840155A 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems Withdrawn EP2396809A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/US2009/033754 WO2009102762A2 (en) 2008-02-11 2009-02-11 Ion source cleaning in semiconductor processing systems
PCT/US2009/053520 WO2010093380A1 (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Publications (1)

Publication Number Publication Date
EP2396809A1 true EP2396809A1 (en) 2011-12-21

Family

ID=42562402

Family Applications (1)

Application Number Title Priority Date Filing Date
EP09840155A Withdrawn EP2396809A1 (en) 2009-02-11 2009-08-12 Ion source cleaning in semiconductor processing systems

Country Status (6)

Country Link
EP (1) EP2396809A1 (zh)
KR (1) KR101658975B1 (zh)
CN (2) CN104217981B (zh)
SG (1) SG173621A1 (zh)
TW (2) TWI567775B (zh)
WO (1) WO2010093380A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5934222B2 (ja) * 2010-09-15 2016-06-15 プラクスエア・テクノロジー・インコーポレイテッド イオン源の寿命を延長するための方法
US9093372B2 (en) * 2012-03-30 2015-07-28 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate
US9396902B2 (en) * 2012-05-22 2016-07-19 Varian Semiconductor Equipment Associates, Inc. Gallium ION source and materials therefore
JP6201496B2 (ja) * 2013-08-02 2017-09-27 セントラル硝子株式会社 If7由来フッ化ヨウ素化合物の回収方法及び回収装置
US10170286B2 (en) * 2016-09-30 2019-01-01 Axcelis Technologies, Inc. In-situ cleaning using hydrogen peroxide as co-gas to primary dopant or purge gas for minimizing carbon deposits in an ion source
JP6529000B2 (ja) * 2017-09-27 2019-06-12 日新イオン機器株式会社 イオン源、イオン源の運転方法
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
KR20200144151A (ko) * 2018-05-17 2020-12-28 엔테그리스, 아이엔씨. 이온 주입 시스템용 사플루오르화게르마늄과 수소 혼합물
US10784079B2 (en) 2018-09-26 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Ion implantation system and source bushing thereof
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
KR102563298B1 (ko) 2021-01-18 2023-08-03 주식회사 유진테크 박막의 불순물 제거방법 및 기판 처리 장치
US11664183B2 (en) * 2021-05-05 2023-05-30 Applied Materials, Inc. Extended cathode and repeller life by active management of halogen cycle
EP4348235A1 (en) 2021-06-01 2024-04-10 INFICON, Inc. Method of detecting radicals using mass spectrometry

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5370568A (en) * 1993-03-12 1994-12-06 Harris Corporation Curing of a tungsten filament in an ion implanter
US5497006A (en) * 1994-11-15 1996-03-05 Eaton Corporation Ion generating source for use in an ion implanter
US5943594A (en) * 1997-04-30 1999-08-24 International Business Machines Corporation Method for extended ion implanter source lifetime with control mechanism
JP3836991B2 (ja) * 1999-02-02 2006-10-25 三菱化学株式会社 製膜方法および磁気記録媒体の製造方法
JP4374487B2 (ja) * 2003-06-06 2009-12-02 株式会社Sen イオン源装置およびそのクリーニング最適化方法
US7820981B2 (en) * 2003-12-12 2010-10-26 Semequip, Inc. Method and apparatus for extending equipment uptime in ion implantation
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
JP2010503977A (ja) * 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US7853364B2 (en) * 2006-11-30 2010-12-14 Veeco Instruments, Inc. Adaptive controller for ion source
TW200839829A (en) * 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2010093380A1 *

Also Published As

Publication number Publication date
SG173621A1 (en) 2011-09-29
WO2010093380A1 (en) 2010-08-19
CN104217981B (zh) 2018-01-09
TW201438052A (zh) 2014-10-01
CN104217981A (zh) 2014-12-17
TWI463516B (zh) 2014-12-01
KR101658975B1 (ko) 2016-09-23
CN102396048A (zh) 2012-03-28
CN102396048B (zh) 2014-08-27
TWI567775B (zh) 2017-01-21
KR20110128848A (ko) 2011-11-30
TW201030792A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
US9991095B2 (en) Ion source cleaning in semiconductor processing systems
WO2010093380A1 (en) Ion source cleaning in semiconductor processing systems
US8603252B2 (en) Cleaning of semiconductor processing systems
US7819981B2 (en) Methods for cleaning ion implanter components
US5943594A (en) Method for extended ion implanter source lifetime with control mechanism
WO2022093404A1 (en) Etching aluminum nitride or aluminum oxide to generate an aluminum ion beam

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20110908

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO SE SI SK SM TR

RIN1 Information on inventor provided before grant (corrected)

Inventor name: BYL, OLEG

Inventor name: ELDRIDGE, DAVID

Inventor name: BISHOP, STEVEN, E.

Inventor name: FENG, LIN

Inventor name: SWEENEY, JOSEPH, D.

Inventor name: YEDAVE, SHARAD, N.

Inventor name: KAIM, ROBERT

Inventor name: OLANDER, W., KARL

Inventor name: TANG, YING

DAX Request for extension of the european patent (deleted)
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20140301