EP1519895A1 - Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device - Google Patents

Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device

Info

Publication number
EP1519895A1
EP1519895A1 EP03720246A EP03720246A EP1519895A1 EP 1519895 A1 EP1519895 A1 EP 1519895A1 EP 03720246 A EP03720246 A EP 03720246A EP 03720246 A EP03720246 A EP 03720246A EP 1519895 A1 EP1519895 A1 EP 1519895A1
Authority
EP
European Patent Office
Prior art keywords
gas
plasma
plasma reactor
chlorine trifluoride
density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03720246A
Other languages
German (de)
French (fr)
Inventor
Franz Laermer
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Publication of EP1519895A1 publication Critical patent/EP1519895A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/24Inter-halogen compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0894Processes carried out in the presence of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Definitions

  • the invention relates to a device and a method for producing chlorine trifluoride and a system for etching semiconductor substrates, in particular silicon wafers, with this device, according to the preamble of the independent claims
  • the object of the present invention was to provide a device and a method for producing chlorine trifluoride, and a system for etching semiconductor substrates with this device, in which chlorine trifluoride is used as the etching gas, the method and the device allowing the production of chlorine trifluoride directly on site , so that a stocking of this material, which is critical from a safety point of view, in particular in liquid form, can be avoided. It should also be possible to generate the chlorine trifluoride from comparatively harmless or uncritical raw materials efficiently and cost-effectively as precisely as possible in the amount required for etching.
  • the device according to the invention has the advantage over the prior art that chlorine trifluoride can now be used in ClF 3 -based etching processes, in particular in the etching of silicon substrates, without this having to be stored as such. Rather, the C1F 3 is generated in a highly efficient plasma reaction in a high-density plasma, and can thus be fed immediately after generation to a process chamber assigned to the plasma reactor, in which it acts on the semiconductor substrate located there.
  • the chlorine trifluoride in the process according to the invention and with the aid of the device according to the invention can be produced from non-critical, high-purity and inexpensive gases which serve as precursor gases in the production of C1F 3 .
  • the device according to the invention for producing chlorine trifluoride can be easily implemented and reliably controlled using the existing technology.
  • this device can be used as an additional module for any suitable etching chamber or existing valcuum system upstream for etching semiconductor substrates or integrated as an additional component in a conventional device for supplying an etching chamber with process gases.
  • FIG. 1 shows a schematic diagram of a system for etching a semiconductor substrate with a device for producing chlorine trifluoride with inductive plasma excitation
  • FIG. 2 shows a schematic diagram of an alternative system for etching a semiconductor substrate with a device for producing chlorine trifluoride, the plasma being excited via microwaves.
  • the device 6 forms an etching system 5 with the process chamber 10, to which it is connected via a gas outlet 20, and with add-on parts that are still explained below.
  • the core of the device 6 is a plasma reactor 100 in the form of a reaction chamber.
  • supplied gases so-called “precursor gases”
  • precursor gases are broken up as far as possible by means of inductive high-frequency excitation or alternatively according to FIG. 2 by means of microwave excitation to form radicals which, with a corresponding ratio of fluorine to chlorine, ie preferably a gas flow of 3: 1 of the fluorine radicals concerned or equivalent
  • reactants or chlorine radicals or corresponding reactive species releasing gases to C1F 3 and are subsequently fed to the process chamber 10.
  • the gases F 2 and Cl 2 are used , which are fed to the plasma reactor 100 via respective gas bottles 21, 25 for F 2 and Cl 2 and the gas bottles 21, 25, each of which has a downstream mass flow controller 22, 26.
  • fluorine is not an optimal recycle gas because it is not available in high purity, has corrosive and highly toxic properties, and requires a high dissociation energy for the decomposition or radical formation.
  • a second, preferred variant is therefore based on precursor gases which, under plasma excitation, release fluorine radicals particularly efficiently and easily and at the same time in large quantities.
  • the gases SF ⁇ or NF 3 are preferred as an alternative to F 2 .
  • SF 6 releases two fluorine radicals on average under plasma excitation and thereby changes into the stable SF.
  • Recombination reactions of generated fluorine radicals with SF 4 and thus back reactions to a higher SF X in the direction of the starting material SF 6 i.e. the re-capture of already generated fluorine radicals by SF 4 , are unlikely since SF 4 represents a stable end product and therefore only a comparatively low affinity for fluorine radicals having. This characteristic distinguishes SF 6 from other fluorine suppliers such as fluorocarbons (water).
  • C1F 3 is now built up in the plasma reactor 100 by means of the reactions: SF 6 ⁇ SF 4 + 2 F * CI 2 + F * ⁇ C1F + C1 * C1F + 2 F * ⁇ C1F 3 C1 * + F 2 , F * ⁇ C1F 3
  • SF 6 and Cl 2 are fed to the plasma reactor 100 in a ratio of the gas flows SF 6 : C1 2 of 3: 1 in the sense of a stoichiometric conversion to C1F 3 .
  • the precursor gas SF 6 is therefore not optimal, especially when etching silicon wafers with C1F 3 , since with a view to a high conversion efficiency to C1F 3 , very high excitation densities, ie high plasma power in a comparatively small volume, are aimed for, and especially below These conditions lead to increased sulfur formation.
  • NF 3 is therefore used instead of SF 6 with adaptation of the gas flows.
  • a mixture of SF 6 with NF 3 can also be used, less preferably.
  • the gas NF 3 has the advantage that it cannot form any residues such as sulfur during the reaction, for example with Cl 2 to C1F 3 .
  • nitrogen trifluoride is often used as a cleaning gas in semiconductor processes, ie it is inexpensive, stable, available in high purity, not corrosive and is only considered to be less toxic. NF 3 behaves completely different at low plasma densities than at high plasma densities.
  • NF X fragments of the form NF X , which can also be of a radical nature (NF X ), dominate the plasma chemistry of the NF 3 .
  • Their characteristics are low selectivity towards dielectrics, a comparatively low efficiency of silicon removal due to relatively small amounts of available free fluorine radicals due to a pronounced tendency towards recombination reactions to the starting product or to intermediates with a higher fluorine content, and an extremely aggressive behavior towards organic materials.
  • the sum of these properties makes NF 3 an excellent cleaning gas for plasma deposition systems and as a scavenger gas in etching applications where the excitation densities are usually comparatively low.
  • the reaction in plasma at low plasma densities thus follows:
  • the optimal ratio of gas flows from NF 3 to Cl 2 is 2: 1.
  • NF 3 is thus a particularly advantageous gas which, together with Cl 2 as a further gas, leads to the highly efficient ClF 3 generation. It supplies large amounts of fluorine radicals under these conditions and does not lead to the formation of undesirable impurities or residues.
  • Cl 2 gaseous hydrogen chloride (HCl) is also suitable. This gas has the advantage over Cl 2 that it is less dangerous, that is, it is immediately noticeable due to its acidic smell, and is less toxic.
  • HF is also added to the chlorine trifluoride produced as the end product. Since anhydrous (dry) HF generally does not interfere with the etching reaction of the C1F 3 with silicon and does not attack oxides or corrode metal surfaces without the presence of moisture, this associated gas can generally be tolerated. If HF should be undesirable as a gas component, it can also be removed selectively from the gas generated in the plasma reactor using a suitable filter, for example by adsorption on alkali metal fluorides or metal fluorides (NaF + HF -> NaHF 2 ).
  • the gas NF 3 is first taken from a first gas reservoir 21, for example a gas bottle, and the gas Cl 2 or HCl from a second gas reservoir 25, for example a gas bottle, via an assigned first mass flow controller 22 or an assigned second mass flow controller 26 and the Plasma reactor 100 is supplied, in which high-density plasma excitation of these two supplied precursor gases and conversion of the plasma fragments to C1F 3 and N 2 and, in the case of HCl, also HF, which is generated via the gas outlet 20, which can also be referred to as a connecting tube or serves, the actual process chamber 10 for etching the semiconductor substrate 30 is supplied.
  • the gas outlet 20 is preferably designed in the form of a metallic tube and separates the high-density plasma 105 or the plasma reactor 100 from the process chamber 10. At least some of the charged particles in the high-density plasma 105 are thus on the way from the plasma reactor 105 to the process chamber 10 discharged in the gas outlet 20 and thus the process chamber 10 is electrically decoupled from the plasma reactor 100. Further can in the As an alternative or in addition, gas outlet 20 can also be used, which serve for the complete or further electrical decoupling of the process chamber 10 from the plasma reactor 100.
  • FIG. 1 shows an inductively coupled, high-frequency excited plasma reactor 100, a coil 110 being wound around a tube made of quartz glass or preferably an aluminum oxide ceramic, which is preferably polished on the inside to avoid particle generation, with which a high-frequency power or a high-frequency alternating electromagnetic field is wound is coupled into the interior of the reactor 100.
  • This drives a high-density inductive plasma 105 over a large working range of pressure and gas flows.
  • the high frequency generated by a high-frequency generator 130 is coupled to the coil 110 via a customary matching network 120, a so-called “matchbox", which adjusts the impedance of the Guaranteed output of the high frequency generator to the inductive plasma 105.
  • Preferred high-frequency outputs are 200 watts to 3 kW, depending on the gas flow, a frequency of 13.56 MHz being used, for example.
  • the gas flows of the precursor gases NF 3 and Cl 2 or HCL into the plasma reactor 100 are preferably 100 sccm to 1 slmNF 3 and corresponding to the optimal stoichiometric mixing ratio of 2: 1 compared to Ck or. 4: 3 compared to HCl at 50 sccm to 500 sccm Cl 2 or 75 sccm to 750 sccm HCl.
  • the working pressures in the plasma reactor 100 correspond to the pressures preferably used in the process chamber 10, ie they are between 1 mbar and 100 mbar, preferably 10 mbar to 30 mbar. At this pressure, high-density inductive plasmas can still be operated stably in tubes with cross sections from a few cm to about 10 cm and a correspondingly high excitation density.
  • the ignition of the high-density plasma 105 can be facilitated by an auxiliary electrode 190 integrated in the process chamber 100, in particular in the form of a metal tip, to which high-voltage pulses, for example from an inductor or an ignition coil, are applied.
  • FIG. 2 shows a second possibility for generating the high-density plasma 105.
  • a tubular plasma reactor 100 made of quartz glass or preferably an aluminum oxide ceramic is again used, with good surface quality, ie polished inner walls of the plasma reactor 100 being particularly important in the case of the design made of aluminum oxide tube is.
  • a particularly high-quality aluminum oxide ceramic with an Al 2 O 3 content of more than 99.5%, preferably more than 99.9% is preferably used in order to avoid unwanted microwave absorption and to increase the surfatron effect.
  • the tubular plasma reactor 100 crosses a microwave waveguide 150 in a crossed arrangement, which is fed with microwave power via a circulator 160 for mode mode adaptation and separation of forward and reverse power from a magnetron 170.
  • the waveguide 150 has an adjustable waveguide termination 180, a so-called “terminator”, and tuning elements 155, so-called “studs”, for adapting to the high-density plasma 105 generated in the plasma reactor 100 and for optimally setting the surfatron effect.
  • the expansion of the microwave field forms the high-density plasma 105 at least almost over the entire length of the tubular plasma reactor 100.
  • the waveguide 150 is drilled through at a suitable point, so that the plasma reactor 100 can be inserted through it.
  • suitable microwave shields not shown, from the environment are to be provided in order to enable safe operation.
  • the plasma reactor 100 is located within the waveguide 150, that is, between the tuning elements 155 and the waveguide termination 180.
  • the plasma reactor 100 is located in a favorable field area and thus efficient plasma excitation is ensured.
  • Microwave powers of 200 W to 6 kW are preferably used at a frequency of preferably 2.45 GHz, since inexpensive magnetrons 170 of high power are available at this frequency.
  • the ignition of the high-density plasma 105 can be facilitated by an auxiliary electrode 190 integrated in the process chamber 100, as explained above.
  • the process chamber 10 has a substrate electrode 40 on which a silicon wafer as a semiconductor substrate 30 can be clamped.
  • This clamping is preferably carried out via a clamping device 41, for example an electrostatic chuck, by means of which the overlying side of the semiconductor substrate 30 is also protected from the gases in the process chamber 10.
  • the overlying side of the semiconductor substrate 30 is also preferably subjected to He in order to achieve a good thermal To achieve connection to the substrate electrode 41 and additional insulation against the gases of the process chamber 10.
  • the substrate electrode 40 also has electrical inlets or outlets 42 for the clamping device 41 and an electrical heater which may be provided and which can be provided in the substrate electrode 41 for temperature control or temperature adjustment.
  • Measurement data for example the electrode temperature or the helium back pressure, can preferably also be transmitted to the outside via the electrical feeds or discharges 42.
  • the substrate electrode 40 has a gas supply or gas discharge 43, via which He can preferably be supplied or removed as a convection medium for cooling the semiconductor substrate 30 at an adjustable pressure.
  • a further supply or discharge 44 allows a refrigerant to be circulated through the substrate electrode 40 in order to adjust its temperature and, in particular, to remove any heat of reaction arising on the semiconductor substrate 30 from an exothermic etching reaction.
  • the substrate temperature is preferably -30 ° C to 30 ° C for optimal etching conditions. and mask selectivity. Fluorinerts ® or ethylene glycol / water mixtures can be used as refrigerants.
  • the process chamber 10 also has two pumping stations with a first pump 60, in particular a turbomolecular pump, and a second pump 80, in particular a rotary vane pump, which can be coupled in the sense that the rotary vane pump 80 also temporarily serves as a backing pump for the turbomolecular pump 60 can.
  • the rotary vane pump 80 which is preferably designed as a dry-running pump, is used to "Rou 'ghing" of the entire plant 5 for a venting and as a process pump to the process gases while performing an etching process to pump.
  • a control valve is used to pressure control 70.
  • the 'pressure measurement takes place via a pressure gauge 90, which may be embodied as Baratron or as a combined Baratron and IONIVAC.
  • Pressure meter 90 and control valve 70 are used to set the desired process pressure of preferably 5 to 100 mbar, in particular 10 to 30 mbar, stably during the processing of the semiconductor substrate 30.
  • the turbo pump 60 in conjunction with a shut-off valve 50 serves to achieve the best possible ultimate vacuum before and after the processing, for example better than 10 "4 Pa. This is important because, as mentioned, on the one hand the residual moisture in the process chamber 10 or on the Semiconductor substrate 30 interferes with the etching process, but on the other hand residues of the process gases should also be removed before unloading the semiconductor substrate 30.
  • the turbopump 60 is separated from the process chamber 10 via the shut-off valve 50, since it cannot be used at process pressures in the mbar range is.
  • the silicon wafer is first introduced into the etching system 6 and clamped on the substrate electrode 40.
  • the turbopump 60 now pumps the chamber 10 and the associated facial expressions of the gas supply with the plasma reactor 100 until the desired base vacuum is reached.
  • valve 50 is closed and turbopump 60 is switched off.
  • the desired quantities of the process gases are now supplied by means of the mass flow controllers 22, 26, for example 100 sccm to 1 slm NF 3 and 50 sccm to 500 sccmCl 2 or 75 sccm to 750 sccm HCl.
  • the plasma reaction in the plasma reactor 100 is started by switching on the high-frequency generator 130 to the inductive plasma source or coil 110 or by switching on the magnetron 170 to the waveguide arrangement 150.
  • the pressure in the process chamber 10 and thus also in the upstream plasma reactor 100 is measured by means of the pressure meter 90 and stabilized to the desired value by means of the combination of rotary vane pump 80 and control valve 70.
  • the silicon wafer is then etched by the supply of the C1F 3 generated in the plasma reactor 100 from the precursor gases.
  • the high-frequency or microwave supply to the plasma reactor 100 is then switched off, the process gas supply is stopped and the process chamber 10 and the facial expressions of the gas supply with the plasma reactor 100 are pumped empty.
  • the turbopump 60 takes over the pumping to the lowest possible final pressure, for example better than 10 " Pa.
  • the control valve 70 in front of the rotary vane pump 80 is closed, ie the rotary vane pump 80 can be used as a backing pump for the turbopump 60 during this time
  • the silicon wafer is unloaded into a connected lock device.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Disclosed is a device (6) and a method for the production of chlorotrifluoride, wherein a high-density plasma (105) is produced inside a plasma reactor (10) with the aid of plasma production means (110, 120, 130, 150, 155, 160, 170, 180) and a first gas and a second gas are fed to the plasma reactor (100) using gas supply means (21, 22, 25, 26), said gases reacting with each other under the effect of the high-density plasma (105) inside the plasma reactor in order to form chlorotrifluoride. A gas outlet (20) is also provided in order to evacuate the chlorotrifluoride from the plasma reactor (100). The invention also relates to a system (5) for etching semiconductor substrates (30), especially silicon wafers, using an upstream device (6) of the above variety. The system (5) comprises a process chamber (10) which is connected to the plasma reactor (100) via the gas outlet (20). The semiconductor substrate (30) is arranged in the process chamber (10) and is exposed to the gaseous chlorotrifluoride produced by the device (5).

Description

Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser VorrichtungDevice and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device
Die Erfindung betrifft eine Vorrichtung und ein Verfahren zur Erzeugung von Chlortrifluorid und eine Anlage zur Ätzung von Halbleitersubstraten, insbesondere von Siliziumwafern, mit dieser Vorrichtung, nach der Gattung der unabhängigen AnsprücheThe invention relates to a device and a method for producing chlorine trifluoride and a system for etching semiconductor substrates, in particular silicon wafers, with this device, according to the preamble of the independent claims
Stand der TechnikState of the art
Aus DE 199 19 469 AI oder JP-20010684422 A ist bekannt, dass Silizium durch gasförmiges Chlortrifluorid (C1F3) spontan geätzt wird, indem nach Adsorption dieser Verbindung auf einer Siliziumoberfläche eine Freisetzung von Fluorradikalen stattfindet, welche mit den Siliziumatomen der zugänglichen Siliziumoberfläche zu spontan flüchtigen Siliziumfluoridverbindungen reagieren. Dabei wächst die Ätzrate mit steigendem Partialdruck des C1F3, und es sind Abtragsraten von einigen 10 μm/min und mehr bei entsprechend hohen Drücken und ausreichender Stoffmengenzufuhr möglich. Nachteilig bei diesem Gas ist jedoch, dass es vor allem in verflüssigter Form ein sehr gefährlicher Stoff ist.From DE 199 19 469 AI or JP-20010684422 A it is known that silicon is spontaneously etched by gaseous chlorine trifluoride (C1F 3 ) in that after adsorption of this compound on a silicon surface a release of fluorine radicals takes place, which occurs too spontaneously with the silicon atoms of the accessible silicon surface volatile silicon fluoride compounds react. The etching rate increases with increasing partial pressure of the C1F 3 , and removal rates of a few 10 μm / min and more are possible with correspondingly high pressures and a sufficient amount of substance. A disadvantage of this gas, however, is that it is a very dangerous substance, especially in liquefied form.
Aus Hofmann-Rüdorff, Lehrbuch der Anorganischen Chemie, 21. Auflage, 1973, Seiten 259 ff., ist die Synthese von C1F3 aus Cl2 und F2 bekannt. Bei einer Temperatur von 280°C entsteht dabei aus einer Mischung von Cl2 und F2 bei Uberschuss von F2 Chlortrifluorid. n einem ersten Schritt wird Chlormonofluorid gebildet, dem sich in einem zweiten Schritt weiteres Fluor bis hin zum Chlortrifluorid anlagert. Nachteilig bei dieser Reaktion ist die hohe Reaktionstemperatur und die geringe Reaktionsrate. Daher können auf diese Weise nur vergleichsweise geringe Mengen an C1F3 synthetisiert werden kann, und ein erheblicher Anteil der zugeführten Ausgangsgase Cl2 und F2 bleibt ungenutzt bzw. wurde lediglich zu Zwischenprodukten wie FC1 umgesetzt.The synthesis of C1F 3 from Cl 2 and F 2 is known from Hofmann-Rüdorff, Textbook of Inorganic Chemistry, 21st edition, 1973, pages 259 ff. At a temperature of 280 ° C chlorine trifluoride is formed from a mixture of Cl 2 and F 2 with an excess of F 2 . In a first step, chlorine monofluoride is formed, which in a second step further fluorine is added up to chlorine trifluoride. The disadvantage of this reaction is the high reaction temperature and the low reaction rate. Therefore, only comparatively small amounts of C1F 3 can be synthesized in this way, and a considerable proportion of the starting gases Cl 2 and F 2 supplied remain unused or have only been converted into intermediates such as FC1.
Aufgabe der vorliegenden Erfindung war die Bereitstellung einer Vorrichtung und eines Verfahrens zur Erzeugung von Chlortrifluorid, sowie einer Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung, bei der Chlortrifluorid als Ätzgas eingesetzt wird, wobei das Verfahren und die Vorrichtung eine Erzeugung von Chlortrifluorid unmittelbar vor Ort erlaubt , so dass eine Bevorratung dieses unter Sicherheitsaspekten kritischen Stoffes, insbesondere in flüssiger Form, vermieden werden kann. Weiter sollte es damit möglich sein, das Chlortrifluorid aus vergleichsweise harmlosen oder unkritischen Ausgangsstoffen effizient und kostengünstig möglichst genau in der zur Ätzung benötigten Menge zu erzeugen.The object of the present invention was to provide a device and a method for producing chlorine trifluoride, and a system for etching semiconductor substrates with this device, in which chlorine trifluoride is used as the etching gas, the method and the device allowing the production of chlorine trifluoride directly on site , so that a stocking of this material, which is critical from a safety point of view, in particular in liquid form, can be avoided. It should also be possible to generate the chlorine trifluoride from comparatively harmless or uncritical raw materials efficiently and cost-effectively as precisely as possible in the amount required for etching.
Vorteile der ErfindungAdvantages of the invention
Die erfindungsgemäße Vorrichtung hat gegenüber dem Stand der Technik den Vorteil, dass nunmehr Chlortrifluorid bei ClF3-basierten Ätzprozessen, insbesondere bei der Ätzung von Siliziumsubstraten, einsetzbar ist, ohne dass dieses als solches bevorratet werden muss. Vielmehr wird das C1F3 in einer hocheffizienten Plasmareaktion in einem hochdichten Plasma erzeugt, und kann somit unmittelbar nach der Erzeugung einer dem Plasmareaktor zugeordneten Prozesskammer zugeführt werden kann, in der es auf das dort befindliche Halbleitersubstrat einwirkt. Weiter kann das C1F3 nun aufgrund der effizienten Reaktion auch mit großen Gasflüssen von einigen 100 sccm ( sccm = 1 cm3 Gasfluss Minute bei Normaldruck) bis hin zu slm (slm = 1 dm3 Gasfluss/Minute bei Normaldruck) bei der Ätzung eingesetzt bzw. aus der erfindungsgemäßen Vorrichtung zur Erzeugung von C1F3 entnommen werden.The device according to the invention has the advantage over the prior art that chlorine trifluoride can now be used in ClF 3 -based etching processes, in particular in the etching of silicon substrates, without this having to be stored as such. Rather, the C1F 3 is generated in a highly efficient plasma reaction in a high-density plasma, and can thus be fed immediately after generation to a process chamber assigned to the plasma reactor, in which it acts on the semiconductor substrate located there. Furthermore, due to the efficient reaction, the C1F 3 can now be used for etching even with large gas flows from a few 100 sccm (sccm = 1 cm 3 gas flow minute at normal pressure) to slm (slm = 1 dm 3 gas flow / minute at normal pressure) can be removed from the device according to the invention for producing C1F 3 .
Vorteilhaft ist weiter, dass das Chlortrifluorid bei dem erfindungsgemäßen Verfahren und mit Hilfe der erfindungsgemäßen Vorrichtung aus unkritischen, in hoher Reinheit verfügbaren und kostengünstigen, als Precursorgasen bei der Herstellung von C1F3 dienenden Gasen herstellbar ist. Überdies ist die erfindungsgemäße Vorrichtung zur Erzeugung von Chlortrifluorid mit der vorhandenen Technologie einfach realisierbar und zuverlässig beherrschbar. Insofern kann diese Vorrichtung als Zusatzmodul jeder geeigneten Ätzkammer bzw. bestehenden Valcuumanlage zur Ätzung von Halbleitersubstraten vorgeschaltet werden oder als Zusatzbauteil in eine übliche Einrichtung zur Versorgung einer Ätzkammer mit Prozessgasen integriert werden.It is furthermore advantageous that the chlorine trifluoride in the process according to the invention and with the aid of the device according to the invention can be produced from non-critical, high-purity and inexpensive gases which serve as precursor gases in the production of C1F 3 . In addition, the device according to the invention for producing chlorine trifluoride can be easily implemented and reliably controlled using the existing technology. In this respect, this device can be used as an additional module for any suitable etching chamber or existing valcuum system upstream for etching semiconductor substrates or integrated as an additional component in a conventional device for supplying an etching chamber with process gases.
Vorteilhafte Weiterbildungen der Erfindung ergeben sich aus den in den Unteransprüchen genannten Maßnahmen.Advantageous developments of the invention result from the measures mentioned in the subclaims.
So ist besonders vorteilhaft, wenn die Erzeugung des hochdichten Plasmas in einer Reaktions- karnrner induktiv mit Hilfe einer Hochfrequenzanregung oder über eine Mikrowellenanregung mit einem Hohlleiter und einem Magnetron erfolgt.It is particularly advantageous if the generation of the high-density plasma in a reaction chamber takes place inductively with the aid of high-frequency excitation or via microwave excitation with a waveguide and a magnetron.
Zeichnungendrawings
Die Erfindung wird anhand der Zeichnungen und in der nachfolgenden Beschreibung näher erläutert. Er zeigt Figur 1 eine Prinzipskizze einer Anlage zur Ätzung eines Halbleitersubstrates mit einer Vorrichtung zur Erzeugung von Chlortrifluorid mit induktiver Plasmaanregung, und Figur 2 eine Prinzipskizze einer alternativen Anlage zur Ätzung eines Halbleitersubstrates mit einer Vorrichtung zur Erzeugung von Chlortrifluorid, wobei die Plasmaanregung über Mikrowellen erfolgt.The invention is explained in more detail with reference to the drawings and in the description below. 1 shows a schematic diagram of a system for etching a semiconductor substrate with a device for producing chlorine trifluoride with inductive plasma excitation, and FIG. 2 shows a schematic diagram of an alternative system for etching a semiconductor substrate with a device for producing chlorine trifluoride, the plasma being excited via microwaves.
Ausführungsbeispieleembodiments
Ein erstes Ausführungsbeispiel für eine Vorrichtung 6 zur Erzeugung von Chlortrifluorid (C1F3) mit einer nachgeordneten Prozesskammer 10, in der ein Halbleitersubstrat 30, vorzugsweise ein Siliziumwafer, der gegebenenfalls mit einer Maskierung und/oder einer Strukturierung versehen ist, geätzt wird, ist schematisch in Figur 1 dargestellt. Die Vorrichtung 6 bildet dabei mit der Prozesskammer 10, mit der sie über einen Gasauslass 20 verbunden ist, und im Weiteren noch erläuterten Anbauteilen eine Ätzanlage 5.A first exemplary embodiment of a device 6 for producing chlorine trifluoride (C1F 3 ) with a downstream process chamber 10, in which a semiconductor substrate 30, preferably a silicon wafer, which is optionally provided with a masking and / or a structuring, is etched schematically in FIG Figure 1 shown. The device 6 forms an etching system 5 with the process chamber 10, to which it is connected via a gas outlet 20, and with add-on parts that are still explained below.
Kern der Vorrichtung 6 ist ein Plasmareaktor 100 in Form einer Reaktionskammer. In dieser werden zugeführte Gase, sogenannte "Precursor-Gase", mittels induktiver Hochfrequenzanregung oder alternativ gemäß Figur 2 mittels Mikrowellenanregung möglichst weitgehend zu Radikalen aufgebrochen, die sich bei entsprechendem Verhältnis von Fluoranteil zu Chloranteil, d.h. bevorzugt einem Gasfluss von 3:1 der betreffenden Fluorradikale oder entsprechende reaktive Spezies bzw. Chlorradikale oder entsprechende reaktive Spezies freisetzenden Gase, zu C1F3 verbinden und nachfolgend der Prozesskammer 10 zugeführt werden.The core of the device 6 is a plasma reactor 100 in the form of a reaction chamber. In this, supplied gases, so-called "precursor gases", are broken up as far as possible by means of inductive high-frequency excitation or alternatively according to FIG. 2 by means of microwave excitation to form radicals which, with a corresponding ratio of fluorine to chlorine, ie preferably a gas flow of 3: 1 of the fluorine radicals concerned or equivalent Combine reactive species or chlorine radicals or corresponding reactive species releasing gases to C1F 3 and are subsequently fed to the process chamber 10.
In einer ersten Variante geht man dazu von den Gasen F2 und Cl2 aus, die dem Plasmareaktor 100 über entsprechende Gasflaschen 21, 25 für F2 und Cl2 und den Gasflaschen 21, 25 jeweils nachgeordnete Massenflussregler 22, 26 zugeführt werden.In a first variant, the gases F 2 and Cl 2 are used , which are fed to the plasma reactor 100 via respective gas bottles 21, 25 for F 2 and Cl 2 and the gas bottles 21, 25, each of which has a downstream mass flow controller 22, 26.
Die Reaktion verläuft dabei gemäß: Cl2 -> 2 Cl und F2 -→- 2 F*, F2 * The reaction proceeds according to: Cl 2 -> 2 Cl and F 2 - → - 2 F * , F 2 *
Insgesamt erhält man damit: Cl + F , F2, F2 — > C1F3 Overall, you get: Cl + F, F 2 , F 2 -> C1F 3
Durch die hohe Plasmaanregungsdichte, die in einem kleinen Plasmavolumen mittels induktiver Hochfrequenzanregung bzw. Mikrowellenanregung erzielbar ist, d.h. der Erzeugung eines hochdichten Plasmas 105 mit einer Dichte an Radikalen oder realctiven Spezies von mindestens angeregten 10u Teilchen pro cm3, insbesondere mindestens 1012 angeregten Teilchen pro cm3, gelingt dabei eine nahezu vollständige Umsetzung der Precursorgase Cl2 und F2 zu C1F3, wenn das Verhältnis der Gasflüsse von Cl2 zu F2 auf einen Wert von 1:3 eingestellt ist.Due to the high plasma excitation density, which can be achieved in a small plasma volume by means of inductive high-frequency excitation or microwave excitation, that is, the generation of a high-density plasma 105 with a density of radicals or reactive species of at least 10 u particles excited per cm 3 , in particular at least 10 12 excited particles per cm 3 , an almost complete conversion of the precursor gases Cl 2 and F 2 to C1F 3 succeeds if the ratio of the gas flows from Cl 2 to F 2 is set to a value of 1: 3.
Fluor ist jedoch kein opiimalesJ recursorgas, da es ist nicht in hoher Reinheit verfügbar ist, korrosive und hoch-toxische Eigenschaften aufweist, und eine hohe Dissoziationsenergie für die Aufspaltung bzw. Radikalenbildung benötigt.However, fluorine is not an optimal recycle gas because it is not available in high purity, has corrosive and highly toxic properties, and requires a high dissociation energy for the decomposition or radical formation.
In einer zweiten, bevorzugten Variante geht man daher von Precursorgasen aus, die unter Plasmaanregung besonders effizient und leicht und gleichzeitig in großen Mengen Fluorradikale freisetzen. Bevorzugt sind die Gase SFβ oder NF3 als Alternative zu F2.A second, preferred variant is therefore based on precursor gases which, under plasma excitation, release fluorine radicals particularly efficiently and easily and at the same time in large quantities. The gases SFβ or NF 3 are preferred as an alternative to F 2 .
SF6 gibt unter Plasmaanregung im Mittel zwei Fluorradikale frei und geht dabei in das stabile SF über. Rekombinationsreaktionen von erzeugten Fluorradikalen mit SF4 und damit Rückreaktionen zu einem höheren SFX in Richtung Ausgangsstoff SF6, also der Wiedereinfang bereits erzeugter Fluorradikale durch SF4, sind unwahrscheinlich, da SF4 ein stabiles Endprodukt darstellt und daher nur eine vergleichsweise geringe Affinität zu Fluorradikalen aufweist. Diese Eigenschaft zeichnet SF6 gegenüber anderen Fluorlieferanten wie z.B. Fluorkoh- len(wasser)stoffen aus.SF 6 releases two fluorine radicals on average under plasma excitation and thereby changes into the stable SF. Recombination reactions of generated fluorine radicals with SF 4 and thus back reactions to a higher SF X in the direction of the starting material SF 6 , i.e. the re-capture of already generated fluorine radicals by SF 4 , are unlikely since SF 4 represents a stable end product and therefore only a comparatively low affinity for fluorine radicals having. This characteristic distinguishes SF 6 from other fluorine suppliers such as fluorocarbons (water).
In dem Plasmareaktor 100 wird nun C1F3 aufgebaut vermöge der Reaktionen: SF6 → SF4 + 2 F* CI2 + F* → C1F + C1* C1F + 2 F* → C1F3 C1*+ F2, F* → C1F3 C1F 3 is now built up in the plasma reactor 100 by means of the reactions: SF 6 → SF 4 + 2 F * CI 2 + F * → C1F + C1 * C1F + 2 F * → C1F 3 C1 * + F 2 , F * → C1F 3
Insgesamt erhält man damit: 3 SF6 + Cl2 ~ 3 SF4 + 2 C1F3 In total you get: 3 SF 6 + Cl 2 ~ 3 SF 4 + 2 C1F 3
Dazu wird SF6 und Cl2 dem Plasmareaktor 100 in einem Verhältnis der Gasflüsse SF6:C12 von 3: 1 im Sinne einer stöchiometrischen Umsetzung zu C1F3 zugeführt.For this purpose, SF 6 and Cl 2 are fed to the plasma reactor 100 in a ratio of the gas flows SF 6 : C1 2 of 3: 1 in the sense of a stoichiometric conversion to C1F 3 .
Eine gewisse Schwierigkeit bei dieser Reaktion ist die Tatsache, dass SF6 im hochdichten Plasma 105 teilweise auch zu niedrigeren SFX- Verbindungen (x = 0, 1, 2, 3), insbesondere auch zu elementarem Schwefel, abgebaut wird, was bei den bevorzugt eingesetzten möglichst hohen Prozessdrücken in dem Plasmareaktor 100 oder der Prozesskammer 10 zu Ablagerungen oder auch auf dem Halbleitersubstrat 30 zu unerwünschten, eine Ätzung maskierenden Effekten führen kann. Um derartige Schwefelausscheidungen zu umgehen, ist es daher teilweise günstig, dem Plasmareaktor 100 oder alternativ auch erst der Prozesskammer 10 zusätzlich Sauerstoff zuzuführen. Dabei ist aber zu berücksichtigen, dass aufgrund der außerordentlich hohen Selektivität der ClF3-Ätzreaktion gegenüber Si02 ein Sauerstoffzusatz bei der Ätzung von Silizium dazu führen kann, dass aktivierte Sauerstoffmoleküle oder -radikale die Siliziumoberfläche oxi- dieren und damit ebenfalls gegenüber der Ätzung mit C1F maskieren.A certain difficulty with this reaction is the fact that SF 6 in the high-density plasma 105 is also partially broken down to lower SF X compounds (x = 0, 1, 2, 3), in particular also to elemental sulfur, which is preferred for the used process pressures as high as possible in the plasma reactor 100 or the process chamber 10 to deposits or also on the semiconductor substrate 30 can lead to undesirable effects that mask etching. In order to avoid such sulfur excretions, it is therefore sometimes advantageous to additionally supply oxygen to the plasma reactor 100 or, alternatively, only to the process chamber 10. However, it must be taken into account that due to the extraordinarily high selectivity of the ClF 3 etching reaction compared to Si0 2, an oxygen addition during the etching of silicon can lead to activated oxygen molecules or radicals oxidizing the silicon surface and thus also compared to the etching with C1F mask.
Das Precursorgas SF6 ist somit vor allem bei der Ätzung von Siliziumwafem mit C1F3 nicht optimal, da mit Blick auf eine hohe Konversionseffizienz zu C1F3 gerade sehr hohe Anregungsdichten, d.h. eine hohe Plasmaleistung in einem vergleichsweise Meinen Volumen, angestrebt werden, und gerade unter diesen Bedingungen vermehrt Schwefelbildung auftritt.The precursor gas SF 6 is therefore not optimal, especially when etching silicon wafers with C1F 3 , since with a view to a high conversion efficiency to C1F 3 , very high excitation densities, ie high plasma power in a comparatively small volume, are aimed for, and especially below These conditions lead to increased sulfur formation.
Im Rahmen einer dritten, besonders bevorzugten Variante wird daher an Stelle von SF6 unter Anpassung der Gasflüsse NF3 eingesetzt. Daneben ist, weniger bevorzugt, auch eine Mischung von SF6 mit NF3 verwendbar. Das Gas NF3 hat den Vorteil, dass es bei der Reaktion beispielsweise mit Cl2 zu C1F3 keinerlei Rückstände wie Schwefel bilden kann. Zudem wird Stickstoff- trifluorid bei Halbleiterprozessen häufig als Reinigungsgas eingesetzt, d.h. es ist kostengünstig, stabil, in hochreiner Form verfügbar, nicht korrosiv und gilt auch nur als mindergiftig. NF3 verhält sich bei niedrigen Plamadichten gänzlich anders als bei hohen Plasmadichten. So dominieren bei niedrigen Plasmadichten Bruchstücke der Form NFX, die auch radikalischer Natur sein können (NFX ) die Plasmachemie des NF3. Deren Merkmale sind eine geringe Selektivität gegenüber Dielektrika, eine vergleichsweise geringe Effizienz des Siliziumabtrags durch relativ geringe Mengen an verfügbaren freien Fluorradikalen aufgrund ausgeprägter Tendenz zu Rekombinationsreaktionen zum Ausgangsprodukt oder zu Zwischenprodukten mit höherem Fluorgehalt, und ein äußerst aggressives Verhalten gegenüber organischen Materialien. Die Summe dieser Eigenschaften macht NF3 zu einem hervorragenden Reinigungsgas für Plasma- depositionsanlagen und als Scavengergas in Ätzapplikationen, wo die Anregungsdichten in der Regel vergleichsweise niedrig sind. Die Reaktion im Plasma bei niedrigen Plasmadichten verläuft somit gemäß:In a third, particularly preferred variant, NF 3 is therefore used instead of SF 6 with adaptation of the gas flows. In addition, a mixture of SF 6 with NF 3 can also be used, less preferably. The gas NF 3 has the advantage that it cannot form any residues such as sulfur during the reaction, for example with Cl 2 to C1F 3 . In addition, nitrogen trifluoride is often used as a cleaning gas in semiconductor processes, ie it is inexpensive, stable, available in high purity, not corrosive and is only considered to be less toxic. NF 3 behaves completely different at low plasma densities than at high plasma densities. At low plasma densities, fragments of the form NF X , which can also be of a radical nature (NF X ), dominate the plasma chemistry of the NF 3 . Their characteristics are low selectivity towards dielectrics, a comparatively low efficiency of silicon removal due to relatively small amounts of available free fluorine radicals due to a pronounced tendency towards recombination reactions to the starting product or to intermediates with a higher fluorine content, and an extremely aggressive behavior towards organic materials. The sum of these properties makes NF 3 an excellent cleaning gas for plasma deposition systems and as a scavenger gas in etching applications where the excitation densities are usually comparatively low. The reaction in plasma at low plasma densities thus follows:
NF3 -→ NF + (3-x) F* mit x = 1 , 2, 3NF 3 - → NF + (3-x) F * with x = 1, 2, 3
Bei hohen Anregungsdichten bzw. Plasmadichten dominieren dagegen Fluorradikale und N2 als Endprodukte. In diesem Fall wird NF3 im Plasma vollständig aufgebrochen, was zur hocheffizienten Bildung von Fluorradikalen und Stickstoffmolekülen führt, wobei letztere aufgrund ihrer hohen chemischen Stabilität bei den weiteren Betrachtungen vernachlässigt werden können. Insbesondere wird durch Bildung des stabilen N2-Moleküls eine nachfolgende Einfangrealction von Fluorradikalen mit Rückreaktion in Richtung Ausgangsprodukte verhindert, d.h. die Tendenz zu Rekombinationsreaktionen ist ähnlich wie im Fall des SF6 durch das stabile Endprodukt N2 nur schwach ausgeprägt. Auch im Fall der Reaktionen des erzeugten C1F3 mit Silizium kann die Gegenwart von Stickstoff vernachlässigt werden. Die Reaktion im Plasma bei hohen Plasmadichten verläuft somit gemäß:In contrast, at high excitation densities or plasma densities, fluorine radicals and N 2 dominate as end products. In this case, NF 3 is completely broken down in the plasma, which leads to the highly efficient formation of fluorine radicals and nitrogen molecules, the latter being negligible in further considerations owing to their high chemical stability. In particular, the subsequent capture reaction of fluorine radicals with a reverse reaction in the direction of the starting products is prevented by the formation of the stable N 2 molecule, ie the tendency towards recombination reactions is, as in the case of SF 6, only weakly pronounced by the stable end product N 2 . The presence of nitrogen can also be neglected in the case of the reactions of the C1F 3 produced with silicon. The reaction in plasma at high plasma densities thus follows:
2 NF3 → N2 + 6 F* 2 NF 3 → N 2 + 6 F *
Cl2 + 6 F* -> 2 C1F3 Cl 2 + 6 F * -> 2 C1F 3
2 NF3 + C12 → 2 C1F3 + N2 2 NF 3 + C1 2 → 2 C1F 3 + N 2
Für eine optimale stöchiometrische Umsetzung ist das optimale Verhältnis der Gasflüsse von NF3 zu Cl2 2:l.For an optimal stoichiometric conversion, the optimal ratio of gas flows from NF 3 to Cl 2 is 2: 1.
Für den Einsatz in dem Plasmareaktor 100 mit hoher Anregungsdichte, d.h. in dem ein hochdichtes Plasma 105 vorliegt, ist NF3 somit ein besonders vorteilhaftes Gas, das gemeinsam mit Cl2 als weiterem Gas zur hocheffizienten ClF3-Generation führt. Es liefert unter diesen Bedingungen große Mengen an Fluorradikalen und führt nicht zur Bildung von unerwünschten Verunreinigungen oder Rückständen. Als Alternative zu Cl2 als Reaktionspartner des NF3 bzw. auch des SF6 oder F2 eignet sich weiter auch gasföπniger Chlorwasserstoff (HCl). Dieses Gas hat gegenüber Cl2 den Vorteil, dass es ungefährlicher ist, d.h. sich durch seinen sauren Geruch sofort bemerkbar macht, und weniger giftig ist. Zudem ist HCl deutlich weniger korrosiv als Chlor, jedoch muss auch hier ein Zusammentreffen mit Feuchtigkeit stets sorgfältig vermieden werden. Ein Einsatz von HCl gemeinsam mit NF3 führt zur Bildung von C1F3, wobei in einer Nebenreaktion gasförmiger Fluorwasserstoff (HF) gebildet wird, vermöge der Reaktionsgleichung:For use in the plasma reactor 100 with a high excitation density, ie in which a high-density plasma 105 is present, NF 3 is thus a particularly advantageous gas which, together with Cl 2 as a further gas, leads to the highly efficient ClF 3 generation. It supplies large amounts of fluorine radicals under these conditions and does not lead to the formation of undesirable impurities or residues. As an alternative to Cl 2 as a reactant of NF 3 or SF 6 or F 2 , gaseous hydrogen chloride (HCl) is also suitable. This gas has the advantage over Cl 2 that it is less dangerous, that is, it is immediately noticeable due to its acidic smell, and is less toxic. In addition, HCl is significantly less corrosive than chlorine, but here, too, contact with moisture must always be carefully avoided. The use of HCl together with NF 3 leads to the formation of C1F 3 , whereby gaseous hydrogen fluoride (HF) is formed in a side reaction, according to the reaction equation:
4 NF3 + 3 HCl → 3 C1F3 + 3 HF + 2 N2 4 NF 3 + 3 HCl → 3 C1F 3 + 3 HF + 2 N 2
Das optimale Verhältiis der Gasflüsse von NF3:HC1 ist 4:3 für eine stöchiometrische Umsetzung. Dem erzeugten Chlortrifluorid ist nun neben unbeachtlichem Stickstoff auch noch HF als Endprodukt beigemischt. Da anhydrides (trockenes) HF im allgemeinen bei der Ätzrealction des C1F3 mit Silizium nicht stört und ohne Gegenwart von Feuchtigkeit auch Oxide nicht angreift bzw. Metallflächen nicht korrodiert, kann dieses Begleitgas in der Regel toleriert werden. Falls HF als Gasbestandteil unerwünscht sein sollte, lässt es sich aus dem im Plasmareaktor erzeugten Gas auch selektiv mit Hilfe eines geeigneten Filters, beispielsweise durch Adsorption an Alkalifluoriden oder Metallfluoriden (NaF + HF — > NaHF2), entfernen.The optimal ratio of NF 3 : HC1 gas flows is 4: 3 for a stoichiometric conversion. In addition to irrelevant nitrogen, HF is also added to the chlorine trifluoride produced as the end product. Since anhydrous (dry) HF generally does not interfere with the etching reaction of the C1F 3 with silicon and does not attack oxides or corrode metal surfaces without the presence of moisture, this associated gas can generally be tolerated. If HF should be undesirable as a gas component, it can also be removed selectively from the gas generated in the plasma reactor using a suitable filter, for example by adsorption on alkali metal fluorides or metal fluorides (NaF + HF -> NaHF 2 ).
Die Durchführung des zuletzt vorgestellten Verfahrens wird nun im Detail im Zusammenhang mit Figur 1 weiter erläutert. Dazu wird zunächst das Gas NF3 aus einem ersten Gasreservoir 21, beispielsweise einer Gasflasche, und das Gas Cl2 oder HCl aus einem zweiten Gasreservoir 25, beispielsweise einer Gasflasche, über einen zugeordneten ersten Massenflussregler 22 bzw. einen zugeordneten zweiten Massenflussregler 26 entnommen und dem Plasmareaktor 100 zugeführt, worin durch hochdichte Plasmaanregung dieser beiden zugeführten Precursorgase und durch Umsetzung der Plasmabruchstücke zu C1F3 sowie N2 und, im Fall von HCl, auch HF erzeugt wird, welches über den Gasauslass 20, der auch als Verbindungsrohr bezeichnet werden kann bzw. dient, der eigentlichen Prozesskammer 10 zur Ätzung des Halbleitersubstrates 30 zugeführt wird.The implementation of the method presented last will now be explained in more detail in connection with FIG. 1. For this purpose, the gas NF 3 is first taken from a first gas reservoir 21, for example a gas bottle, and the gas Cl 2 or HCl from a second gas reservoir 25, for example a gas bottle, via an assigned first mass flow controller 22 or an assigned second mass flow controller 26 and the Plasma reactor 100 is supplied, in which high-density plasma excitation of these two supplied precursor gases and conversion of the plasma fragments to C1F 3 and N 2 and, in the case of HCl, also HF, which is generated via the gas outlet 20, which can also be referred to as a connecting tube or serves, the actual process chamber 10 for etching the semiconductor substrate 30 is supplied.
Der Gasauslass 20 ist bevorzugt in Form eines metallischen Rohres ausgeführt und trennt das hochdichte Plasma 105 bzw. den Plasmareaktor 100 von der Prozesskammer 10. Damit wird zumindest ein Teil der geladenen Teilchen in dem hochdichten Plasma 105 auf dem Weg von dem Plasmareaktor 105 zu der Prozesskammer 10 in dem Gasauslass 20 entladen und somit die Prozesskammer 10 von dem Plasmareaktor 100 elektrisch entkoppelt. Weiter können in den Gasauslass 20 alternativ oder zusätzlich auch metallische Netze eingesetzt sein, die der vollständigen bzw. weiteren elektrischen Entkopplung der Prozesskammer 10 von dem Plasmareaktor 100 dienen.The gas outlet 20 is preferably designed in the form of a metallic tube and separates the high-density plasma 105 or the plasma reactor 100 from the process chamber 10. At least some of the charged particles in the high-density plasma 105 are thus on the way from the plasma reactor 105 to the process chamber 10 discharged in the gas outlet 20 and thus the process chamber 10 is electrically decoupled from the plasma reactor 100. Further can in the As an alternative or in addition, gas outlet 20 can also be used, which serve for the complete or further electrical decoupling of the process chamber 10 from the plasma reactor 100.
Die Figur 1 zeigt dazu einen induktiv gekoppelten, hochfrequenzerregten Plasmareaktor 100, wobei um ein Rohr aus Quarzglas oder bevorzugt einer Aluminiumoxidkeramik, das zur Vermeidung von Partikelgeneration bevorzugt innenwandig poliert ist, eine Spule 110 gewickelt ist, mit der eine Hochfrequenzleisrung bzw. ein hochfrequentes elektromagnetisches Wechselfeld in das Innere des Reaktors 100 eingekoppelt wird. Dieses treibt darin über einen großen Arbeitsbereich des Drucks und der Gasflüsse ein hochdichtes induktives Plasma 105. Die An- kopplung der von einem Hochfrequenzgenerator 130 erzeugten Hochfrequenz an die Spule 110 geschieht über ein übliches Anpassnetzwerk 120, eine sogenamite "Matchbox", die die Impedanzanpassung des Ausgangs des Hochfrequenzgenerators an das induktive Plasma 105 gewährleistet. Bevorzugte Hochfrequenzleistungen liegen je nach Gasfluss bei 200 Watt bis 3 kW, wobei beispielsweise eine Frequenz von 13,56 MHz eingesetzt wird. Die Gasflüsse der Precursorgase NF3 und Cl2 oder HCL in den Plasmareaktor 100 liegen bevorzugt bei 100 sccm bis 1 slmNF3 und entsprechend dem optimalen stöchiometrischen Mischungsverhältnis von 2:1 gegenüber Ckbzw. 4:3 gegenüber HCl bei 50 sccm bis 500 sccm Cl2 bzw. 75 sccm bis 750 sccm HCl. Die Arbeitsdrücke im Plasmareaktor 100 entsprechen den in der Prozesskammer 10 bevorzugt eingesetzten Drücken, d.h. sie liegen zwischen 1 mbar und 100 mbar, vorzugsweise 10 mbar bis 30 mbar. Bei diesem Druck lassen sich hochdichte induktive Plasmen in Rohren mit Querschnitten von einigen cm bis etwa 10 cm und entsprechend hoher Anregungsdichte noch stabil betreiben. Das Zünden des hochdichten Plasmas 105 kann durch eine in die Prozesskammer 100 integrierte Hilfselektrode 190, insbesondere in Form einer Metallsspitze, erleichtert werden, die mit Hochspannungspulsen, beispielsweise von einem Induktor oder einer Zündspule, beaufschlagt wird.For this purpose, FIG. 1 shows an inductively coupled, high-frequency excited plasma reactor 100, a coil 110 being wound around a tube made of quartz glass or preferably an aluminum oxide ceramic, which is preferably polished on the inside to avoid particle generation, with which a high-frequency power or a high-frequency alternating electromagnetic field is wound is coupled into the interior of the reactor 100. This drives a high-density inductive plasma 105 over a large working range of pressure and gas flows. The high frequency generated by a high-frequency generator 130 is coupled to the coil 110 via a customary matching network 120, a so-called "matchbox", which adjusts the impedance of the Guaranteed output of the high frequency generator to the inductive plasma 105. Preferred high-frequency outputs are 200 watts to 3 kW, depending on the gas flow, a frequency of 13.56 MHz being used, for example. The gas flows of the precursor gases NF 3 and Cl 2 or HCL into the plasma reactor 100 are preferably 100 sccm to 1 slmNF 3 and corresponding to the optimal stoichiometric mixing ratio of 2: 1 compared to Ck or. 4: 3 compared to HCl at 50 sccm to 500 sccm Cl 2 or 75 sccm to 750 sccm HCl. The working pressures in the plasma reactor 100 correspond to the pressures preferably used in the process chamber 10, ie they are between 1 mbar and 100 mbar, preferably 10 mbar to 30 mbar. At this pressure, high-density inductive plasmas can still be operated stably in tubes with cross sections from a few cm to about 10 cm and a correspondingly high excitation density. The ignition of the high-density plasma 105 can be facilitated by an auxiliary electrode 190 integrated in the process chamber 100, in particular in the form of a metal tip, to which high-voltage pulses, for example from an inductor or an ignition coil, are applied.
Die Figur 2 zeigt eine zweite Möglichkeit zur Erzeugung des hochdichten Plasmas 105. Dazu wird erneut ein rohrförmiger Plasmareaktor 100 aus Quarzglas oder bevorzugt einer Aluminiumoxidkeramik verwendet, wobei auf eine gute Oberflächenqualität, d.h. polierte Innenwände des Plasmareaktors 100 insbesondere im Fall der Ausführung aus Aluminiumoxidrohr zu achten ist. Dies führt zu besonders günstigen Mikrowelleneigenschaften des Plasmareaktors 100, da die Mikrowellenleitung über die gesamte Länge des rohrförmigen Reaktors 100 in einer Grenzschicht zwischen dielektrischer Wandung und erzeugtem Plasma ("Surfatron-Effekt") sehr sensibel auf die Oberflächenqualität reagiert. Darüber hinaus wird bevorzugt eine besonders hochwertige Aluminiumoxidkeramik mit einem Al203-Anteil von mehr als 99,5 %, vorzugsweise mehr als 99,9 %, eingesetzt, um unerwünschte Mikrowellenabsorptionen zu vermeiden und den Surfatron-Effelct zu verstärken.FIG. 2 shows a second possibility for generating the high-density plasma 105. For this purpose, a tubular plasma reactor 100 made of quartz glass or preferably an aluminum oxide ceramic is again used, with good surface quality, ie polished inner walls of the plasma reactor 100 being particularly important in the case of the design made of aluminum oxide tube is. This leads to particularly favorable microwave properties of the plasma reactor 100, since the microwave line extends over the entire length of the tubular reactor 100 in a boundary layer between the dielectric wall and the generated plasma (“surfatron effect”). reacts very sensitively to the surface quality. In addition, a particularly high-quality aluminum oxide ceramic with an Al 2 O 3 content of more than 99.5%, preferably more than 99.9%, is preferably used in order to avoid unwanted microwave absorption and to increase the surfatron effect.
Der rohrförmige Plasmareaktor 100 durchquert gemäß Figur 2 in einer gekreuzten Anordnung einen Mikrowellenhohlleiter 150, der über einen Zirkulator 160 zur Modenfeldanpassung und Trennung von Vorwärts- und Rückwärtsleistung aus einem Magnetron 170 mit Mikrowellenleistung gespeist wird. Zusätzlich verfügt der Hohlleiter 150 über einen einstellbaren Hohlleiter- abschluss 180, einen sogenannten "Terminator", sowie Abstimmelemente 155, sogenannte "studs", zur Anpassimg an das im Plasmarealctor 100 generierte hochdichte Plasma 105 und zur optimalen Einstellung des Surfatron-Effekts. Insofern bildet sich durch Expansion des Mikrowellenfeldes zumindest nahezu auf der gesamten Länge des rohrförmigen Plasmareaktors 100 das hochdichte Plasma 105 aus. Der Hohlleiter 150 ist an geeigneter Stelle durchbohrt, so dass der Plasmareaktor 100 hindurch gesteckt werden kann. Im Übrigen sind natürlich geeignete, nicht dargestellte Mikrowellenabschirmungen gegen die Umgebung vorzusehen, um einen sicheren Betrieb zu ermöglichen. In einer longitudinalen Anordnung befindet sich der Plasmareaktor 100 innerhalb des Hohlleiters 150, also zwischen den Abstimmelementen 155 und dem Hohlleiterabschluss 180.The tubular plasma reactor 100, as shown in FIG. 2, crosses a microwave waveguide 150 in a crossed arrangement, which is fed with microwave power via a circulator 160 for mode mode adaptation and separation of forward and reverse power from a magnetron 170. In addition, the waveguide 150 has an adjustable waveguide termination 180, a so-called "terminator", and tuning elements 155, so-called "studs", for adapting to the high-density plasma 105 generated in the plasma reactor 100 and for optimally setting the surfatron effect. In this respect, the expansion of the microwave field forms the high-density plasma 105 at least almost over the entire length of the tubular plasma reactor 100. The waveguide 150 is drilled through at a suitable point, so that the plasma reactor 100 can be inserted through it. In addition, of course, suitable microwave shields, not shown, from the environment are to be provided in order to enable safe operation. In a longitudinal arrangement, the plasma reactor 100 is located within the waveguide 150, that is, between the tuning elements 155 and the waveguide termination 180.
Durch Abgleich der Abstimmelemente 155 und entsprechender Positionierung des Hohlleiterabschlusses 180 wird erreicht, dass sich der Plasmareaktor 100 in einem günstigen Feldbereich befindet und damit eine effiziente Plasmaanregung sichergestellt ist. Es werden bevorzugt Mikrowellenleistungen von 200 W bis 6 kW bei einer Frequenz von vorzugsweise 2,45 GHz eingesetzt, da bei dieser Frequenz kostengünstige Magnetrons 170 großer Leistung verfügbar sind. Auch hier kann das Zünden des hochdichten Plasmas 105 kann durch eine in die Prozesskammer 100 integrierte Hilfselektrode 190 wie vorstehend erläutert erleichtert werden.By comparing the tuning elements 155 and correspondingly positioning the waveguide termination 180, it is achieved that the plasma reactor 100 is located in a favorable field area and thus efficient plasma excitation is ensured. Microwave powers of 200 W to 6 kW are preferably used at a frequency of preferably 2.45 GHz, since inexpensive magnetrons 170 of high power are available at this frequency. Here, too, the ignition of the high-density plasma 105 can be facilitated by an auxiliary electrode 190 integrated in the process chamber 100, as explained above.
Die Prozesskammer 10 weist sowohl bei dem Ausführungsbeispiel gemäß Figur 1 als auch gemäß Figur 2 eine Substratelektrode 40 auf, auf der ein Siliziumwafer als Halbleitersubstrat 30 geklemmt werden kann. Bevorzugt erfolgt diese Klemmung über eine Klemmeinrichtung 41, beispielsweise einen elektrostatischen Chuck, durch die auch die aufliegende Seite des Halbleitersubstrates 30 vor den Gasen in der Prozesskammer 10 geschützt ist. Die aufliegende Seite des Halbleitersubstrates 30 wird ferner bevorzugt mit He beaufschlagt, um eine gute thermische Anbindung an die Substratelektrode 41 und eine zusätzliche Isolation gegen die Gase der Prozesskammer 10 zu erreichen.In the exemplary embodiment according to FIG. 1 as well as according to FIG. 2, the process chamber 10 has a substrate electrode 40 on which a silicon wafer as a semiconductor substrate 30 can be clamped. This clamping is preferably carried out via a clamping device 41, for example an electrostatic chuck, by means of which the overlying side of the semiconductor substrate 30 is also protected from the gases in the process chamber 10. The overlying side of the semiconductor substrate 30 is also preferably subjected to He in order to achieve a good thermal To achieve connection to the substrate electrode 41 and additional insulation against the gases of the process chamber 10.
Die Substratelektrode 40 weist femer elektrische Zuführungen bzw. Abführungen 42 für die Klemmeinrichtung 41 und eine gegebenenfalls vorgesehene elektrische Heizung auf, die in der Substratelektrode 41 zur Temperaturkontrolle bzw. Temperatureinstellung vorgesehen sein kann. Bevorzugt können über die elektrischen Zuführungen bzw. Abführungen 42 auch Messdaten nach Außen übertragen werden, beispielsweise die Elektrodentemperatur oder der Heliumrückseitendruck.The substrate electrode 40 also has electrical inlets or outlets 42 for the clamping device 41 and an electrical heater which may be provided and which can be provided in the substrate electrode 41 for temperature control or temperature adjustment. Measurement data, for example the electrode temperature or the helium back pressure, can preferably also be transmitted to the outside via the electrical feeds or discharges 42.
Des weiteren weist die Substratelelctrode 40 eine Gaszufuhr bzw. Gasabfuhr 43 auf, über die vorzugsweise He als Konvektionsmedium zur Kühlung des Halbleitersubstrates 30 mit einem einstellbaren Druck zu- bzw. abfuhrbar ist.Furthermore, the substrate electrode 40 has a gas supply or gas discharge 43, via which He can preferably be supplied or removed as a convection medium for cooling the semiconductor substrate 30 at an adjustable pressure.
Eine weitere Zufuhr bzw. Abfuhr 44 erlaubt die Zirkulation eines Kältemittels durch die Substratelektrode 40, um deren Temperatur einzustellen und insbesondere eine auf dem Halbleitersubstrat 30 entstehende Reaktionswärme aus einer exothermen Ätzreaktion abzuführen. Die Substrattemperatur beträgt bevorzugt -30°C bis 30°C für optimale Ätzbedingungen. undMas- kenselektivität. Als Kältemittel können Fluorinerts® oder Ethylenglykol-Wassergemische eingesetzt werden.A further supply or discharge 44 allows a refrigerant to be circulated through the substrate electrode 40 in order to adjust its temperature and, in particular, to remove any heat of reaction arising on the semiconductor substrate 30 from an exothermic etching reaction. The substrate temperature is preferably -30 ° C to 30 ° C for optimal etching conditions. and mask selectivity. Fluorinerts ® or ethylene glycol / water mixtures can be used as refrigerants.
Die Prozesskammer 10 weist weiter zwei Pumpstände mit einer ersten Pumpe 60, insbesondere einer Turbomolekularpumpe, und einer zweiten Pumpe 80, insbesondere einer Drehschieberpumpe, auf, die in dem Sinne gekoppelt sein können, dass die Drehschieberpumpe 80 zeitweise auch als Vorpumpe für die Turbomolekularpumpe 60 dienen kann. Die Drehschieberpumpe 80, die vorzugsweise als Trockenläuferpumpe ausgeführt ist, dient zum "Rou'ghing" der ganzen Anlage 5 nach einem Belüften und als Prozesspumpe, um die Prozessgase während der Durchführung eines Ätzprozesses abzupumpen. Zur Druckregelung dient ein Regelventil 70. Die 'Druckmessung geschieht über einen Druckmesser 90, der als Baratron bzw. als kombiniertes Baratron und Ionivac ausgeführt sein kann. Druckmesser 90 und Regelventil 70 werden dazu benützt, um den gewünschten Prozessdruck von vorzugsweise 5 bis 100 mbar, insbesondere 10 bis 30 mbar, während der Prozessierung des Halbleitersubstrates 30 stabil einzustellen. Die Turbopumpe 60 in Verbindung mit einem Absperrventil 50 dient dazu, vor und nach der Prozessierung ein möglichst gutes Endvakuum zu erreichen, beispielsweise besser als 10"4 Pa. Dies ist wichtig, da, wie erwähnt, einerseits Restfeuchtigkeit in der Prozesskammer 10 oder auf dem Halbleitersubstrat 30 den durchgeführten Ätzprozess stört, andererseits aber auch Reste der Prozessgase vor dem Ausladen des Halbleitersubstrates 30 beseitigt werden sollten. Während des Prozesses wird die Turbopumpe 60 über das Absperrventil 50 von der Prozesskammer 10 abgetrennt, da sie bei Prozessdrücken im mbar-Bereich nicht einsetzbar ist.The process chamber 10 also has two pumping stations with a first pump 60, in particular a turbomolecular pump, and a second pump 80, in particular a rotary vane pump, which can be coupled in the sense that the rotary vane pump 80 also temporarily serves as a backing pump for the turbomolecular pump 60 can. The rotary vane pump 80, which is preferably designed as a dry-running pump, is used to "Rou 'ghing" of the entire plant 5 for a venting and as a process pump to the process gases while performing an etching process to pump. A control valve is used to pressure control 70. The 'pressure measurement takes place via a pressure gauge 90, which may be embodied as Baratron or as a combined Baratron and IONIVAC. Pressure meter 90 and control valve 70 are used to set the desired process pressure of preferably 5 to 100 mbar, in particular 10 to 30 mbar, stably during the processing of the semiconductor substrate 30. The turbo pump 60 in conjunction with a shut-off valve 50 serves to achieve the best possible ultimate vacuum before and after the processing, for example better than 10 "4 Pa. This is important because, as mentioned, on the one hand the residual moisture in the process chamber 10 or on the Semiconductor substrate 30 interferes with the etching process, but on the other hand residues of the process gases should also be removed before unloading the semiconductor substrate 30. During the process, the turbopump 60 is separated from the process chamber 10 via the shut-off valve 50, since it cannot be used at process pressures in the mbar range is.
Im Folgenden wird die Prozessierung eines Siliziumwafers als Halbleitersubstrat 30 in der Prozesskammer 10 mit den erläuterten Anbauteilen, die die Ätzanlage 6 bilden, der die erläuterte Vorrichtung 5 zur Erzeugung von C1F3 vorgeschaltet ist, weiter im Detail erläutert.In the following, the processing of a silicon wafer as a semiconductor substrate 30 in the process chamber 10 is explained in further detail with the described add-on parts which form the etching system 6, upstream of which the explained device 5 for producing C1F3.
Dazu wird der Siliziumwafer zunächst in die Ätzanlage 6 eingeschleust und auf der Substratelektrode 40 geklemmt. Die Turbopumpe 60 pumpt nun so lange die Kammer 10 und die daran angeschlossene Mimik der Gasversorgung mit dem Plasmareaktor 100, bis das gewünschte Basisvakuum erreicht ist. Dann wird das Ventil 50 geschlossen und die Turbopumpe 60 ausgeschaltet. Mittels der Massenflussregler 22, 26 werden nun die gewünschten Mengen der Prozessgase zugeführt, beispielsweise 100 sccm bis 1 slm NF3 und 50 sccm bis 500 sccmCl2 oder 75 sccm bis 750 sccm HCl. Außerdem wird die Plasmareaktion in dem Plasmareaktor 100 nach Stabilisierung der Gasflüsse durch Einschalten des Hochfrequenzgenerators 130 an die induktive Plasmaquelle bzw. die Spule 110 bzw. durch Einschalten des Magnetrons 170 an die Hohlleiteranordnung 150 gestartet. Der Druck in der Prozesskammmer 10 und damit auch in dem vorgeschalteten Plasmareaktor 100 wird mittels des Druckmessers 90 gemessen und mittels der Kombination aus Drehschieberpumpe 80 und Regelventil 70 auf dem gewünschten Wert stabilisiert. Der Siliziumwafer wird dann durch die Zufuhr des in dem Plasmareaktor 100 aus den Precursorgasen generierten C1F3 geätzt. Danach wird die Hochfrequenz- bzw. Mikrowellenzufuhr zum Plasmareaktor 100 abgeschaltet, die Prozessgaszufuhr gestoppt und die Prozesskammer 10 sowie die Mimik der Gasversorgung mit dem Plasmareaktor 100 leer gepumpt. Zuletzt übernimmt die Turbopumpe 60 bei geöffnetem Ventil 50 das Pumpen auf einen möglichst niedrigen Enddruck, beispielsweise besser als 10" Pa. Dabei ist das Regelventil 70 vor der Drehschieberpumpe 80 geschlossen, d.h. die Drehschieberpumpe 80 kann während dieser Zeit als Vorpumpe für die Turbopumpe 60 eingesetzt werden. Ist der Basisdruck erreicht, wird der Siliziumwafer in eine angeschlossene Schleusenvorrichtung ausgeladen. For this purpose, the silicon wafer is first introduced into the etching system 6 and clamped on the substrate electrode 40. The turbopump 60 now pumps the chamber 10 and the associated facial expressions of the gas supply with the plasma reactor 100 until the desired base vacuum is reached. Then valve 50 is closed and turbopump 60 is switched off. The desired quantities of the process gases are now supplied by means of the mass flow controllers 22, 26, for example 100 sccm to 1 slm NF 3 and 50 sccm to 500 sccmCl 2 or 75 sccm to 750 sccm HCl. In addition, after the gas flows have stabilized, the plasma reaction in the plasma reactor 100 is started by switching on the high-frequency generator 130 to the inductive plasma source or coil 110 or by switching on the magnetron 170 to the waveguide arrangement 150. The pressure in the process chamber 10 and thus also in the upstream plasma reactor 100 is measured by means of the pressure meter 90 and stabilized to the desired value by means of the combination of rotary vane pump 80 and control valve 70. The silicon wafer is then etched by the supply of the C1F 3 generated in the plasma reactor 100 from the precursor gases. The high-frequency or microwave supply to the plasma reactor 100 is then switched off, the process gas supply is stopped and the process chamber 10 and the facial expressions of the gas supply with the plasma reactor 100 are pumped empty. Finally, when the valve 50 is open, the turbopump 60 takes over the pumping to the lowest possible final pressure, for example better than 10 " Pa. The control valve 70 in front of the rotary vane pump 80 is closed, ie the rotary vane pump 80 can be used as a backing pump for the turbopump 60 during this time When the base pressure is reached, the silicon wafer is unloaded into a connected lock device.

Claims

Patentansprüche claims
1. Vorrichtung zur Erzeugung von Chlortrifluorid mit einem Plasmareaktor (100) und Plasmaerzeugungsmitteln (110, 120, 130, 150, 155, 160, 170, 180), mit denen im Inneren des Plasmareaktors (100) ein hochdichtes Plasma (105) erzeugbar ist, wobei Gaszufuhrmittel (21, 25, 22, 26) vorgesehen sind, mit denen dem Plasmareaktor (100) ein erstes Gas und ein zweites Gas zuführbar sind, die unter dem Einfluss des hochdichten Plasmas (105) in dem Plasmareaktor (100) unter Bildung von Chlortrifluorid miteinander reagieren, und wobei ein Gasauslass (20) vorgesehen ist, mit dem das gebildete Chlortrifluorid aus dem Plasmareaktor (100) abführbar ist.1. Device for generating chlorine trifluoride with a plasma reactor (100) and plasma generating means (110, 120, 130, 150, 155, 160, 170, 180), with which a high-density plasma (105) can be generated in the interior of the plasma reactor (100) wherein gas supply means (21, 25, 22, 26) are provided, with which a first gas and a second gas can be supplied to the plasma reactor (100), which are formed under the influence of the high-density plasma (105) in the plasma reactor (100) of chlorine trifluoride react with one another, and a gas outlet (20) is provided with which the chlorine trifluoride formed can be removed from the plasma reactor (100).
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Plasmaerzeugungsmittel eine Spule (110), ein Anpassnetzwerk (120) und einen Hochfrequenzgenerator (130) umfassen.2. Device according to claim 1, characterized in that the plasma generating means comprise a coil (110), a matching network (120) and a high-frequency generator (130).
3. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Plasmaerzeugungsmittel einen Mikrowellenhohlleiter (150), Abstimmelemente (155), ein Magnetron (170), einen Zirkulator (160) sowie einen Hohlleiterabschluss (180) umfassen.3. The device according to claim 1, characterized in that the plasma generating means comprise a microwave waveguide (150), tuning elements (155), a magnetron (170), a circulator (160) and a waveguide termination (180).
4. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass der Plasmarealctor (100) ein Quarzrohr oder einen hohlen Quarzkörper, insbesondere mit polierter Innenwand, oder ein Keramikrohr oder einen hohlen Keramikkörper, insbesondere mit polierter Innenwand und/oder aus Aluminiumoxid, umfasst.4. The device according to claim 1, characterized in that the plasma reactor (100) comprises a quartz tube or a hollow quartz body, in particular with a polished inner wall, or a ceramic tube or a hollow ceramic body, in particular with a polished inner wall and / or made of aluminum oxide.
5. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Gaszufuhrmittel (21, 22, 25, 26) einen ersten Massenflussregler (22) umfassen, mit der die Menge des ersten Gases, das dem Plasmareaktor (100) zugeführt wird, einstellbar ist, und dass die Gaszufuhrmittel (21, 22, 25, 26) einen zweiten Massenflussregler (26) umfassen, mit der die Menge des zweiten Gases, das dem Plasmareaktor (100) zugeführt wird, einstellbar ist.5. The device according to claim 1, characterized in that the gas supply means (21, 22, 25, 26) comprise a first mass flow controller (22) with which the amount of the first gas, which is supplied to the plasma reactor (100) is adjustable, and that the gas supply means (21, 22, 25, 26) comprise a second mass flow controller (26) with which the amount of the second gas which is supplied to the plasma reactor (100) is is adjustable.
6. Anlage zur Ätzung von Halbleitersubstraten, insbesondere Siliziumwafem, mit einer Vorrichtung (6) nach einem der vorangehenden Ansprüche, der eine Prozesskammer (10) zugeordnet ist, die über den Gasauslass (20) mit dem Plasmareaktor (100) verbunden ist, wobei das Halbleitersubstrat (30) in der Prozesskammer (10) angeordnet und dem von der Vorrichtung (5) zur Erzeugung von Chlortrifluorid erzeugten gasförmigen Chlortrifluorid ausgesetzt ist.6. System for etching semiconductor substrates, in particular silicon wafers, with a device (6) according to one of the preceding claims, which is assigned a process chamber (10) which is connected via the gas outlet (20) to the plasma reactor (100), the Semiconductor substrate (30) arranged in the process chamber (10) and exposed to the gaseous chlorine trifluoride generated by the device (5) for producing chlorine trifluoride.
7. Verfahren zur Erzeugung von Chlortrifluorid, wobei in einem Plasmareaktor (100) ein hochdichtes Plasma (105) erzeugt wird, und wobei dem Plasmareaktor (100) ein erstes Gas und ein zweites Gas geführt werden, die unter dem Einfluss des hochdichten Plasmas (105) in dem Plasmareaktor (100) unter Bildung von Chlortrifluorid miteinander reagieren.7. A method for producing chlorine trifluoride, wherein a high-density plasma (105) is generated in a plasma reactor (100), and wherein a first gas and a second gas are conducted to the plasma reactor (100) which are influenced by the high-density plasma (105 ) react with one another in the plasma reactor (100) to form chlorine trifluoride.
8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass das hochdichte Plasma (105) mittels induktiver Hochfrequenzanregung oderJVTikrowellerianregung erzeugt wird,8. The method according to claim 7, characterized in that the high-density plasma (105) is generated by means of inductive high-frequency excitation or JV microwave excitation,
9. Verfahren nach Anspruch 7 oder 8, dadurch gekennzeichnet, dass als erstes Gas ein Gas eingesetzt wird, das Cl2 oder HCl enthält oder daraus besteht, und dass als zweites Gas ein Gas eingesetzt wird, das NF3, F2, SF6 enthält oder daraus besteht.9. The method according to claim 7 or 8, characterized in that a gas is used as the first gas which contains or consists of Cl 2 or HCl, and that a gas is used as the second gas, the NF 3 , F 2 , SF 6 contains or consists of.
10. Verfahren nach einem der Ansprüche 7 bis 9, dadurch gekennzeichnet, dass dem Plasmareaktor (100) oder einer dem Plasmaraektor (100) nachgeordneten Prozesskammer (10) als weiteres Gas Sauerstoff zugeführt wird.10. The method according to any one of claims 7 to 9, characterized in that the plasma reactor (100) or a process chamber (10) downstream of the plasma reactor (100) is supplied as a further gas oxygen.
11. Verfahren nach einem der Ansprüche 7 bis 10, dadurch gekennzeichnet, dass das erzeugte Chlortrifluorid mittels eines dem Plasmareaktor (100) nachgeschalteten Filters von weiteren Gasbestandteilen, insbesondere Fluorwasserstoff, getrennt wird.11. The method according to any one of claims 7 to 10, characterized in that the chlorine trifluoride produced is separated from other gas components, in particular hydrogen fluoride, by means of a filter connected downstream of the plasma reactor (100).
12. Verfahren nach einem der Ansprüche 7 bis 11, dadurch gekennzeichnet, dass das erste Gas und das zweite Gas dem Plasmareaktor (100) derart zugeführt werden, dass in dem hochdichten Plasma (105) Fluoratome und Chloratome, insbesondere in Form von Radikalen oder reaktiven Spezies, im Verhältnis 3 : 1 vorliegen.12. The method according to any one of claims 7 to 11, characterized in that the first gas and the second gas are fed to the plasma reactor (100) such that in the high-density plasma (105) fluorine atoms and chlorine atoms, in particular in the form of radicals or reactive species, in a ratio of 3: 1.
13. Verfahren nach einem der Ansprüche 7 bis 12, dadurch gekennzeichnet, dass das hochdichte Plasma (105) mit einer Dichte an Radikalen oder reaktiven Spezies von mindestens 1011 Teilchen pro cm3, insbesondere mindestens 1012 Teilchen pro cm3, erzeugt wird. 13. The method according to any one of claims 7 to 12, characterized in that the high-density plasma (105) with a density of radicals or reactive species of at least 10 11 particles per cm 3 , in particular at least 10 12 particles per cm 3 , is generated.
EP03720246A 2002-06-28 2003-03-27 Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device Withdrawn EP1519895A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE10229037 2002-06-28
DE10229037A DE10229037A1 (en) 2002-06-28 2002-06-28 Device and method for producing chlorine trifluoride and plant for etching semiconductor substrates with this device
PCT/DE2003/001014 WO2004002882A1 (en) 2002-06-28 2003-03-27 Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device

Publications (1)

Publication Number Publication Date
EP1519895A1 true EP1519895A1 (en) 2005-04-06

Family

ID=29795931

Family Applications (1)

Application Number Title Priority Date Filing Date
EP03720246A Withdrawn EP1519895A1 (en) 2002-06-28 2003-03-27 Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device

Country Status (5)

Country Link
US (1) US8382940B2 (en)
EP (1) EP1519895A1 (en)
JP (1) JP4499559B2 (en)
DE (1) DE10229037A1 (en)
WO (1) WO2004002882A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116610924A (en) * 2023-07-17 2023-08-18 福建德尔科技股份有限公司 Chlorine trifluoride leakage prediction system and method

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005031602A1 (en) * 2005-07-06 2007-01-11 Robert Bosch Gmbh A reactor for carrying out an etching process for a stack of masked wafers and etching processes
US7998651B2 (en) 2006-05-15 2011-08-16 Asml Netherlands B.V. Imprint lithography
DE102007033685A1 (en) * 2007-07-19 2009-01-22 Robert Bosch Gmbh A method of etching a layer on a silicon semiconductor substrate
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN104477850B (en) * 2014-12-02 2016-08-24 中国船舶重工集团公司第七一八研究所 The preparation method of a kind of chlorine trifluoride and device
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
CN104555927B (en) * 2014-12-31 2016-07-20 中国船舶重工集团公司第七一八研究所 A kind of purification process of chlorine trifluoride
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP5989835B2 (en) * 2015-03-16 2016-09-07 芝浦メカトロニクス株式会社 Plasma processing method and plasma processing apparatus
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10899615B2 (en) 2016-04-05 2021-01-26 Kanto Denka Kogyo Co., Ltd. Feeding process of chlorine fluoride
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114715850A (en) * 2021-01-04 2022-07-08 欧中电子材料(重庆)有限公司 Method for synthesizing chlorine trifluoride with high yield
CN112915719A (en) * 2021-02-02 2021-06-08 福建德尔科技有限公司 Separation device and separation method for electronic-grade chlorine trifluoride
CN113856233B (en) * 2021-10-22 2022-11-25 中船(邯郸)派瑞特种气体股份有限公司 Efficient chlorine trifluoride rectifier unit

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3354646A (en) * 1963-01-21 1967-11-28 North American Aviation Inc Chlorine pentafluoride and method
US4472254A (en) * 1983-05-02 1984-09-18 Olin Corporation Electric plasma discharge combustion synthesis of chlorine dioxide
FR2616030A1 (en) * 1987-06-01 1988-12-02 Commissariat Energie Atomique PLASMA ETCHING OR DEPOSITION METHOD AND DEVICE FOR IMPLEMENTING THE METHOD
JPH03158481A (en) * 1989-11-16 1991-07-08 Konica Corp Method for regenerating substrate
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
DE4317623C2 (en) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Method and device for anisotropic plasma etching of substrates and their use
US5741396A (en) * 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
JPH08153711A (en) 1994-11-26 1996-06-11 Semiconductor Energy Lab Co Ltd Etching device
JP3454951B2 (en) * 1994-12-12 2003-10-06 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
TW473857B (en) * 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
JP2823555B2 (en) * 1997-08-12 1998-11-11 セントラル硝子株式会社 Method using chlorine trifluoride for surface cleaning of thin film forming equipment
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
WO1999020374A1 (en) * 1997-10-17 1999-04-29 Ebara Corporation Method and apparatus for processing exhaust gas of semiconductor fabrication
KR100271763B1 (en) * 1997-12-05 2001-02-01 윤종용 Apparatus and method for etching polysilicon layer
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
GB9904925D0 (en) 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
JP2000036488A (en) * 1998-07-21 2000-02-02 Speedfam-Ipec Co Ltd Wafer flattening method and system therefor
US6190507B1 (en) * 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
JP2000133494A (en) * 1998-10-23 2000-05-12 Mitsubishi Heavy Ind Ltd Microwave plasma generation device and method
KR100804853B1 (en) * 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 Chlorotrifluorine gas generator system
DE19919469A1 (en) 1999-04-29 2000-11-02 Bosch Gmbh Robert Process for plasma etching silicon
JP4321925B2 (en) 1999-08-24 2009-08-26 純一 半那 Manufacturing method of semiconductor substrate
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
JP2001267241A (en) 2000-03-10 2001-09-28 L'air Liquide Method and apparatus for cleaning, and method and apparatus for etching

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO2004002882A1 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116610924A (en) * 2023-07-17 2023-08-18 福建德尔科技股份有限公司 Chlorine trifluoride leakage prediction system and method
CN116610924B (en) * 2023-07-17 2023-09-15 福建德尔科技股份有限公司 Chlorine trifluoride leakage prediction system and method

Also Published As

Publication number Publication date
JP2005531479A (en) 2005-10-20
US8382940B2 (en) 2013-02-26
JP4499559B2 (en) 2010-07-07
DE10229037A1 (en) 2004-01-29
US20060006057A1 (en) 2006-01-12
WO2004002882A1 (en) 2004-01-08

Similar Documents

Publication Publication Date Title
WO2004002882A1 (en) Device and method for the production of chlorotrifluoride and system for etching semiconductor substrates using said device
EP2171750B1 (en) Method for etching a layer of a silicon semiconductor substrate
DE69835032T2 (en) IMPROVED METHOD TO EAT AN OXIDE LAYER
DE4202158C1 (en)
DE4104762A1 (en) METHOD AND DEVICE FOR PROCESSING A SURFACE
DE102004001099A1 (en) Oxidation process with high density plasma
EP2459767A1 (en) Cleaning of a process chamber
DE4105103C2 (en)
CN102598202B (en) For the tuning hardware of plasma ashing tool and the method for this tuning hardware of use
EP1644954B1 (en) Method for anisotropically etching a recess in a silicon substrate and use of a plasma etching system
DE19847848C1 (en) Device and generation of excited / ionized particles in a plasma
JPH1176740A (en) Decomposing method of organic fluorine based waste gas and decomposing device
WO2002062114A1 (en) Plasma unit and method for generation of a functional coating
DE69836146T2 (en) PLASMA DEPOSITION OF FILMS
DE10255988A1 (en) Process for cleaning a process chamber
EP1210468B1 (en) Method for cleaning a pvd or cvd reactor and waste-gas lines of the same
EP1287546A1 (en) Plasma etching system
EP3526812B1 (en) Method for anisotropic deep reactive-ion etching with a fluorine gas mixture
DE10045793C2 (en) Process for structuring a substrate
DE60036215T2 (en) METHODS FOR REDUCING THE DEPENDENCE OF THE PROCESS OF CHAMBER WALL CONDITIONS
WO2009103265A1 (en) Method and device for cleaning the waste gases of a processing system
DE10102745C2 (en) Process for cleaning a CVD reactor
DE19840437A1 (en) Etching process for polysilicon and etching device
EP3708547A1 (en) Component consisting of doped quartz glass for use in a plasma enhanced production process, and method for producing said component
DE202016008727U1 (en) Gas mixture and treatment chamber

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20050128

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

RBV Designated contracting states (corrected)

Designated state(s): DE FR GB NL

17Q First examination report despatched

Effective date: 20120711

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20161001