EP1139394A3 - Procédé et dispositif pour recuit assisté par champ électrique - Google Patents

Procédé et dispositif pour recuit assisté par champ électrique Download PDF

Info

Publication number
EP1139394A3
EP1139394A3 EP01302786A EP01302786A EP1139394A3 EP 1139394 A3 EP1139394 A3 EP 1139394A3 EP 01302786 A EP01302786 A EP 01302786A EP 01302786 A EP01302786 A EP 01302786A EP 1139394 A3 EP1139394 A3 EP 1139394A3
Authority
EP
European Patent Office
Prior art keywords
electric field
field assisted
semiconductor substrate
anneal
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP01302786A
Other languages
German (de)
English (en)
Other versions
EP1139394A2 (fr
Inventor
Arne W. IBM UK Ltd Intell. Prop. Law Ballantine
John IBM UK Ltd Intell. Prop. Law Ellis-Monaghan
Toshiharu IBM UK Ltd Intell. Prop. Law Furukawa
Glenn R. IBM UK Ltd Intell. Prop. Law Miller
James A. IBM UK Ltd Intell. Prop. Law Slinkman
Jeffrey D. IBM UK Ltd Intell. Prop. Law Gilbert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/538,309 external-priority patent/US6274465B1/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of EP1139394A2 publication Critical patent/EP1139394A2/fr
Publication of EP1139394A3 publication Critical patent/EP1139394A3/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/326Application of electric currents or fields, e.g. for electroforming
    • CCHEMISTRY; METALLURGY
    • C21METALLURGY OF IRON
    • C21DMODIFYING THE PHYSICAL STRUCTURE OF FERROUS METALS; GENERAL DEVICES FOR HEAT TREATMENT OF FERROUS OR NON-FERROUS METALS OR ALLOYS; MAKING METAL MALLEABLE, e.g. BY DECARBURISATION OR TEMPERING
    • C21D1/00General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering
    • C21D1/04General methods or devices for heat treatment, e.g. annealing, hardening, quenching or tempering with simultaneous application of supersonic waves, magnetic or electric fields

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)
EP01302786A 2000-03-30 2001-03-26 Procédé et dispositif pour recuit assisté par champ électrique Withdrawn EP1139394A3 (fr)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US538309 1983-10-03
US09/538,309 US6274465B1 (en) 2000-03-30 2000-03-30 DC electric field assisted anneal
US809887 2001-03-16
US09/809,887 US6552411B2 (en) 2000-03-30 2001-03-16 DC or AC electric field assisted anneal

Publications (2)

Publication Number Publication Date
EP1139394A2 EP1139394A2 (fr) 2001-10-04
EP1139394A3 true EP1139394A3 (fr) 2006-02-15

Family

ID=27065778

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01302786A Withdrawn EP1139394A3 (fr) 2000-03-30 2001-03-26 Procédé et dispositif pour recuit assisté par champ électrique

Country Status (6)

Country Link
US (1) US6822311B2 (fr)
EP (1) EP1139394A3 (fr)
JP (1) JP3914396B2 (fr)
CN (1) CN1319135C (fr)
SG (1) SG100658A1 (fr)
TW (1) TW503485B (fr)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1801861B1 (fr) * 2004-07-09 2012-10-03 Sekisui Chemical Co., Ltd. Méthode et dispositif pour traiter la périphérie extérieure d'un substrat
US7501666B2 (en) 2004-08-06 2009-03-10 Sumitomo Electric Industries, Ltd. Method for forming p-type semiconductor region, and semiconductor element
JP5495920B2 (ja) * 2010-04-23 2014-05-21 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハの熱処理方法
CN103258912B (zh) * 2013-05-13 2016-04-13 华南师范大学 一种微构造硅雪崩二极管的制备方法
CN103268858B (zh) * 2013-05-13 2015-11-18 华南师范大学 一种近红外光电硅材料的制备方法
CN111333348A (zh) * 2020-03-10 2020-06-26 醴陵旗滨电子玻璃有限公司 化学强化方法、化学强化装置和化学强化玻璃
US20210367046A1 (en) * 2020-04-06 2021-11-25 Tokyo Electron Limited Semiconductor manufacturing platform with in-situ electrical bias and methods thereof
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) * 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671845A (en) * 1985-03-22 1987-06-09 The United States Of America As Represented By The Secretary Of The Navy Method for producing high quality germanium-germanium nitride interfaces for germanium semiconductors and device produced thereby
JPS63138741A (ja) * 1986-12-01 1988-06-10 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体基板のアニ−ル装置
JPH0621064A (ja) * 1992-07-06 1994-01-28 Seiko Epson Corp 半導体装置の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60224272A (ja) * 1984-04-20 1985-11-08 Nec Corp 絶縁基板mis型電界効果トランジスタの製造方法
US5343064A (en) * 1988-03-18 1994-08-30 Spangler Leland J Fully integrated single-crystal silicon-on-insulator process, sensors and circuits
JPH04280425A (ja) 1991-03-07 1992-10-06 Sony Corp 配線形成方法
US5279976A (en) 1991-05-03 1994-01-18 Motorola, Inc. Method for fabricating a semiconductor device having a shallow doped region
DE69326123T2 (de) 1992-06-24 1999-12-23 Seiko Epson Corp Dünnfilmtransistor und verfahren zur herstellung eines dünnfilmtransistors
US5412242A (en) 1993-04-14 1995-05-02 Yeda Research And Development Co., Ltd. Semiconductor device with p-n junction based on dopant profile in equilibrium with internal electric field created by this junction
US5463244A (en) 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
JPH09232532A (ja) * 1996-02-22 1997-09-05 Toshiba Corp 強誘電体メモリの製造方法
US5729094A (en) 1996-04-15 1998-03-17 Massachusetts Institute Of Technology Energetic-electron emitters
JP4139907B2 (ja) 1996-05-08 2008-08-27 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド イオン注入方法、集積回路製造プロセス、および集積回路mos製造プロセス
US6033587A (en) * 1996-09-20 2000-03-07 Georgia Tech Research Corporation Method and apparatus for low energy electron enhanced etching and cleaning of substrates in the positive column of a plasma
CN1168089C (zh) * 1998-07-28 2004-09-22 Lg电子株式会社 光记录介质的缺陷管理区划分方法和缺陷管理方法
US6185355B1 (en) 1998-09-01 2001-02-06 Henry H. Hung Process for making high yield, DC stable proton exchanged waveguide for active integrated optic devices
US6623865B1 (en) * 2000-03-04 2003-09-23 Energenius, Inc. Lead zirconate titanate dielectric thin film composites on metallic foils
US6274465B1 (en) 2000-03-30 2001-08-14 International Business Machines Corporataion DC electric field assisted anneal

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671845A (en) * 1985-03-22 1987-06-09 The United States Of America As Represented By The Secretary Of The Navy Method for producing high quality germanium-germanium nitride interfaces for germanium semiconductors and device produced thereby
JPS63138741A (ja) * 1986-12-01 1988-06-10 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体基板のアニ−ル装置
JPH0621064A (ja) * 1992-07-06 1994-01-28 Seiko Epson Corp 半導体装置の製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 012, no. 397 (E - 672) 21 October 1988 (1988-10-21) *
PATENT ABSTRACTS OF JAPAN vol. 018, no. 225 (E - 1541) 22 April 1994 (1994-04-22) *

Also Published As

Publication number Publication date
SG100658A1 (en) 2003-12-26
JP2001319888A (ja) 2001-11-16
CN1323061A (zh) 2001-11-21
JP3914396B2 (ja) 2007-05-16
EP1139394A2 (fr) 2001-10-04
CN1319135C (zh) 2007-05-30
TW503485B (en) 2002-09-21
US20030201515A1 (en) 2003-10-30
US6822311B2 (en) 2004-11-23

Similar Documents

Publication Publication Date Title
SE9501310D0 (sv) A method for introduction of an impurity dopant in SiC, a semiconductor device formed by the mehtod and a use of a highly doped amorphous layer as a source for dopant diffusion into SiC
EP0989614A3 (fr) TFT avec une structure LDD et sa méthode de fabrication
EP1197988A3 (fr) Dispositif d&#39; élévateur pour plusieurs plaquettes et procédé
EP1132960A3 (fr) Dispositif semi-conducteur et son procédé de fabrication
EP0768715A3 (fr) Dispositif semi-conducteur à canal gradué et méthode de fabrication
EP1198001A3 (fr) Methode d&#39;essaiage et d&#39;assemblage des dispositifs par des structures de contact élastiques
EP2362423A3 (fr) Dispositif semi-conducteur vertical de puissance et sa méthode de fabrication
EP1019954A4 (fr) Procede et appareil de recuit a basse temperature intervenant apres metallisation de microstructures destinees a un dispositif micro-electronique
EP1648027A3 (fr) DRAM avec dopage de canal asymétrique
EP1968119A3 (fr) Procédé de fabrication d&#39;une couche d&#39;oxyde sur une couche de carbure de silicium utilisant un recuit dans un environnement contenant de l&#39;hydrogène
AU3530000A (en) Apparatus and method for determining the active dopant profile in a semiconductor wafer
EP0712155A3 (fr) Procédé de formation d&#39;un contact ohmique entre des ílots facilement dopés et une couche de siliciure enterrée et applications
EP1811572A3 (fr) Dispositif à semi-conducteur et convertisseur de puissance utilisant ce même dispositif à semi-conducteur
EP1030378A3 (fr) Element semi-conducteur luminescent et méthode de fabrication
AU2002217545A1 (en) Semiconductor device and its manufacturing method
TW356571B (en) Method of forming stress adjustable insulator film semiconductor device and its fabrication method
EP1139394A3 (fr) Procédé et dispositif pour recuit assisté par champ électrique
EP1229155A4 (fr) Plaquette de silicium, plaquette de silicium epitaxiale, plaquette de recuit et procede de production de ces plaquettes
HK1053012B (zh) 半導體器件及其形成方法
AU6613000A (en) Apparatus and method for texture analysis on semiconductor wafers
EP1434260A3 (fr) Méthode de fabrication de transistors LDMOS
EP1251565A3 (fr) Dispositif de réception de la lumière
EP1455386A3 (fr) Dispositif semi-conducteur et sa méthode de fabrication
EP1475566A3 (fr) Méthode et dispositif pour le traitement de carburant à l&#39;aide de couplage magnétique
EP2264745A3 (fr) Appareil qui contient des circuits integrés avec des dispositifs TFT, et methode pour leur fabrication

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Free format text: AL;LT;LV;MK;RO;SI

PUAL Search report despatched

Free format text: ORIGINAL CODE: 0009013

AK Designated contracting states

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

AX Request for extension of the european patent

Extension state: AL LT LV MK RO SI

RIC1 Information provided on ipc code assigned before grant

Ipc: C21D 1/04 20060101ALI20051228BHEP

Ipc: H01L 21/22 20060101ALI20051228BHEP

Ipc: H01L 21/00 20060101AFI20010627BHEP

17P Request for examination filed

Effective date: 20060315

17Q First examination report despatched

Effective date: 20060508

AKX Designation fees paid

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20070816