DE60012807T2 - Plasma-Reinigungsverfahren für Öffnungen in Isolierschicht(en) niedriger Dielektrizitätskonstante über Kupferleitungs-IC-Strukturen - Google Patents

Plasma-Reinigungsverfahren für Öffnungen in Isolierschicht(en) niedriger Dielektrizitätskonstante über Kupferleitungs-IC-Strukturen Download PDF

Info

Publication number
DE60012807T2
DE60012807T2 DE60012807T DE60012807T DE60012807T2 DE 60012807 T2 DE60012807 T2 DE 60012807T2 DE 60012807 T DE60012807 T DE 60012807T DE 60012807 T DE60012807 T DE 60012807T DE 60012807 T2 DE60012807 T2 DE 60012807T2
Authority
DE
Germany
Prior art keywords
copper
plasma
openings
layer
sidewalls
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60012807T
Other languages
English (en)
Other versions
DE60012807D1 (de
Inventor
Joe W. San Jose Zhao
Wei-Jen Sunnyvale Hsia
Wilbur G. Saratoga Catabay
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Corp
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Publication of DE60012807D1 publication Critical patent/DE60012807D1/de
Application granted granted Critical
Publication of DE60012807T2 publication Critical patent/DE60012807T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

  • HINTERGRUND DER ERFINDUNG
  • 1. Gebiet der Erfindung
  • Diese Erfindung betrifft integrierte Schaltungsstrukturen. Insbesondere betrifft diese Erfindung ein Verfahren zum Beseitigen von Ätzrückständen, einschließlich Kupferoxiden, aus Öffnungen, die durch eine oder mehrere, über Kupfermetallisierung gebildeten Schichten aus Materialien mit niedriger Dielektrizitätskonstante gebildet sind.
  • 2. Beschreibung der verwandten Technik
  • Beim Aufbau von integrierten Schaltungsstrukturen wurden herkömmlicherweise dielektrische Materialien wie beispielsweise Siliziumoxid (SiO2) verwendet, um leitfähige Elemente der integrierten Schaltungsstruktur galvanisch voneinander zu trennen und gegeneinander zu isolieren. Mit immer mehr abnehmenden Abständen zwischen solchen leitfähigen Elementen in der integrierten Schaltungsstruktur ist jedoch die Kapazität zwischen solchen leitfähigen Elementen durch das Siliziumoxid-Dielektrikum zunehmend zu einem Problem geworden. Eine solche Kapazität hat auf vielerlei Weise einen negativen Einfluss auf die Gesamtleistung der integrierten Schaltungsstruktur, darunter ihr Einfluss auf die Geschwindigkeit der Schaltungen und die Kreuzkopplung (gegenseitige Beeinflussung) benachbarter leitfähiger Elemente.
  • Aufgrund dieses ständig wachsenden Problems der Kapazität zwischen benachbarten, durch Siliziumoxidisolierung getrennten, leitfähigen Elementen mit immer weiter abnehmender Größe der integrierten Schaltungsstrukturen, wurde der Einsatz anderer Isoliermaterialien mit niedrigeren Dielektrizitätskonstanten als herkömmliches Siliziumoxid (SiO2) vorgeschlagen. Eine Klasse solcher Materialien ist ein Organosiliziumoxidmaterial, bei dem mindestens ein Teil der an die Siliziumatome gebundenen Sauerstoffatome durch eine oder mehrere organische Gruppen ersetzt ist, beispielsweise durch eine Alkylgruppe wie eine Methyl- (CH3–) Gruppe. Solche Organosiliziumoxid-Dielektrika haben Dielektrizitätskonstanten zwischen ungefähr 2,4 und ungefähr 3,5 und sind daher als Ersatz mit niedriger Dielektrizitätskonstante für das herkömmliche Siliziumoxidisoliermaterial (SiO2) von großem Interesse.
  • Während der Ersatz einiger der in einem Siliziumoxidisoliermaterial an die Siliziumatome gebundenen Sauerstoffatome durch eine oder mehrere organische Gruppen eine vorteilhafte Wirkung hat, indem er die Dielektrizitätskonstante des Organosiliziumoxidmaterials senkt und daher die Kapazität zwischen durch ein solches dielektrisches Material getrennten leitfähigen Elementen senkt, hat sich herausgestellt, dass die zwischen den Siliziumatomen und den organischen Radikalen gebildete Bindung nicht so stabil ist, wie die Silizium-Sauerstoff-Bindung in herkömmlichen Siliziumoxidmaterialien (SiO2).
  • Wenn insbesondere zum Beispiel die Oberfläche einer solchen Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante Oxidations- oder "Veraschungs"-Systemen ausgesetzt wird, die verwendet werden, um eine Fotolackmaske von der Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante zu beseitigen, nachdem Öffnungen darin gebildet wurden, hat sich herausgestellt, dass der Veraschungsprozess Schäden an den Bindungen (Trennung) zwischen den organischen Radikalen und den Siliziumatomen verursacht, die an die dieser Veraschungsbehandlung ausgesetzten Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante angrenzen. Diese Trennung der Organo-Siliziumbindungen führt ihrerseits dazu, dass organische Materialien, die zuvor an die Siliziumatome gebunden waren, zusammen mit den organischen Fotolackmaterialien beseitigt werden, die von der integrierten Schaltungsstruktur entfernt werden. Die Siliziumatome, von denen die organischen Radikale getrennt wurden und die in der beschädigten Oberfläche der Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante zurück bleiben, haben freie Bindungen, die sehr reaktiv sind und zu Wasserabsorptionsstellen werden, falls und wenn die beschädigte Oberfläche Feuchtigkeit ausgesetzt wird.
  • In der gleichzeitig schwebenden U.S.-Patentanmeldung Verzeichnis Nr. C3-4302, mit dem Titel "VERFAHREN ZUR BEHANDLUNG BESCHÄDIGTER OBERFLÄCHEN VON ORGANOSILIZIUMOXID-ISOLIERMATERIALIEN MIT NIEDRIGER DIELEKTRI-ZITÄTSKONSTANTE, UM DIE FEUCHTIGKEITSAUFNAHME ZU HEMMEN", die durch zwei von uns mit einer weiteren Person am selben Tag wie diese Anmeldung eingereicht wurde, und die dem Inhaber dieser Anmeldung übertragen wurde, wird vorgeschlagen, solche beschädigten Seitenwände mit entweder einem Wasserstoffplasma oder einem Stickstoffplasma zu behandeln. Eine solche Behandlung mit einem Wasserstoff- oder Stickstoffplasma führt dazu, dass der Wasserstoff bzw. Stickstoff an die Siliziumatome mit freien Bindungen gebunden wird, die in der beschädigten Oberfläche der Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante zurückgeblieben sind, um das Organomaterial zu ersetzen, das an der beschädigten Oberfläche von solchen Siliziumatomen getrennt wurde. Die Absorption von Feuchtigkeit in der beschädigten Oberfläche der Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante durch die Verbindung dieses Siliziums mit Wasser wird dadurch verhindert.
  • Dieses Problem der potenziellen Schädigung der Organosiliziumoxid-Isolierschicht mit niedriger Dielektrizitätskonstante wird jedoch verschärft, wenn Kupfer als Metallverbindung unter der Durchkontaktierung oder Öffnung vorhanden ist, da die zur Bildung der Öffnungen verwendeten Ätzmittel und/oder die entweder zum Beseitigen der Ätzrückstände oder der Fotolackmaske angewandten chemischen Reinigungsbehandlungen auch mit möglicherweise vorhandenen freiliegenden Kupferoberflächen reagieren und Kupferoxide bilden können, die von der Öffnung (Durchkontaktierung) entfernt werden müssen, bevor die Öffnung mit elektrisch leitfähigen Materialien gefüllt wird. Kupfer wird manchmal als Metallverbindungsmaterial verwendet (und ist daher am Boden der Durchkontaktierung vorhanden), da es gegenüber anderen, herkömmlich als Verbindungsmaterial in integrierten Schaltungsstrukturen verwendeten Metallen wie Aluminium, Wolfram oder Titan ein überlegener elektrischer Leiter ist. Außerdem führt der selbe Wunsch nach schnelleren Schaltungen, der zur Verwendung von Isoliermaterialien mit niedriger Dielektrizitätskonstante führt (um die geschwindigkeitsreduzierende Kapazität abzuschwächen) auch zum Einsatz von Kupfer als Verbindungsmaterial, so dass in der selben integrierten Schaltungsstruktur mit der Anwesenheit von Kupfer und Isoliermaterial mit niedriger Dielektrizitätskonstante gerechnet werden muss.
  • In der Vergangenheit wurden Argonplasmas verwendet, um Ätzrückstände aus Öffnungen zu entfernen, die in herkömmlichen Isoliermaterialien wie Siliziumoxid (SiO2) über Metallverbindungen aus anderen Metallen als Kupfer gebildet sind. Die Verwendung von Argon als Reinigungsgas kann jedoch auch zu unerwünschtem Sputtern des durch die Öffnung freigelegten, unterliegenden Kupfermetalls führen. Dies wiederum kann zur Ablagerung von solch gesputtertem Kupfer auf den Seitenwänden mit Isolierung mit geringem k der Durchkontaktierung führen. Da Kupfer (im Gegensatz zu anderen Metallen) bekanntermaßen in das Isoliermaterial migriert, müssen solche Ablagerungen von Kupfer auf den Seitenwänden der Durchkontaktierung vermieden werden. Einer von uns hat vor Kurzem in Zhao et al. U.S. Patent Nr. 5,660,682, das dem Inhaber dieser Erfindung übertragen wurde, vorgeschlagen, zur Entfernung von Ätzrückständen ein Gemisch aus Wasserstoff- und Argongas statt dem herkömmlichen Argonplasma zu verwenden, die Gefahr des Sputterns des Kupfers durch das Argon im Argon-Wasserstoff-Gemisch bleibt jedoch bestehen.
  • DE-A-196 27 017 bietet ein Verfahren für das selektive Auftragen eines Metallfilms in einer Öffnung in einer auf einem Halbleitersubstrat gebildeten Isolierschicht. Die Öffnung legt mindestens eine Oberfläche einer Metallschicht, einer Halbleiterschicht oder eines Halbleitersubstrats frei. Das Verfahren umfasst die Schritte des Aussetzens einer Oberfläche einer Isolierschicht und der Substratoberfläche an ein Gasplasma, das aus einem Edelgas und/oder Wasserstoff besteht, des Aussetzens der Isolierschicht an ein Gas, das von Fluor verschiedene Halogenatome enthält und des selektiven Auftragens eines Metallfilms in der Öffnung der Isolierschicht.
  • EP-A-0 518 774 beschreibt ein Verfahren zur Reinigung von oxidierten metallisierten Oberflächen, die in der Herstellung von Leiterplatten für Vernetzungen verwendet werden, die mindestens folgendes umfassen: eine erste metallisierte leitfähige Schicht, eine dielektrische Schicht, die auf die metallisierte Schicht aufgetragen wird und dann geätzt wird, um die zu metallisierenden Oberflächen oder Kontaktöffnungen freizulegen, und eine zweite metallisierte Schicht, die auf die geätzte Oberfläche aufgetragen wird. Die geätzten Leiterplatten werden vor dem Auftragen der zweiten metallisierten Schicht mit Mikrowellen-Multipolar-Plasma unter Wasserstoff behandelt.
  • WO-A-99 34424 (nach dem Prioritätsdatum der vorliegenden Anmeldung veröffentlicht) beschreibt ein Vorreinigungsverfahren vor der Metallisierung für Submikron-Merkmale auf Substraten. Das Verfahren umfasst die Reinigung der Submikron-Merkmale mit Radikalen aus einem Plasma eines reaktiven Gases wie beispielweise Sauerstoff, einem Gemisch aus CF4/O2, oder einem Gemisch aus He/NF3, wobei das Plasma vorzugsweise von einer entfernten Plasmaquelle erzeugt wird und die Radikale in eine Kammer gefördert werden, in der das Substrat angeordnet ist. In den Submikron-Merkmalen zurückbleibende native Oxide werden vorzugsweise in einem zweiten Schritt durch Behandlung mit Radikalen aus einem Wasserstoff enthaltenden Plasma reduziert. Im Anschluss an den ersten oder an beiden Vorreinigungsschritte können die Merkmale durch verfügbare Metallisierungsverfahren mit Metall gefüllt werden, wobei die Metallisierungsverfahren typischerweise das Auftragen einer Sperr-/Abdeckschicht auf freiliegende dielektrische Oberflächen umfassen, bevor Aluminium, Kupfer oder Wolfram aufgetragen wird. Die Vorreinigungs- und Metallisierungsschritte können auf verfügbaren integrierten Verarbeitungsplattformen durchgeführt werden.
  • Es wäre daher wünschenswert ein Verfahren zur Reinigung von in Isoliermaterial mit niedriger Dielektrizitätskonstante gebildeten Öffnungen wie Durchkontaktierungen, Gräben usw. bereitzustellen, um Ätzrückstände zu entfernen und außerdem Kupferoxidrückstände in solchen Öffnungen zu entfernen, ohne Kupfer auf die freiliegenden Oberflächen des Isoliermaterials mit niedriger Dielektrizitätskonstante zu sputtern.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Dementsprechend bietet die vorliegende Erfindung ein Verfahren zum Entfernen von Ätzrückständen von einer oder mehreren, in einer oder mehreren Schichten eines Isoliermaterials mit niedriger Dielektrizitätskonstante gebildeten Öffnungen, wobei die Schichten über einer Kupfermetall-Verbindungsschicht einer, auf einem Halbleitersubstrat gebildeten integrierten Schaltungsstruktur gebildet sind, wobei das Verfahren die Reinigung freiliegender Teile der Oberfläche der Kupfer-Verbindungsschicht am Boden der einen oder mehreren Öffnungen umfasst, indem ein Wasserstoffplasma bereitgestellt wird und eine Bias-Spannung an das Substrat angelegt wird, um das Wasserstoffplasma dabei zu unterstützen, den Boden der einen oder mehreren, an die Kupferoberfläche angrenzenden Öffnungen zu erreichen, um dadurch die Ätzrückstände zu entfernen und die freiliegenden Teile der Kupferoberfläche zu reinigen, ohne das Ablagern von Kupfer auf den Seitenwänden in der einen oder den mehreren Öffnungen zu verursachen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist ein Flussdiagramm, das die bevorzugte Ausführungsform der Erfindung darstellt.
  • 2 ist eine fragmentarische Senkrechtschnittansicht einer integrierten Schaltungsstruktur, die eine Fotolackmaske zeigt, die zum Bilden einer Öffnung in einer einzigen Schicht eines Materials mit niedriger Dielektrizitätskonstante über einer Kupferverbindungsschicht einer integrierten Schaltungsstruktur verwendet wurde.
  • 3 ist eine fragmentarische Senkrechtschnittansicht der Struktur aus 2 nach Entfernen der Fotolackmaske.
  • 4 ist eine fragmentarische Senkrechtschnittansicht der Struktur aus 3, die Ätzrückstände, einschließlich Kupferoxidrückstände zeigt, die durch ein anisotropes Ätzen mit einem Wasserstoffplasma entfernt werden.
  • 5 ist eine fragmentarische Senkrechtschnittansicht einer weiteren integrierten Schaltungsstruktur, die eine erste Fotolackmaske zeigt, die zum Bilden einer Öffnung in einer, über einer ersten Schicht eines Materials mit niedriger Dielektrizitätskonstante über einer Kupferverbindungsschicht einer integrierten Schaltungsstruktur gebildeten Siliziumnitrid-Ätz-Stoppschicht verwendet wird.
  • 6 ist eine fragmentarische Senkrechtschnittansicht der Struktur aus 5 nach Entfernen der ersten Fotolackmaske, die eine zweite, über der Siliziumnitrid-Ätz-Stoppschicht gebildete Schicht eines Materials mit niedriger Dielektrizitätskonstante und eine zweite, über der zweiten Schicht des Materials mit niedriger Dielektrizitätskonstante gebildete Fotolackmaske zeigt.
  • 7 ist eine fragmentarische Senkrechtschnittansicht der Struktur aus 6 nach dem Ätzen von Öffnungen durch die erste und die zweite Schicht des Materials mit niedriger Dielektrizitätskonstante und dem Entfernen der zweiten Fotolackmaske.
  • 8 ist eine fragmentarische Senkrechtschnittansicht der Struktur aus 7, die Ätzrückstände, einschließlich Kupferoxidrückständen zeigt, die durch ein anisotropes Ätzen mit einem Wasserstoffplasma entfernt werden.
  • AUSFÜHRLICHE BESCHREIBUNG DER ERFINDUNG
  • Die Erfindung umfasst ein Verfahren zum Entfernen von Ätzrückständen aus einer oder mehreren, in einer oder mehreren Schichten eines Isoliermaterials mit niedriger Dielektrizitätskonstante über einer Kupfermetall-Verbindungsschicht gebildeten Öffnungen einer integrierten Schaltungsstruktur, gemäß der Definition in Anspruch 1.
  • a. Die Ausführungsform von Figuren 2–4
  • In 24 ist das Verfahren der Erfindung in seiner einfachsten Form dargestellt, wobei eine Durchkontaktierung durch eine einzige, über einer Kupfermetall-Verbindungsschicht gebildeten Schicht eines Isoliermaterials mit niedriger Dielektrizitätskonstante geätzt wird und die resultierenden Ätzrückstände, die von der Bildung der Durchkontaktierung und dem Entfernen der Fotolackmaske zurückbleiben, ohne Sputtern des unterliegenden Kupfermetalls entfernt werden.
  • 2 zeigt ein Halbleitersubstrat 2 wie beispielsweise ein Siliziumsubstrat mit einer darin gebildeten integrierten Schaltungsstruktur wie beispielsweise Transistoren (nicht gezeigt) mit einer darauf gebildeten ersten Isolierschicht 10 und einer über der ersten Isolierschicht 10 gebildeten Kupferverbindungsschicht 20. Die erste Isolierschicht 10 (die nicht Bestandteil der vorliegenden Erfindung ist) kann ein herkömmliches Siliziumoxid-Isoliermaterial (SiO2) umfassen oder sie kann ein Isoliermaterial mit niedriger Dielektrizitätskonstante umfassen. Die Kupferverbindungsschicht 20 umfasst eine herkömmliche gemusterte Schicht aus Kupfer, die als erste Verdrahtungsebene der integrierten Schaltungsstruktur dient und durch in der ersten Isolierschicht 10 gebildete Kontaktöffnungen (nicht gezeigt) selektiv mit verschiedenen Kontakten am Substrat verbunden ist.
  • Über der Kupferverbindungsschicht 20 befindet sich eine Schicht 30 aus Isoliermaterial mit niedriger Dielektrizitätskonstante (niedrigem k). Mit dem Begriff "Isoliermaterial mit niedriger Dielektrizitätskonstante" ist ein Isoliermaterial gemeint, dessen Dielektrizitätskonstante unter ungefähr 4,0 und vorzugsweise unter ungefähr 3,5 liegt. Über der Isolierschicht mit niedrigem k 30 ist eine dünne Schutzschicht 40 aus einem Material wie beispielsweise Siliziumnitrid gebildet, die dazu dient, die Isolierschicht mit niedrigem k 30 während der anschließenden Entfernung der über der Abdeck-Schutzschicht 40 gebildeten Fotolackmaske 50 zu schützen. Die Dicke der Schutzschicht 40, die nachfolgend als Siliziumnitridschicht 40 bezeichnet wird, kann zwischen ungefähr 15 nm und ungefähr 300 nm liegen. Die Fotolackmaske 50 wird mit einer oder mehreren Öffnungen darin gebildet, was durch die Öffnung 54 in 2 veranschaulicht wird, durch die die Isolierschicht mit niedrigem k 30 (und die darauf befindliche Siliziumnitridschicht 40) geätzt wird, um die in 2 gezeigte Durchkontaktierung 34 zu bilden. Die Siliziumnitridschicht 40 kann durch die Maskenöffnung 54 typischerweise mit einem CHF3– und O2-Plasma geätzt werden, während die Isolierschicht mit niedrigem k mit einem Siliziumoxid-Ätzmittelsystem wie beispielsweise einem CF4– und H2-Plasma oder einem CF4, C4F8– und Argonplasma geätzt werden kann.
  • Wie in 2 gezeigt, kann das Ätzen der Isolierschicht mit niedrigem k 30, um die Durchkontaktierung 34 zu bilden, zur Bildung einiger Ätzrückstände 36 in der Durchkontaktierung 34 über der Kupferoberfläche der unterliegenden Kupferverbindungsschicht führen. Außerdem kann, wie in 3 gezeigt, das anschließende Entfernen der Fotolackmaske 50 zu weiteren Ätzrückständen 38 in der Durchkontaktierung 34 führen, die Kupferoxid enthalten können, das während dem Entfernen der Fotolackmaske 50 durch Reaktion der Fotolack-Entfernungschemikalien mit solchen freiliegenden Teilen der Kupferverbindungsschicht 20 im Boden der Durchkontaktierung 34 über der freiliegenden Kupferoberfläche im Boden der Durchkontaktierung 34 gebildet wurde. Die freiliegende Kupferoberfläche im Boden der Durchkontaktierung 34 muss vor dem Füllen der Durchkontaktierung 34 mit Metall auf jeden Fall gereinigt werden, um solche Materialien, einschließlich dem Kupferoxid, zu entfernen, um die Bildung eines guten ohmschen Kontakts zwischen der Kupferverbindungsschicht 20 und dem zum Füllen der Durchkontaktierung 34 verwendeten Metall sicherzustellen.
  • Herkömmlicherweise wurde, wenn es sich bei der unterliegenden Metallverbindungsschicht um Aluminium oder ein anderes Metall (außer Kupfer) handelte, die Metalloberfläche mit einem Argonplasma gereinigt, um solche Ätzrückstände und Metalloxide zu entfernen. Ein solches Argonplasma kann jedoch auch zum Sputtern eines Teils des freiliegenden Metalls im Boden der Durchkontaktierung führen. Wenn es sich bei dem gesputterten Metall um Kupfer handelt, kann die daraus folgende Wiederablagerung des gesputterten Kupfers auf den Seitenwänden der Durchkontaktierung schädliche Auswirkungen haben, da die Kupferatome im Gegensatz zu vielen anderen, herkömmlich bei der Herstellung von integrierten Schaltungsstrukturen verwendeten Metallen, in die Wände des Isoliermaterials diffundieren oder migrieren. Es ist daher wichtig, dass dieses Sputtern von Kupfer unterdrückt oder ausgeschlossen wird.
  • b. Der Wasserstoffplasma-Reinigungsschritt
  • Gemäß der Erfindung werden die freiliegenden Kupferoberflächen am Boden der Durchkontaktierung 34 gereinigt, um Oxide und Ätzrückstände, einschließlich den Kupferoxidrückständen, mit einem Wasserstoffplasma aus der Durchkontaktierung 34 zu entfernen, das chemisch mit den Ätzrückständen reagiert, einschließlich dem Kupferoxid, statt das unterliegende Kupfer zu sputtern. Um das Kupfer mit einem solchen Wasserstoffplasma zu reinigen, wird das Substrat 2 in eine Hochvakuumkammer gelegt, wo es andauernd einem Druck von ungefähr 0,1 milliTorr (1 Torr = 133 Pa) bis ungefähr 10 milliTorr, typischerweise ungefähr 0,5 milliTorr und einer Temperatur zwischen ungefähr Raumtemperatur (20 °C) und ungefähr 300 °C und typischerweise ungefähr 150 °C ausgesetzt wird. Wie unter 6 in 4 gezeigt, wird am Substrat 2 eine negative rf-Bias-Spannung angelegt, die zwischen ungefähr – 200 Volt und -400 Volt liegt und typischerweise ungefähr -300 Volt beträgt. Diese negative Bias-Spannung wird praktischerweise über die Substratauflage (nicht gezeigt), auf der das Substrat 2 in der Vakuumkammer liegt, an das Substrat 2 angelegt. Diese Substrat-Bias-Spannung ist sehr wichtig, um sicherzustellen, dass die Wasserstoffatome im Plasma den Boden der Durchkontaktierung 34 erreichen, statt auf die Seitenwände der Durchkontaktierung 34 aufzuprallen. Das heißt, sie stellt sicher, dass der Fluss der Wasserstoffatome in der Durchkontaktierung anisotrop ist. Dies ist wichtig, da sich die Ätzrückstände und die zu reinigende Kupferverbindungsoberfläche am Boden der Durchkontaktierung 34 befinden.
  • Dann wird Wasserstoffgas in die Kammer geleitet, und zwar mit einer Strömungsgeschwindigkeit, die einem Fluss von ungefähr 1 Normkubikzentimeter pro Minute (sccm) bis ungefähr 100 sccm in eine 5 Liter Vakuumkammer entspricht und das Plasma wird dann entzündet und durch eine getrennte herkömmliche Plasmaenergiequelle mit Energie versorgt, die bei einer Leistung von ungefähr 20 Watt bis ungefähr 400 Watt, typischerweise 300 Watt gehalten werden kann. Außerdem kann anfangs eine kleine Menge Stickstoffgas, z. B. etwa 100 sccm in die Vakuumkammer geleitet werden, um die Entzündung des Plasmas zu erleichtern, dieser Stickstoffstrom wird dann jedoch abgestellt, sobald das Plasma entzündet ist. Das Substrat wird dem Plasma während einer Dauer ausgesetzt, die ausreicht, um alle verbleibenden Ätzrückstände 36 sowie Kupferoxidrückstände 38 vom freiliegenden Teil der Kupferverbindungsschicht 20 am Boden der Durchkontaktierung 34 zu entfernen. Die Reinigungsdauer liegt üblicherweise zwischen ungefähr 5 Sekunden und ungefähr 5 Minuten und beträgt typischerweise ungefähr 1 Minute.
  • Nachdem der Reinigungsschritt abgeschlossen ist, kann das gereinigte Substrat, vorzugsweise unter Vakuum, in eine PVD- (Physical Vapour Deposition) Kammer verlegt werden, wo dann die herkömmliche Verarbeitung stattfindet, um eine Durchkontaktierungs-Auskleidung zu bilden und dann die ausgekleidete Durchkontaktierung mit Kupfer zu füllen. Beispielsweise kann ein Durchkontaktierungs-Auskleidungsmaterial wie beispielsweise Tantalmetall oder Tantalnitrid über die Seitenwandoberflächen der Durchkontaktierung 34 und den freiliegenden Teil der Kupferverbindungsschicht 20 am Boden der Durchkontaktierung 34 gesputtert werden. Die Durchkontaktierung kann dann mit einem beliebigen herkömmlichen Füllverfahren mit Kupfer gefüllt werden. Beispielsweise kann eine Keimschicht aus Kupfer über das Auskleidungsmaterial in der Durchkontaktierung gesputtert werden und die Keimschicht kann dann mit Kupfer plattiert werden.
  • c. Optionale Reparatur beschädigter Seitenwände der Durchkontaktierung vor der Reinigung
  • Es ist zu beachten, dass nach der Bildung der Durchkontaktierung 34 und nach dem Entfernen der Fotolackmaske 50, aber vor dem Reinigungsverfahren dieser Erfindung, alle Beschädigungen der Durchkontaktierungs-Seitenwände am Isoliermaterial mit niedrigem k, die entweder durch das Ätzen der Durchkontaktierung oder durch das Entfernen der Fotolackmaske entstanden sind, repariert werden können, indem das Substrat ohne angelegte Bias-Spannung einem Wasserstoffplasma ausgesetzt wird, das das beschädigte Material mit niedrigem k berührt und mit ihm reagiert, wie in der zuvor erwähnten, gleichzeitig schwebenden U.S.-Patentanmeldung Verzeichnis Nr. C3-4302, mit dem Titel "VERFAHREN ZUR BEHANDLUNG BESCHÄDIGTER OBERFLÄCHEN VON ORGANOSILIZIUM-OXID-ISOLIERMATERIALIEN MIT NIEDRIGER DIELEKTRIZITÄTSKONSTANTE, UM DIE FEUCHTIGKEITSAUFNAHME ZU HEMMEN" beschrieben und beansprucht. Dieser Reparaturschritt würde normalerweise ausgeführt, wenn der oben beschriebene optionale Passivierungsschritt vor dem Entfernen der Fotolackmaske nicht durchgeführt wurde oder wenn der vor dem Entfernen der Fotolackmaske durchgeführt Passivierungsschritt keinen ausreichenden Schutz für die Durchkontaktierungs-Seitenwand während dem Entfernen der Fotolackmaske ergeben hat.
  • d. Die Ausführungsform von Figuren 5–8
  • Unter Betrachtung von 58 wird die Erfindung jetzt bezüglich ihrer Verwendung mit einer zweischichtigen oder "Dual-Damascene"-Struktur beschrieben. In dieser in 58, in denen gleiche Elemente mit gleichen Ziffern gekennzeichnet sind, dargestellten zweiten Ausführungsform wird nach dem Bilden der Fotolackmaske 50 mit der repräsentativen Öffnung 54 darin eine Öffnung 44 durch die Siliziumnitridschicht 40 geätzt, wodurch die Siliziumnitridschicht 40 als Maske wirken kann, wie nachfolgend beschrieben wird. Die erste Isolierschicht mit niedrigem k wird jedoch nicht durch die Öffnung 54 in der Maske 50 und die Öffnung 44 in der Siliziumnitridschicht 40 geätzt. Statt dessen wird dann die Fotolackmaske 50 entfernt, gefolgt vom Auftragen einer zweiten Isolierschicht mit niedrigem k 60 über der Siliziumnitridmaske 40 und, durch die Öffnung 44, dem freiliegenden Teil der unterliegenden Isolierschicht mit niedrigem k 30, wie in 6 gezeigt.
  • Dann wird eine Abdeckschicht 70, die aus dem selben Material und dem selben Dickebereich, wie die Siliziumnitridschicht 40 gebildet sein kann, über der zweiten Isolierschicht mit niedrigem k 60 gebildet, um die obere Oberfläche der Isolierschicht mit niedrigem k 60 vor den Chemikalien zu schützen, die anschließend verwendet werden, um eine weitere Fotolackmaske zu entfernen. Dann wird die zweite Fotolackmaske 80 mit einer repräsentativen Maskenöffnung 84, die in 6 darin gezeigt ist, über der Siliziumnitrid-Abdeckschicht 70 gebildet.
  • Der Teil der Siliziumnitrid-Abdeckschicht 70, der durch die Maskenöffnung 84 freigelegt ist, wird dann durchgeätzt. Anschließend wird der freiliegende Teil der zweiten Isolierschicht mit niedrigem k 60 durch die Öffnung 84 in der Fotolackmaske 80 bis auf die Siliziumnitrid-Maskenschicht 40 geätzt und dann wird der freiliegende Teil der unterliegenden Isolierschicht mit niedrigem k 30 durch die zuvor gebildete Öffnung 44 in der Siliziumnitrid-Maskenschicht 40 geätzt (während des selben Ätzschritts und mit dem selben Ätzsystem). In diesem Fall werden die Isolierschichten mit niedrigem k 60 und 30 mit einem Siliziumoxid-Ätzsystem wie beispielsweise einem CF4–, C4F8– und Argonplasma geätzt, das selektiv auf Siliziumnitrid ist, d.h. ein Ätzsystem, das bevorzugt das Isoliermaterial mit niedrigem k und nicht das Siliziumnitrid ätzt.
  • Es ist zu beachten, dass die Öffnung 84 in der zweiten Fotolackmaske 80 viel größer ist als die Öffnung 54 in der jetzt entfernten Fotolackmaske 50. Das liegt daran, dass die durch die Maskenöffnung 84 in der zweiten Isolierschicht mit niedrigem k 60 gebildete Öffnung einen Teil eines in der zweiten Schicht mit niedrigem k 60 durch die Maskenöffnung 84 gebildeten Grabennetzwerks umfasst, das die Kupferverbindung repräsentiert, die in diesem Grabennetzwerk gebildet wird, während die Öffnung 44 in der Siliziumnitridmaske 40 die Durchkontaktierung repräsentiert, die durch die erste Isolierschicht mit niedrigem k 30 gebildet wird, um die im in der Schicht mit niedrigem k 60 zu bildenden Grabennetzwerk gebildete Kupferverbindung mit der Kupferverbindungsschicht 20 unter der Schicht mit niedrigem k 30 zu verbinden.
  • Die Fotolackmaske 80 wird dann entfernt, wobei, wie in 7 gezeigt, Ätzrückstände und Kupferoxid 38 auf dem durch den neu gebildeten Graben 64 und die neu gebildete Durchkontaktierung 34 freigelegten Teil der Kupferverbindungsschicht 20 zurückgelassen werden. Gemäß der Erfindung werden solche Rückstände durch Aussetzen an den zuvor beschriebenen Wasserstoffplasma-Reinigungsschritt aus den Gräben entfernt, wie in 8 dargestellt, wobei das Substrat 2 wieder mit einer angelegten negativen rf-Bias-Spannung gezeigt ist, um den anisotropen Fluss der Wasserstoffplasmaatome an den Boden der Gräben statt in Richtung der Seitenwände der Gräben sicherzustellen. Das Ergebnis, wie ebenfalls in 8 gezeigt, ist eine saubere Öffnung, die Gräben und Durchkontaktierungen umfasst, die für die Auskleidung mit einem Metall oder einer leitfähigen Metallverbindung und das anschließende Füllen mit Kupfer, wie in der zuvor beschriebenen Ausführungsform, bereit sind.
  • e. Beispiel
  • Um das Verfahren der Erfindung weiter zu veranschaulichen, können zwei identische Siliziumsubstrate mit darauf befindlichen Schichten mit niedrigem k 30 und 60 als Teil der in 7 gezeigten integrierten Schaltungsstruktur aufgebaut werden, d.h. mit in identischen Schichten der jeweiligen Strukturen gebildeten identischen Gräben und Durchkontaktierungen. Eine der Strukturen kann dann einer herkömmlichen Argonplasmareinigung unterzogen werden, während die andere Struktur dem Wasserstoffplasma-Reinigungsverfahren der Erfindung unterzogen wird. Beide Strukturen würden dann denselben Metallfüllungs- und anderen Verarbeitungsschritten unterzogen, um die jeweiligen Gräben und Durchkontaktierungen in beiden Strukturen mit den selben leitfähigen Materialien zu füllen, einschließlich weiteren Erwärmungsschritten. Wenn dann beide Strukturen senkrecht durchgeschnitten werden, um das an die Seitenwände der Gräben und Durchkontaktierungen angrenzende Isoliermaterial mit niedrigem k freizulegen, wird sich zeigen, dass Kupferatome, die offenbar durch das Argonreinigungsplasma gesputtert und dann auf den Seitenwänden der Gräben und Durchkontaktierungen abgelagert wurden, in das Isoliermaterial mit niedrigem k migriert sind. Im Gegensatz dazu, wäre in der mit dem Wasserstoffreinigungsplasma der Erfindung gereinigten Struktur das an die Seitenwände der Gräben und Durchkontaktierungen angrenzende Isoliermaterial mit niedrigem k frei von Kupferatomen, was darauf hinweist, dass das Wasserstoffreinigungsplasma der Erfindung keine Kupferatome gesputtert hat und daher keine Ablagerung von Kupferatomen auf den Seitenwänden der Gräben und Durchkontaktierungen stattgefunden hat, die in das Isoliermaterial mit niedrigem k hätte migrieren können.
  • So bietet die Erfindung ein Verfahren, bei dem Ätzrückstände aus in Schichten eines Isoliermaterials mit niedriger Dielektrizitätskonstante über einer Kupfermetall-Verbindungsschicht einer integrierten Schaltungsstruktur gebildeten Öffnungen entfernt werden können und freiliegende Teile der Oberfläche der Kupferverbindungsschicht am Boden der Öffnungen mit einem anisotropen Wasserstoffplasma gereinigt werden können, das eine chemische Reaktion zwischen den Ionen im Plasma und den Ätzrückständen, einschließlich Kupferoxid, auf der freiliegenden Kupferoberfläche am Boden der einen oder mehreren Öffnungen bewirkt. Freiliegende Teile der Kupferoberfläche werden daher gereinigt und die Ätzrückstände werden entfernt, ohne das Kupfer am Boden der Öffnung zu sputtern, wodurch kein Kupfer auf den Seitenwandoberflächen des Materials mit niedrigem k abgelagert wird.

Claims (7)

  1. Verfahren zum Entfernen von Ätzrückständen (36) von einer oder mehreren, in einer oder mehreren Schichten (30, 60) eines Isoliermaterials mit niedriger Dielektrizitätskonstante gebildeten Öffnungen (34), wobei die Schichten über einer Kupfermetall-Verbindungsschicht (20) einer, auf einem Halbleitersubstrat (2) gebildeten, integrierten Schaltungsstruktur gebildet sind, wobei das Verfahren die Reinigung freiliegender Teile der Oberfläche der Kupfer-Verbindungsschicht (20) am Boden der einen oder mehreren Öffnungen (34) umfasst, indem ein Wasserstoffplasma bereitgestellt wird und eine Bias-Spannung an das Substrat angelegt wird, um das Wasserstoffplasma dabei zu unterstützen, den Boden der einen oder mehreren, an die Kupferoberfläche angrenzenden Öffnungen (4) zu erreichen, um dadurch die Ätzrückstände zu entfernen und die freiliegenden Teile der Kupferoberfläche zu reinigen, ohne das Ablagern von Kupfer auf den Seitenwänden in der einen oder den mehreren Öffnungen (34) zu verursachen.
  2. Verfahren nach Anspruch 1, wobei dem Schritt des Bereitstellens des Plasmas ein Schritt der Passivierung vorangeht, wobei der Passivierungsschritt die Behandlung der Seitenwände der einen oder mehreren Öffnungen (34) mit einem aus der aus Stickstoffplasma, Sauerstoffplasma und einer Kombination aus Stickstoff- und Sauerstoffplasmas bestehenden Gruppe gewählten Plasma umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei dem Schritt des Bereitstellens des Plasmas ein Schritt der Reparatur vorangeht, wobei der Reparaturschritt die Behandlung der Seitenwände der einen oder mehreren Öffnungen (34) mit einem Wasserstoffplasma ohne Anlegen einer Bias-Spannung an das Substrat umfasst.
  4. Verfahren nach Anspruch 1, 2 oder 3, wobei es sich bei der Bias-Spannung um eine negative Bias-Spannung handelt.
  5. Verfahren nach Anspruch 4, wobei die Bias-Spannung im Bereich zwischen ungefähr -200 Volt bis ungefähr -400 Volt liegt.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei dem Schritt des Entfernens durch Wasserstoffplasma ein Schritt der Physical Vapour Deposition folgt, um eine Durchkontaktierungs-Auskleidung auf mindestens den Seitenwänden der einen oder mehreren Öffnungen (34) zu bilden.
  7. Verfahren nach Anspruch 6, wobei der Schritt der Physical Vapour Deposition zum Bilden einer Durchkontaktierungs-Auskleidung auf mindestens den Seitenwänden der einen oder mehreren Öffnungen (34) das Ablagern von Tantal auf mindestens den Seitenwänden der einen oder mehreren Öffnungen umfasst.
DE60012807T 1999-03-29 2000-03-29 Plasma-Reinigungsverfahren für Öffnungen in Isolierschicht(en) niedriger Dielektrizitätskonstante über Kupferleitungs-IC-Strukturen Expired - Lifetime DE60012807T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US281602 1999-03-29
US09/281,602 US6204192B1 (en) 1999-03-29 1999-03-29 Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures

Publications (2)

Publication Number Publication Date
DE60012807D1 DE60012807D1 (de) 2004-09-16
DE60012807T2 true DE60012807T2 (de) 2005-08-18

Family

ID=23077993

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60012807T Expired - Lifetime DE60012807T2 (de) 1999-03-29 2000-03-29 Plasma-Reinigungsverfahren für Öffnungen in Isolierschicht(en) niedriger Dielektrizitätskonstante über Kupferleitungs-IC-Strukturen

Country Status (4)

Country Link
US (1) US6204192B1 (de)
EP (1) EP1041614B1 (de)
JP (1) JP2000332112A (de)
DE (1) DE60012807T2 (de)

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524974B1 (en) 1999-03-22 2003-02-25 Lsi Logic Corporation Formation of improved low dielectric constant carbon-containing silicon oxide dielectric material by reaction of carbon-containing silane with oxidizing agent in the presence of one or more reaction retardants
GB2349392B (en) * 1999-04-20 2003-10-22 Trikon Holdings Ltd A method of depositing a layer
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
EP1087432A1 (de) * 1999-09-24 2001-03-28 Interuniversitair Micro-Elektronica Centrum Vzw Verbesserung der Qualität einer in einem Metallisierungsbad abgeschiedenen Schicht
US20030015496A1 (en) * 1999-07-22 2003-01-23 Sujit Sharan Plasma etching process
JP4471243B2 (ja) * 1999-08-27 2010-06-02 東京エレクトロン株式会社 エッチング方法およびプラズマ処理方法
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6756674B1 (en) 1999-10-22 2004-06-29 Lsi Logic Corporation Low dielectric constant silicon oxide-based dielectric layer for integrated circuit structures having improved compatibility with via filler materials, and method of making same
US6423628B1 (en) 1999-10-22 2002-07-23 Lsi Logic Corporation Method of forming integrated circuit structure having low dielectric constant material and having silicon oxynitride caps over closely spaced apart metal lines
US6391795B1 (en) 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6316354B1 (en) 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6285038B1 (en) 2000-03-01 2001-09-04 Micron Technology, Inc. Integrated circuitry and DRAM integrated circuitry
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
JP4677654B2 (ja) * 2000-04-19 2011-04-27 日本電気株式会社 透過型液晶表示装置及びその製造方法
US6506678B1 (en) 2000-05-19 2003-01-14 Lsi Logic Corporation Integrated circuit structures having low k porous aluminum oxide dielectric material separating aluminum lines, and method of making same
US6426286B1 (en) * 2000-05-19 2002-07-30 Lsi Logic Corporation Interconnection system with lateral barrier layer
US6365528B1 (en) 2000-06-07 2002-04-02 Lsi Logic Corporation Low temperature process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric-material characterized by improved resistance to oxidation and good gap-filling capabilities
US6492731B1 (en) 2000-06-27 2002-12-10 Lsi Logic Corporation Composite low dielectric constant film for integrated circuit structure
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US6368979B1 (en) 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US6350700B1 (en) 2000-06-28 2002-02-26 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure
US7125809B1 (en) * 2000-08-31 2006-10-24 Micron Technology, Inc. Method and material for removing etch residue from high aspect ratio contact surfaces
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6489242B1 (en) 2000-09-13 2002-12-03 Lsi Logic Corporation Process for planarization of integrated circuit structure which inhibits cracking of low dielectric constant dielectric material adjacent underlying raised structures
US6391768B1 (en) 2000-10-30 2002-05-21 Lsi Logic Corporation Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure
US6423630B1 (en) 2000-10-31 2002-07-23 Lsi Logic Corporation Process for forming low K dielectric material between metal lines
US6537923B1 (en) 2000-10-31 2003-03-25 Lsi Logic Corporation Process for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6420277B1 (en) 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6649219B2 (en) 2001-02-23 2003-11-18 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material characterized by improved resistance to oxidation
US6858195B2 (en) 2001-02-23 2005-02-22 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon-containing silicon oxide dielectric material
US6572925B2 (en) 2001-02-23 2003-06-03 Lsi Logic Corporation Process for forming a low dielectric constant fluorine and carbon containing silicon oxide dielectric material
US6503840B2 (en) 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US6834656B2 (en) * 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6559048B1 (en) 2001-05-30 2003-05-06 Lsi Logic Corporation Method of making a sloped sidewall via for integrated circuit structure to suppress via poisoning
US6583026B1 (en) 2001-05-31 2003-06-24 Lsi Logic Corporation Process for forming a low k carbon-doped silicon oxide dielectric material on an integrated circuit structure
US6562700B1 (en) 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6875702B2 (en) * 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6566171B1 (en) 2001-06-12 2003-05-20 Lsi Logic Corporation Fuse construction for integrated circuit structure having low dielectric constant dielectric material
US6930056B1 (en) 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6559033B1 (en) 2001-06-27 2003-05-06 Lsi Logic Corporation Processing for forming integrated circuit structure with low dielectric constant material between closely spaced apart metal lines
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6723653B1 (en) 2001-08-17 2004-04-20 Lsi Logic Corporation Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6613665B1 (en) 2001-10-26 2003-09-02 Lsi Logic Corporation Process for forming integrated circuit structure comprising layer of low k dielectric material having antireflective properties in an upper surface
US6528423B1 (en) * 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6797627B1 (en) * 2001-12-05 2004-09-28 Taiwan Semiconductor Manufacturing Company Ltd. Dry-wet-dry solvent-free process after stop layer etch in dual damascene process
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6933246B2 (en) * 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
AU2003269667A1 (en) * 2002-10-25 2004-05-13 Unaxis Balzers Ltd. Method for producing semi-conducting devices and devices obtained with this method
WO2004059708A2 (en) * 2002-12-20 2004-07-15 Agere Systems Inc. Structure and method for bonding to copper interconnect structures
KR100888150B1 (ko) * 2002-12-24 2009-03-16 동부일렉트로닉스 주식회사 반도체 소자의 트렌치 형성 방법
US7018925B2 (en) * 2003-01-06 2006-03-28 Texas Instruments Incorporated Post high voltage gate oxide pattern high-vacuum outgas surface treatment
US6703317B1 (en) * 2003-01-30 2004-03-09 Taiwan Semiconductor Manufacturing Co., Ltd Method to neutralize charge imbalance following a wafer cleaning process
JP2004247675A (ja) * 2003-02-17 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US7140374B2 (en) 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US6939796B2 (en) 2003-03-14 2005-09-06 Lam Research Corporation System, method and apparatus for improved global dual-damascene planarization
DE10320472A1 (de) * 2003-05-08 2004-12-02 Kolektor D.O.O. Plasmabehandlung zur Reinigung von Kupfer oder Nickel
US20040259375A1 (en) * 2003-06-17 2004-12-23 Derderian Garo J. Removal of metal oxidation
JP4191096B2 (ja) * 2003-07-18 2008-12-03 Tdk株式会社 磁性材を含む被加工体の加工方法及び磁気記録媒体の製造方法
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
WO2005072211A2 (en) * 2004-01-20 2005-08-11 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US7338903B2 (en) * 2004-04-24 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
JP4343798B2 (ja) * 2004-08-26 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
JP2006156486A (ja) * 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7205164B1 (en) 2005-01-19 2007-04-17 Silicon Magnetic Systems Methods for fabricating magnetic cell junctions and a structure resulting and/or used for such methods
US7309653B2 (en) * 2005-02-24 2007-12-18 International Business Machines Corporation Method of forming damascene filament wires and the structure so formed
US7049209B1 (en) 2005-04-01 2006-05-23 International Business Machines Corporation De-fluorination of wafer surface and related structure
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7582557B2 (en) * 2005-10-06 2009-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Process for low resistance metal cap
US7446034B2 (en) * 2005-10-06 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Process for making a metal seed layer
KR100712818B1 (ko) * 2005-12-16 2007-04-30 동부일렉트로닉스 주식회사 구리 배선 형성 방법
US7732322B2 (en) * 2006-02-23 2010-06-08 International Business Machines Corporation Dielectric material with reduced dielectric constant and methods of manufacturing the same
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080153282A1 (en) * 2006-12-21 2008-06-26 Texas Instruments, Incorporated Method for preparing a metal feature surface
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US7777344B2 (en) 2007-04-11 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Transitional interface between metal and dielectric in interconnect structures
US20090004851A1 (en) * 2007-06-29 2009-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Salicidation process using electroless plating to deposit metal and introduce dopant impurities
US8282842B2 (en) * 2007-11-29 2012-10-09 United Microelectronics Corp. Cleaning method following opening etch
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP4776719B2 (ja) * 2009-08-31 2011-09-21 株式会社東芝 磁気記録媒体の製造方法
US8609526B2 (en) * 2009-10-20 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Preventing UBM oxidation in bump formation processes
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5770740B2 (ja) * 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9070750B2 (en) 2013-03-06 2015-06-30 Novellus Systems, Inc. Methods for reducing metal oxide surfaces to modified metal surfaces using a gaseous reducing environment
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
CN107564888B (zh) 2016-07-01 2020-09-15 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US20200144397A1 (en) * 2018-11-05 2020-05-07 Applied Materials, Inc. Methods and apparatus for silicon-germanium pre-clean
US11955381B2 (en) 2020-06-22 2024-04-09 Applied Materials, Inc. Low-temperature plasma pre-clean for selective gap fill
US20230178361A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3012861A (en) 1960-01-15 1961-12-12 Du Pont Production of silicon
US3178392A (en) 1962-04-09 1965-04-13 Rohm & Haas Heterocyclic and linear siliconmethylene and polysiloxane compounds containing siliconmethylene units and their preparation
US3920865A (en) 1969-03-29 1975-11-18 Degussa Process of hydrophorizing highly dispersed metal or metalloid oxides
US3832202A (en) 1972-08-08 1974-08-27 Motorola Inc Liquid silica source for semiconductors liquid silica source for semiconductors
US4771328A (en) 1983-10-13 1988-09-13 International Business Machine Corporation Semiconductor device and process
US4705725A (en) 1986-11-28 1987-11-10 E. I. Du Pont De Nemours And Company Substrates with sterically-protected, stable, covalently-bonded organo-silane films
JP2874297B2 (ja) 1989-12-18 1999-03-24 東ソー株式会社 逆相クロマトグラフィー用充填剤及びその製造方法
FR2677668B1 (fr) * 1991-06-14 1993-10-15 France Telecom Procede de nettoyage de surfaces metalliques oxydees dans la fabrication de reseaux d'interconnexions et plaquettes pour de tels reseaux.
JPH0677402A (ja) 1992-07-02 1994-03-18 Natl Semiconductor Corp <Ns> 半導体デバイス用誘電体構造及びその製造方法
JP3271359B2 (ja) * 1993-02-25 2002-04-02 ソニー株式会社 ドライエッチング方法
US5364800A (en) 1993-06-24 1994-11-15 Texas Instruments Incorporated Varying the thickness of the surface silicon layer in a silicon-on-insulator substrate
US5470801A (en) 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
JPH0922896A (ja) * 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
KR100209365B1 (ko) 1995-11-01 1999-07-15 김영환 에스.오.아이 반도체 웨이퍼의 제조방법
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5882489A (en) 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5858879A (en) 1997-06-06 1999-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching metal lines with enhanced profile control
US6025263A (en) 1997-07-15 2000-02-15 Nanya Technology Corporation Underlayer process for high O3 /TEOS interlayer dielectric deposition
US5904154A (en) 1997-07-24 1999-05-18 Vanguard International Semiconductor Corporation Method for removing fluorinated photoresist layers from semiconductor substrates
US5874745A (en) 1997-08-05 1999-02-23 International Business Machines Corporation Thin film transistor with carbonaceous gate dielectric
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6066574A (en) 1998-11-06 2000-05-23 Advanced Micro Devices, Inc. Hot plate cure process for BCB low k interlevel dielectric

Also Published As

Publication number Publication date
EP1041614B1 (de) 2004-08-11
JP2000332112A (ja) 2000-11-30
US6204192B1 (en) 2001-03-20
DE60012807D1 (de) 2004-09-16
EP1041614A1 (de) 2000-10-04

Similar Documents

Publication Publication Date Title
DE60012807T2 (de) Plasma-Reinigungsverfahren für Öffnungen in Isolierschicht(en) niedriger Dielektrizitätskonstante über Kupferleitungs-IC-Strukturen
DE60038423T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE69935100T2 (de) Verfahren zur Ätzung einer Metallisierung mittels einer harten Maske
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE19521389C2 (de) Verfahren und Vorrichtung zum Herstellen einer integrierten Halbleiterschaltung
DE4214091C2 (de)
DE10244570B4 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE10261466B4 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
DE10319136A1 (de) Verbesserte mit Stickstoff angereicherte Barrierenschicht mit kleinem ε für eine Kupfermetallisierungsschicht
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102004042169A1 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE10224167A1 (de) Ein Halbleiterelement mit einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration und ein Verfahren zur Herstellung desselben
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102005057061B3 (de) Verfahren zum Entfernen einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfer-metallisierungsschicht
DE19843624C1 (de) Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
DE102007009912A1 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements
EP1132957B1 (de) Verfahren zur Herstellung einer Leiterstruktur für einen integrierten Schaltkreis
DE102004015862B4 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht in kritischen Öffnungen mittels eines abschließenden Abscheideschritts nach einer Rück-Sputter-Abscheidung
DE10339990A1 (de) Metalleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE60016423T2 (de) Ätzmethode und plasmabehandlungsmethode

Legal Events

Date Code Title Description
8364 No opposition during term of opposition