DE19929723B4 - Method of making an electrode - Google Patents

Method of making an electrode Download PDF

Info

Publication number
DE19929723B4
DE19929723B4 DE19929723A DE19929723A DE19929723B4 DE 19929723 B4 DE19929723 B4 DE 19929723B4 DE 19929723 A DE19929723 A DE 19929723A DE 19929723 A DE19929723 A DE 19929723A DE 19929723 B4 DE19929723 B4 DE 19929723B4
Authority
DE
Germany
Prior art keywords
electrode
contact hole
insulating layer
conductive material
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19929723A
Other languages
German (de)
Other versions
DE19929723A1 (en
Inventor
Georg Braun
Heinz Hönigschmid
Gerhard Dr. Beitel
Hermann Dr. Wendt
Annette Dr. Sänger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE19929723A priority Critical patent/DE19929723B4/en
Priority to PCT/DE2000/002032 priority patent/WO2001003166A1/en
Priority to TW089112753A priority patent/TW531847B/en
Publication of DE19929723A1 publication Critical patent/DE19929723A1/en
Application granted granted Critical
Publication of DE19929723B4 publication Critical patent/DE19929723B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)

Abstract

Verfahren zur Herstellung einer zu ihrem Anschluß justierten Eiektrode (10) insbesondere zur Herstellung einer zu ihrem Anschluß justierten Elektrode eines Speicherkondensators, mit den Schritten:
a) ein Substrat (1) wird bereitgestellt;
b) zumindest eine isolierender Schicht (5) wird auf das Substrat (1) aufgebracht;
c) ein Kontaktloch (6) zum Anschluß der Elektrode (10) wird in der isolierenden Schicht (5)) erzeugt;
d) das Kontaktloch (6) wird mit einem leitfähigen Material (7) vollständig aufgefüllt und das leitfähige Material (7) wird selektiv zur isolierenden Schicht (5) bis auf eine vorgegebene Höhe zurückgeätzt, so daß das Kontaktloch (6) mit dem leitfähigen Material (7) bis zu der vorgegebenen Höhe aufgefüllt wird;
e) das Kontaktloch (6) wird mit dem Elektrodenmaterial vollständig aufgefüllt; und
f) die isolierende Schicht (5) wird bis zu einer vorgegebenen Tiefe entfernt.
Method for producing an electrode (10) adjusted for its connection, in particular for producing an electrode of a storage capacitor adjusted for its connection, comprising the steps:
a) a substrate (1) is provided;
b) at least one insulating layer (5) is applied to the substrate (1);
c) a contact hole (6) for connecting the electrode (10) is produced in the insulating layer (5));
d) the contact hole (6) is completely filled with a conductive material (7) and the conductive material (7) is selectively etched back to the insulating layer (5) to a predetermined height, so that the contact hole (6) with the conductive material (7) replenished to the specified level;
e) the contact hole (6) is completely filled with the electrode material; and
f) the insulating layer (5) is removed to a predetermined depth.

Figure 00000001
Figure 00000001

Description

Die Erfindung betrifft ein Verfahren zur Herstellung einer Elektrode, insbesondere ein Verfahren zur Herstellung einer Elektrode in einer integrierten Schaltung.The invention relates to a method for producing an electrode, in particular a method for Manufacture of an electrode in an integrated circuit.

Während der letzten 25 Jahre hat sich die Speicherdichte von DRAM-Speicherbausteinen von einer Generation zur nächsten Generation jeweils vervierfacht. Das prinzipielle Design einer elementaren Speicherzelle sowie die zum Aufbau der Speicherzelle verwendeten Materialien haben sich dabei jedoch im wesentlichen nicht verändert. Eine DRAM-Speicherzelle besteht wie vor 25 Jahren aus einem Transistor und einem Kondensator, der die zur Darstellung der Information notwendige Ladung speichert. Der Kondensator der Speicherzelle besitzt dabei Elektroden aus dotiertem Silizium bzw. Polysilizium und eine zwischen den Elektroden angeordnete dielektrische Schicht aus Siliziumdioxid und/oder Siliziumnitrid.While Over the past 25 years, the memory density of DRAM memory devices has increased from one generation to the next Generation quadrupled in each case. The basic design of an elementary Memory cell and those used to construct the memory cell However, materials have essentially not changed. A DRAM memory cell consists of a transistor and a capacitor like 25 years ago, which stores the charge necessary to display the information. The capacitor of the memory cell has electrodes made of doped Silicon or polysilicon and a dielectric arranged between the electrodes Layer of silicon dioxide and / or silicon nitride.

Um die in einem Kondensator gespeicherte Ladung reproduzierbar auslesen zu können, sollte die Kapazität des Kondensators mindestens einen Wert von etwa 30 fF besitzen. Gleichzeitig mußte und muß die laterale Ausdehnung des Kondensators ständig verkleinert werden, um die genannte Erhöhung der Speicherdichte erzielen zu können. Diese an sich gegenläufigen Anforderungen an den Kondensator der Speicherzelle führten und führen zu einer immer komplexeren Strukturierung des Kondensators („Trench-Kondensatoren", „Stack-Kondensatoren", „Kronen-Kondensatoren"), um trotz kleiner werdender lateraler Ausdehnung des Kondensators eine ausreichende Kondensatorfläche bereitstellen zu können. Dementsprechend wird jedoch die Herstellung des Kondensators immer aufwendiger und damit immer teurer.The charge stored in a capacitor to be able to read out reproducibly, should be the capacity of the capacitor have at least a value of about 30 fF. At the same time and must lateral expansion of the capacitor can be constantly reduced in order the said increase to achieve the storage density. This in itself contradictory Requirements for the capacitor of the memory cell and to lead to an increasingly complex structuring of the capacitor ("trench capacitors", "stack capacitors", "crown capacitors") in order to reduce the size lateral expansion of the capacitor provide a sufficient capacitor area can. Accordingly, the manufacture of the capacitor always becomes more complex and therefore always more expensive.

Ein weiterer Weg, eine ausreichende Kapazität des Kondensators zu gewährleisten, liegt in der Verwendung anderer Materialien zwischen den Kondensatorelektroden. In letzter Zeit werden daher anstatt des herkömmlichen Siliziumoxids/Siliziumnitrids neue Materialien, insbesondere Paraelektrika und Ferroelektrika, zwischen den Kondensatorelektroden einer Speicherzelle verwendet. Diese neuen Materialien besitzen eine deutlich höhere relative Dielektrizitätskonstante (> 20) als das herkömmliche Siliziumoxid/Siliziumnitrid (< 8). Daher kann durch den Einsatz dieser Materialien, bei gleicher Kapazität und gleicher lateralen Ausdehnung der Speicherzelle, die benötigte Kondensatorfläche und damit die benötigte Komplexität der Strukturierung des Kondensators deutlich vermindert werden. Beispielsweise kommen Bariumstrontiumtitanat (BST, (Ba,Sr)TiO3), Bleizirkonattitanat (PZT, Pb(Zr,Ti)O3) oder Lanthan-dotiertes Bleizirkonattitanat zum Einsatz.Another way to ensure sufficient capacitance of the capacitor is to use other materials between the capacitor electrodes. Recently, instead of the conventional silicon oxide / silicon nitride, new materials, in particular paraelectrics and ferroelectrics, have been used between the capacitor electrodes of a memory cell. These new materials have a significantly higher relative dielectric constant (> 20) than the conventional silicon oxide / silicon nitride (<8). Therefore, by using these materials, with the same capacity and the same lateral extent of the memory cell, the required capacitor area and thus the required complexity of the structuring of the capacitor can be significantly reduced. For example, barium strontium titanate (BST, (Ba, Sr) TiO 3 ), lead zirconate titanate (PZT, Pb (Zr, Ti) O 3 ) or lanthanum-doped lead zirconate titanate are used.

Neben herkömmlichen DRAM-Speicherbausteinen werden in Zukunft auch ferroelektrische Speicheranordnungen, sogenannte FRAM's, eine wichtige Rolle spielen. Ferroelektrische Speicheranordnungen besitzen gegenüber herkömmlichen Speicheranordnungen, wie beispielsweise DRAMs und SRAMs, den Vorteil, daß die gespeicherte Information auch bei einer Unterbrechung der Spannungs- bzw. Stromversorgung nicht verloren geht sondern gespeichert bleibt. Diese Nichtflüchtigkeit ferroelektrischer Speicheranordnungen beruht auf der Tatsache, daß bei ferroelektrischen Materialien die durch ein äußeres elektrisches Feld eingeprägte Polarisation auch nach Abschalten des äußeren elektrischen Feldes im wesentlichen beibehalten wird. Auch für ferroelektrischer Speicheranordnungen kommen die bereits genannte neuen Materialien wie Bariumstrontiumtitanat (BST, (Ba, Sr)TiO3), Bleizirkonattitanat (PZT, Pb(Zr, Ti)O3) bzw. Lanthan-dotiertes Bleizirkonattitanat oder Strontiumwismuttantalat (SBT, SrBi2Ta2O9) zum Einsatz.In addition to conventional DRAM memory modules, ferroelectric memory devices, so-called FRAMs, will also play an important role in the future. Ferroelectric memory arrangements have the advantage over conventional memory arrangements, such as DRAMs and SRAMs, that the stored information is not lost, but remains stored even when the voltage or power supply is interrupted. This non-volatility of ferroelectric memory arrangements is based on the fact that in ferroelectric materials the polarization impressed by an external electrical field is essentially retained even after the external electrical field has been switched off. The new materials already mentioned, such as barium strontium titanate (BST, (Ba, Sr) TiO 3 ), lead zirconate titanate (PZT, Pb (Zr, Ti) O 3 ) or lanthanum-doped lead zirconate titanate or strontium bismuth tantalate (SBT, SrBi 2 ) also come for ferroelectric memory arrangements Ta 2 O 9 ) are used.

Leider bedingt die Verwendung der neuen Paraelektrika bzw. Ferroelektrika auch die Verwendung neuer Elektrodenmaterialien. Die neuen Paraelektrika bzw. Ferroelektrika werden üblicherweise auf bereits vorhandenen Elektroden (Bodenelektroden) abgeschieden. Die Prozessierung erfolgt unter hohen Temperaturen, bei denen die Materialien, aus denen normalerweise die Kondensatorelektroden bestehen, so z.B. dotiertes Polysilizium, leicht oxidiert werden und ihre elektrisch leitenden Eigenschaften verlieren, was zum Ausfall der Speicherzelle führen würde.Unfortunately, the use of the new paraelectrics or ferroelectrics also the use of new ones Electrode materials. The new paraelectrics and ferroelectrics are common deposited on existing electrodes (bottom electrodes). Processing takes place at high temperatures, at which the Materials that normally make up the capacitor electrodes so e.g. doped polysilicon, easily oxidized and their lose electrically conductive properties, resulting in the failure of Memory cell would lead.

Wegen ihrer guten Oxidationsbeständigkeit und/oder der Ausbildung elektrisch leitfähiger Oxide gelten 4d und 5d Übergangsmetalle, insbesondere Platinmetalle (Ru, Rh, Pd, Os, Ir, Pt) und insbesondere Platin selbst, sowie Rhenium als aussichtsreiche Kandidaten, die dotiertes Silizium/Polysilizium als Elektrodenmaterial ersetzen könnten.Because of their good resistance to oxidation and / or the training of electrically conductive Oxides apply to 4d and 5d transition metals, especially platinum metals (Ru, Rh, Pd, Os, Ir, Pt) and in particular Platinum itself, as well as rhenium as promising candidates who Replace doped silicon / polysilicon as electrode material could.

Leider hat sich herausgestellt, daß die oben genannten, in integrierten Schaltungen neu eingesetzten Materialien zu den chemisch nur schwer oder nicht ätzbaren Materialien gehören, bei denen der Ätzabtrag, auch bei der Verwendung „reaktiver" Gase, überwiegend oder fast ausschließlich auf dem physikalischen Anteil der Ätzung beruht.Unfortunately it turned out that the above mentioned materials used in integrated circuits chemically difficult or not etchable Materials include where the etching removal, also when using "reactive" gases, mostly or almost exclusively is based on the physical part of the etching.

Die Strukturierung der bisher verwendeten Materialien erfolgt in der Regel durch sogenannte plasmaunterstützte anisotrope Ätzverfahren. Dabei werden üblicherweise physikalischchemische Verfahren angewandt, bei denen Gasgemische aus einem oder mehreren reaktiven Gasen, wie z.B. Sauerstoff, Chlor, Brom, Chlorwasserstoff, Bromwasserstoff bzw. halogenierten Kohlenwasserstoffen und aus Edelgasen (z.B. Ar, He) verwendet werden. Diese Gasgemische werden in der Regel in einem elektromagnetischen Wechselfeld bei geringen Drücken angeregt, wodurch das Gasgemisch in ein Plasma überführt wird.The structuring of the materials used so far is usually carried out using so-called plasma-assisted anisotropic etching processes. This is usually done applied physicochemical processes in which gas mixtures from one or more reactive gases, e.g. Oxygen, chlorine, Bromine, hydrogen chloride, hydrogen bromide or halogenated hydrocarbons and from noble gases (e.g. Ar, He). These gas mixtures are usually in an alternating electromagnetic field stimulated at low pressures, whereby the gas mixture is converted into a plasma.

Die positiven Ionen des Plasmas treffen. dann nahezu senkrecht auf die zu strukturierende Schicht, was eine gute Abbildung einer auf der zu strukturierende Schicht liegenden Maske erlaubt. Üblicherweise werden als Maskenmaterialien Photolacke verwendet, da diese durch einen Belichtungsschritt und einen Entwicklungsschritt relativ einfach strukturiert werden können. Der physikalische Teil der Ätzung wird durch Impuls und kinetische Energie der auftreffenden Ionen (z.B. C12 +, Ar+) bewirkt. Zusätzlich werden dadurch chemische Reaktionen zwischen der zu strukturierenden Schicht und den reaktiven Gasteilchen (Ionen, Moleküle, Atome, Radikale) unter Bildung flüchtiger Reaktionsprodukte initiiert oder verstärkt (chemischer Teil der Ätzung). Diese chemischen Reaktionen zwischen den Substratteilchen und den Gasteilchen sind verantwortlich für hohe Ätzselektivitäten des Ätzprozesses.Hit the positive ions of the plasma. then almost perpendicular to the layer to be structured, which is a good illustration of one on the structuring layer lying mask allowed. Photoresists are usually used as mask materials, since these can be structured relatively easily by an exposure step and a development step. The physical part of the etching is caused by the momentum and kinetic energy of the impinging ions (eg C1 2 + , Ar + ). In addition, chemical reactions between the layer to be structured and the reactive gas particles (ions, molecules, atoms, radicals) are initiated or intensified with the formation of volatile reaction products (chemical part of the etching). These chemical reactions between the substrate particles and the gas particles are responsible for high etching selectivities of the etching process.

Wegen der geringen oder fehlenden chemischen Komponente bei der Ätzung der oben genannten Materialien, insbesondere bei der Ätzung des Elektrodenmaterials, liegt der Ätzabtrag der zu strukturierenden Schicht in der selben Größenordnung wie der Ätzabtrag der Maske bzw. der Unterlage (Ätzstoppschicht), d.h. die Ätzselektivität zur Ätzmaske bzw. Unterlage ist im allgemeinen klein (zwischen etwa 0,3 und 3,0). Dies hat zur Folge, daß durch die Erosion von Masken mit geneigten Flanken und die unvermeidliche Facettenbildung der Masken nur eine geringe Maßhaltigkeit der Strukturierung gewährleistet werden kann. Darüber hinaus wird, insbesondere bei einem „Overetch"-Schritt, die Unterlage stark angeätzt und es kommt zu schwer kontrollierbaren Abschrägungen der Ätzflanken. Dies hat zur Folge, daß sehr kleine Elektroden (Grundfläche der Elektrode = F2, F = kleinste durch eine bestimmte Technologie herstellbare Strukturgröße) nur mit sehr großem Aufwand erzeugt werden können.Because of the low or no chemical component in the etching of the above-mentioned materials, in particular in the etching of the electrode material, the etching removal of the layer to be structured is of the same order of magnitude as the etching removal of the mask or the base (etching stop layer), ie the etching selectivity Etching mask or underlay is generally small (between about 0.3 and 3.0). The consequence of this is that the erosion of masks with inclined flanks and the inevitable formation of facets of the masks ensures that the structuring is not very dimensionally stable. In addition, particularly in the case of an “overetch” step, the base is strongly etched and the bevelled flanks are difficult to control. This has the consequence that very small electrodes (base area of the electrode = F 2 , F = smallest by a certain one) Structure size that can be produced using technology) can only be produced with great effort.

Weiterhin ergibt sich bei der Herstellung der häufigFurthermore, it results in the manufacture the frequent

eingesetzten Stack-Kondensatoren das Problem, daß die untere Elektrode des Kondensators einen Überlapp mit einem elektrischen Anschluß aufweisen muß. Wird der Anschluß für, die Elektrode, üblicherweise ein sogenannter „Plug", in der kleinsten herstellbaren Strukturgröße F2 hergestellt, so muß bei den herkömmlichen Herstellungsverfahren die Grundfläche der Elektrode deutlich größer als F2 gewählt werden, um den Überlapp gewährleisten zu können. Würde bei den herkömmlichen Herstellungsverfahren die Grundfläche der Elektrode nicht deutlich größer als F2 gewählt werden so könnten Ungenauigkeiten in der Justierung der entsprechenden Masken dazu führen, daß zwischen der Elektrode und dem Plug kein Überlapp vorhanden ist. Dies hätte zur Folge, daß die Elektrode nicht angeschlossen wäre, was zum Ausfall der Speicherzelle führen würde. Dementsprechend besitzen Speicherzellen, in denen ein Stack-Kondensator verwendet wird, eine relativ großen Platzbedarf, was sich negativ auf die erzielbare Speicherdichte auswirkt.Stack capacitors used the problem that the lower electrode of the capacitor must have an overlap with an electrical connection. If the connection for the electrode, usually a so-called “plug”, is produced in the smallest structure size F 2 that can be produced, then the base area of the electrode must be chosen to be significantly larger than F 2 in the conventional manufacturing processes in order to ensure the overlap In the conventional manufacturing processes, the base area of the electrode is not chosen to be significantly larger than F 2 , so inaccuracies in the adjustment of the corresponding masks could result in no overlap between the electrode and the plug, which would result in the electrode not being connected would result in the failure of the memory cell. Accordingly, memory cells in which a stack capacitor is used require a relatively large amount of space, which has a negative effect on the achievable memory density.

Aus dem US-Patent 5,796,573 ist ein Verfahren zur Herstellung eines Kondensators bekannt, in dem in eine auf einem Substrat aufgebrachte Oxidschicht ein Kontaktloch geätzt wird und dieses anschließend mit einem elektrisch leitfähigen Material, z.B: in-situ dotiertem Polysilizium, aufgefüllt wird. Anschließend wird die Oxidschicht teilweise zurückgeätzt und an den Seitenwänden des nun freigelegten Polysilizium-Pfostens eine Abstandhalterschicht abgeschieden. Nach der Abscheidung weiterer Schichten und entsprechender Ätzungen wird um den Pfosten herum, aber von ihm beabstandet, eine Schichtfolge aus einer unteren Schicht und einer über dieser angeordneten oberen Schicht erzeugt, wobei die untere Schicht gegenüber der oberen Schicht. etwas weiter zurückgeätzt wurde. Auf diesen Schichten und dem Pfosten wird dann eine diskontinuierliche untere Kondensatorelektrode aus einem Platinmetall abgeschieden, die durch die Beabstandung zwischen dem Pfosten und der Schichtfolge unterbrochen wird.From U.S. Patent 5,796,573 is a A method for producing a capacitor is known in which an oxide layer applied to a substrate a contact hole etched and then this with an electrically conductive Material, e.g. in-situ doped polysilicon, is filled up. Subsequently the oxide layer is partially etched back and on the side walls of the now exposed polysilicon post a spacer layer deposited. After the deposition of further layers and corresponding etching is placed around the post, but spaced from it, a layer sequence a lower layer and an upper layer arranged above it Layer created, the lower layer opposite the upper layer. a little further was etched back. A discontinuous layer then becomes on these layers and the post lower capacitor electrode deposited from a platinum metal, by the spacing between the post and the layer sequence is interrupted.

Abschließend wird eine dielektrische Schicht und die obere Kondensatorelektrode abgeschieden.Finally, a dielectric Layer and the upper capacitor electrode deposited.

US 5,668,036 beschreibt die Ausbidlung von pfostenförmigen Kondensatoren in einer Halbleiterstruktur, wobei der Kondensator durch Auffüllen einer entsprechenden Öffung in einer Isolationsschicht mit Polysilizium erfolgt und die Isolationsschicht anschließend durch Ätzung entfernt wird. Auf den so erzeugten Polysiliziumpfosten, der die untere Elektrode darstellt, wird anschließend eine ONO-Schichtfolge und eine weitere Polysiliziumchicht als obere Elektrode abgeschieden. US 5,668,036 describes the formation of post-shaped capacitors in a semiconductor structure, the capacitor being carried out by filling a corresponding opening in an insulation layer with polysilicon and the insulation layer being subsequently removed by etching. An ONO layer sequence and a further polysilicon layer are then deposited as the upper electrode on the polysilicon post produced in this way, which represents the lower electrode.

DE 19640448 C1 beschreibt eine Halbleiteranordnung mit einem Kondensator, in der der Kondensator auf einem mit einem Plug gefüllten Kontaktloch angeordnet ist. Zwischen dem Plug und der unteren Elektrode ist eine Barriereschicht angeordnet. DE 19640448 C1 describes a semiconductor arrangement with a capacitor, in which the capacitor is arranged on a contact hole filled with a plug. A barrier layer is arranged between the plug and the lower electrode.

Der Erfindung liegt daher die Aufgabe zugrunde, ein Verfahren zur Herstellung einer Elektrode anzugeben, bei dem die genannten Probleme deutlich vermindert sind bzw. vermieden werden. Insbesondere soll ein Verfahren bereitgestellt werden, das in besonderer Weise auf die Erfordernisse der neuen Elektrodenmaterialien angepasst ist.The invention is therefore the object based on specifying a method for producing an electrode, in which the problems mentioned are significantly reduced or avoided become. In particular, a method is to be provided which is described in in a special way to the requirements of the new electrode materials is adjusted.

Diese Aufgabe wird von dem Verfahren zur Herstellung einer Elektrode gemäß Patentanspruch 1 gelöst. Weitere vorteilhafte Ausführungsformen, Ausgestaltungen und Aspekte der vorliegenden Erfindung ergeben sich aus den Unteransprüchen der Beschreibung und den beiliegenden Zeichnungen.This task is done by the procedure for producing an electrode according to claim 1 solved. Further advantageous embodiments, Refinements and aspects of the present invention result from the subclaims the description and the accompanying drawings.

Erfindungsgemäß wird ein Verfahren zur Herstellung einer zu ihrem Anschluß justierten Elektrode, insbesondere zur Herstellung einer zu ihrem Anschluß justierten Elektrode eines Speicherkondensators, bereitgestellt, das folgende Schritte aufweist:

  • a) ein Substrat wird bereitgestellt;
  • b) zumindest eine islierende Schicht wird auf das Substrat aufgebracht;
  • c) ein Kontaktloch zum Anschluß der Elektrode wird in der isolierenden Schicht erzeugt;
  • d)das Kontaktloch wird mit einem leitfähigen Material vollständig aufgefüllt und das leitfähige Material (wird selektiv zur isolierenden Schicht bis auf vorgegebene Höhe zurückgeätzt, so daß das Kontaktloch mit dem leitfähigen Material bis zu der vorgegebenen Höhe aufgefüllt wird;
  • e) das Kontaktloch wird mit dem Elektrodenmaterial vollständig aufgefühlt; und
  • f) die isolierende Schicht wird bis zu einer vorgegebenen Tiefe entfernt.
According to the invention, a method for producing an electrode adjusted for its connection, in particular for producing an electrode for a storage capacitor adjusted for its connection, is provided, which comprises the following steps:
  • a) a substrate is provided;
  • b) at least one insulating layer is applied to the substrate;
  • c) a contact hole for connecting the electrode is produced in the insulating layer;
  • d) the contact hole is completely filled with a conductive material and the conductive material (is selectively etched back to the insulating layer to a predetermined height, so that the contact hole is filled with the conductive material up to the predetermined height;
  • e) the contact hole is completely filled with the electrode material; and
  • f) the insulating layer is removed to a predetermined depth.

Das erfindungsgemäße Verfahren besitzt den Vorteil, daß das häufig nur schwer ätzbare Elektrodenmaterial nicht direkt strukturiert werden muß. Die gewünschts Struktur wird zuerst in der leicht ätzbaren und damit leicht zu strukturierenden Isolationschicht erzeugt, die dann mit dem Elektrodenmaterial aufgefüllt wird. Eine direkte Ätzung des Elektrodenmaterials mit all den genannten Problemen kann somit vermieden werden.The method according to the invention has the advantage that this frequently difficult to etch Electrode material does not have to be structured directly. The desired structure is first in the easily etchable and thus creates an easily structured insulation layer that is then filled with the electrode material. A direct etching of the Electrode material with all of the problems mentioned can thus be avoided become.

Darüber hinaus kann bei dem erfindungsgemäßen Verfahren die Elektrode so klein wie ihr Anschluß gewählt werden, denn zur Herstellung der Elektrode wird die gleiche Struktur in der Isolationschicht verwendet, die auch zur Herstellung des Anschlusses benutzt wird. Die Elektrode entsteht somit selbstjustiert zu ihrem Anschluß. Auf eine an sich ungewollte Vergrößerung der Elektrode, die häufig nach dem Stand der Technik notwendig ist, um Lagefehler auszugleichen, kann verzichtet werden. Dementsprechend kann der Platzbedarf der Elektrode verringert werden. Da zur Herstellung der Elektrode die gleiche Struktur in der Isolationschicht verwendet wird, die auch zur Herstellung des Anschlusses benutzt wird, kann weiterhin eine Maskenebene eingespart werden. Die unterschiedlichen Masken zur Erzeugung des Anschhusses und zur Erzeugung der Elektrode können in zu einer Maske vereinigt werden, wodurch die Herstellungskosten deutlich reduziert werden können.In addition, in the method according to the invention the electrode should be chosen as small as its connection, because of the manufacturing the electrode will have the same structure in the insulation layer used, which is also used to make the connection. The electrode is thus self-aligned for its connection. On a unwanted enlargement of the Electrode that frequently according to the state of the art is necessary to compensate for position errors, can be dispensed with. Accordingly, the space requirement of the Electrode can be reduced. Because the same for making the electrode Structure used in the insulation layer, which is also used for manufacturing of the connection is used, a mask level can still be saved become. The different masks for creating the connection and to create the electrode can be combined into a mask be, which significantly reduces the manufacturing costs can.

Erfindungsgemäß wird in Schritt d) das Kontaktloch mit einem leitfähigem Material, bevorzugt Polysilizium, vollständig isolierende Schicht bis auf die vorgegebene Höhe zurückgeätzt. Dies hat den Vorteil, daß das leitfähige Material das Kontaktloch bis zu der vorgegebenen Höhe ausfüllt, ohne daß ein Teil des leitfähigen Materials eine dünne leitende Schicht an den Seitenwänden des Kontaktlochs oberhalb der vorgegebenen Höhe bildet. Darüber hinaus können zum Auffüllen des Kontaktlochs bis zu einer vorgegebenen Höhe bereits vorhandene Prozesse zum Auffüllen eines Kontaktlochs ohne wesentliche Änderungen der Prozeßparameter verwendet werden. Weiterhin ist es bevorzugt, wenn vor dem selektiven Zurückätzen ein CMP-Schritt durchgeführt wird.According to the invention, the contact hole is in step d) with a conductive Material, preferably polysilicon, completely insulating layer to to the specified height etched back. This has the advantage that conductive Material fills the contact hole up to the specified height without that part of the conductive Materials a thin one conductive layer on the side walls of the contact hole above the predetermined height. Furthermore can to fill up of the contact hole up to a predetermined height already existing processes to fill up a contact hole without significant changes to the process parameters be used. Furthermore, it is preferred if before the selective Etch back CMP step performed becomes.

Gemäß einer. weiteren bevorzugten Ausführungsform wird vor dem Schritt e) eine Barriereschicht auf dem leitfähigen Material in dem Kontaktloch erzeugt. Diese Barriereschicht kann beispielsweise durch Sputtern oder Aufdampfen eines Metalls, bevorzugt Kobalt, mit anschließender Temperung zur Bildung einer Metallsilizidschicht erzeugt werden. Dabei ist es insbesondere bevorzugt, wenn nach der Erzeugung der Barriereschicht eine isotrope Ätzung durchgeführt wird. Durch die isotrope Ätzung können Teile der Barriereschicht oder die zur Herstellung der Barriereschicht verwendeten Metalle von den Seitenwänden des Kontaktlochs entfernt werden.According to one. other preferred embodiment before step e), a barrier layer is formed on the conductive material generated in the contact hole. This barrier layer can, for example by sputtering or vapor deposition of a metal, preferably cobalt, with following Annealing to form a metal silicide layer are generated. It is particularly preferred if after the generation of the Barrier layer an isotropic etch carried out becomes. Due to the isotropic etching can Parts of the barrier layer or those used to manufacture the barrier layer used metals are removed from the side walls of the contact hole.

Bevorzugt wird als Elektrodenmaterial ein Metall der 4d und 5d Übergangsmetalle, insbesondere ein Platinmetall (Ru, Rh, Pd, Os, Ir, Pt), insbesondere Platin, oder Rhenium verwendet.Is preferred as the electrode material a metal of the 4d and 5d transition metals, in particular a platinum metal (Ru, Rh, Pd, Os, Ir, Pt), in particular Platinum, or rhenium is used.

Gemäß einer weiteren bevorzugten Ausführungsform wird das Eletrodenmaterial durch Sputtern, bevorzugt gerichtetes Sputtern, ganzflächig abgeschieden und vor dem Schritt f) wird ein CMP-Schritt durchgeführt. Dabei ist es insbesondere bevorzugt, wenn zu dem CMP-Schritt eine Suspension monokristalliner Nanopartikel, insbesondere Al2O3-Nanopartikel, verwendet wird. Weiterhin ist es bevorzugt, wenn der Suspension Stoffe zur Vermeidung von Aggregationen, insbesondere Glycerin oder Polyalkohole, zugesetzt werden. Auf diese Weise kann einer Bildung von Kratzern auf der Oberfläche vorgebeugt werden.According to a further preferred embodiment, the electrode material is deposited over the entire surface by sputtering, preferably directional sputtering, and a CMP step is carried out before step f). It is particularly preferred if a suspension of monocrystalline nanoparticles, in particular Al 2 O 3 nanoparticles, is used for the CMP step. It is further preferred if substances are added to the suspension to avoid aggregations, in particular glycerol or polyalcohols. In this way, the formation of scratches on the surface can be prevented.

Gemäß einer weiteren bevorzugten Ausführungsform wird in Schritt f) die isolierende Schicht durch eine Ätzung selektiv zu dem Elektrodenmaterial bis zu der vorgegebenen Tiefe entfernt. Bevorzugt ist diese vorgegebene Tiefe so gewählt, daß nur die Elektrode und nicht die Barriereschicht und/oder das leitfähige Material des Anschlusses freigelegt werden.According to another preferred embodiment in step f) the insulating layer is selective by etching removed to the electrode material to the predetermined depth. This predetermined depth is preferably selected so that only the electrode and not the barrier layer and / or the conductive material of the connection be exposed.

Die Erfindung wird nachfolgend anhand von Figuren der Zeichnung näher dargestellt. Dabei zeigen die 1 bis 8 ein erfindungsgemäßes Verfahren zu Herstellung einer zu ihrem Anschluß justierten Elektrode.The invention is illustrated below with reference to figures of the drawing. The show 1 to 8th an inventive method for producing an electrode adjusted for their connection.

1 zeigt ein Siliziumsubstrat 1 mit bereits fertiggestellten Auswahltransistoren 4. Die Auswahltransistoren 4 weisen jeweils zwei Diffusionsgebiete 2 auf, welche an der Oberfläche des Siliziumsubtrats 1 angeordnet sind. Zwischen den Diffusionsgebieten 2 eines Auswahltransistors 4 ist die Kanalzone angeordnet, die durch das Gateoxid von der Gateelektrode 3 auf der Oberfläche des Siliziumsubstrats 1 getrennt ist. Diese Auswahltransistoren werden nach den im Stand der Technik bekannten Verfahren hergestellt, die hier nicht näher erläutert werden. Auf das Siliziumsubtrat mit den Auswahltransistoren 4 wird eine isolierende Schicht 5, beispielsweise eine SiO2-Schicht aufgebracht. Je nachdem für die Herstellung der Auswahltransistoren 4 verwendetem Verfahren können auch mehrere isolierende Schichten aufgebracht werden. Die sich daraus ergebende Struktur ist in 1 gezeigt. 1 shows a silicon substrate 1 with selection transistors already completed 4 , The selection transistors 4 each have two diffusion areas 2 on the surface of the silicon substrate 1 are arranged. Between the diffusion areas 2 of a selection transistor 4 the channel zone is arranged through the gate oxide from the gate electrode 3 on the surface of the silicon substrate 1 is separated. These selection transistors are produced by the methods known in the prior art, which are not explained in more detail here. On the silicon substrate with the selection transistors 4 becomes an insulating layer 5 , for example an SiO 2 layer applied. Depending on the manufacture of the selection transistors 4 Using the method used, several insulating layers can also be applied. The resulting structure is in 1 shown.

Anschließend werden durch eine Phototechnik die Kontaktlöcher 6 erzeugt. Dies erfolgt beispielsweise durch eine anisotrope Ätzung mit fluorhaltigen Gasen. Die sich daraus ergebende Struktur ist in 2 gezeigt.Then the contact holes are made using a photo technique 6 generated. This is done, for example, by anisotropic etching using fluorine-containing gases. The resulting structure is in 2 shown.

Nachfolgend wird ein leitfähiges Material 7, beispielsweise insitu dotiertes Polysilizium, auf die Struktur aufgebracht. Dies kann beispielsweise durch ein CVD-Verfahren erfolgen. Durch das Aufbringen des leitfähigen Materials 7 werden die Kontaktlöcher 6 vollständig ausgefüllt und es entsteht eine zusammenhängende leitfähige Schicht an der Oberseite des Siliziumsubstrats 1. Die sich daraus ergebende Struktur ist in 3 gezeigt.Below is a conductive material 7 , for example in situ doped polysilicon, applied to the structure. This can be done, for example, using a CVD method. By applying the conductive material 7 become the contact holes 6 completely filled and a coherent conductive layer is formed on the top of the silicon substrate 1 , The resulting structure is in 3 shown.

Anschließend folgt ein CMP-Schritt („Chemical Mechanical Polishing), der die zusammenhängende leitfähige Schicht an der Oberseite des Siliziumsubstrats 1 entfernt und eine plane Oberfläche erzeugt. Weiterhin das leitfähige Material 7 in den Kontaktlöchern 6 durch einen zur der isolierenden Schicht 5 selektiven Ätzprozeß solange zurückgeätzt bis die Kontaktlöcher 6 mit einem leitfähigen Material 7 nur noch bis zu einer vorgegebenen Höhe aufgefüllt sind. Auf diese Weise ist gewährleistet, daß das leitfähige Material 7 die Kontaktlöcher 6 bis zu der vorgegebenen Höhe ausfüllt, ohne daß ein Teil des leitfähigen Materials 7 dünne leitende Schichten an den Seitenwänden der Kontaktlöcher oberhalb der vorgegebenen Höhe bildet. Die sich daraus ergebende Struktur ist in 4 gezeigt.This is followed by a CMP step (“Chemical Mechanical Polishing), which forms the continuous conductive layer on the top of the silicon substrate 1 removed and created a flat surface. Furthermore the conductive material 7 in the contact holes 6 through one to the insulating layer 5 selective etching process etched back until the contact holes 6 with a conductive material 7 are only filled up to a predetermined height. This ensures that the conductive material 7 the contact holes 6 fills up to the predetermined height without part of the conductive material 7 forms thin conductive layers on the side walls of the contact holes above the predetermined height. The resulting structure is in 4 shown.

Nun wird durch Sputtern oder Aufdampfen eine Barriereschicht 8 auf dem leitfähigen Material 7 in den Kontaktlöchern 6 erzeugt. Beispielsweise wird durch Sputtern oder Aufdampfen eine Metallschicht (z.B. Kobalt) abgeschieden und durch einen anschließenden Temperprozeß diffundiert das Metall in das dotierte Silizium 7 und bildet so eine Metallsilizidschicht (z.B. CoSix) als Barriereschicht 8. Das nicht umgewandelte Metall an den Seitenwänden der Kontaktlöcher 6 und auf dem leitfähigen Material 7 wird durch eine isotrope Ätzung, z.B. eine naßchemische Ätzung, selektiv zu dem Silizid entfernt. Die sich daraus ergebende Struktur ist in 5 gezeigt.Now a barrier layer is created by sputtering or vapor deposition 8th on the conductive material 7 in the contact holes 6 generated. For example, a metal layer (eg cobalt) is deposited by sputtering or vapor deposition and the metal diffuses into the doped silicon through a subsequent annealing process 7 and thus forms a metal silicide layer (eg CoSi x ) as a barrier layer 8th , The unconverted metal on the side walls of the contact holes 6 and on the conductive material 7 is selectively removed from the silicide by an isotropic etching, for example a wet chemical etching. The resulting structure is in 5 shown.

Nachfolgend wird das Material für die Elektrode abgeschieden. Das Elektrodenmaterial, beispielsweise Platin, wird dabei so abschieden, daß die Kontaktlöcher 6 vollständig aufgefüllt sind. Dies kann beispielsweise durch gerichtetes Sputtern oder durch ein Aufdampfverfahren erreicht werden. In der Regel erfolgt die Abscheidung jedoch nicht nur in den Kontaktlöchern 6 sondern auf der gesamten Oberfläche. Die sich daraus ergebende Struktur ist in 6 gezeigt.The material for the electrode is then deposited. The electrode material, for example platinum, will deposit so that the contact holes 6 are completely filled. This can be achieved, for example, by directional sputtering or by a vapor deposition process. As a rule, however, the deposition does not only take place in the contact holes 6 but on the entire surface. The resulting structure is in 6 shown.

Um das Elektrodenmaterial wieder von der Oberfläche zu entfernen, wird anschließend ein CMP-Schritt durchgeführt.. Zur Steigerung des mechanischen Abtrags beim Polieren, werden Suspensionen monokristalliner Nanopartikel, beispielsweise Al2O3-Nanopartikel, verwendet. Um ein Aggregieren dieser Partikel und damit eine Kratzerbildung zu vermeiden, werden Stoffe zur Vermeidung von Aggregationen, insbesondere organische Flüssigkeiten wie z.B. Glycerin oder Polyalkohole der Suspension zugesetzt. Durch den CMP-Schritt wird das Elektrodenmaterial 9 von der Oberfläche entfernt, die Kontaktlöcher 6 bleiben jedoch vollständig mit dem Elektrodenmaterial gefüllt. Ein insbesondere für Platin geeignetes CMP-Verfahren ist im Fachartikel von I. Haisma et al., Philips J.Res.49 (1995), S. 23-46 beschrieben, dessen Offenbarungsinhalt hiermit vollständig aufgenommen wird.In order to remove the electrode material from the surface again, a CMP step is then carried out. To increase the mechanical removal during polishing, suspensions of monocrystalline nanoparticles, for example Al 2 O 3 nanoparticles, are used. In order to avoid aggregation of these particles and thus the formation of scratches, substances to avoid aggregations, in particular organic liquids such as glycerol or polyalcohols, are added to the suspension. Through the CMP step, the electrode material 9 removed from the surface, the contact holes 6 however, remain completely filled with the electrode material. A CMP process which is particularly suitable for platinum is described in the technical article by I. Haisma et al., Philips J.Res. 49 (1995), pp. 23-46, the contents of which are hereby fully incorporated.

Anschließend wird die isolierende Schicht 5 durch einen Ätzprozeß selektiv zu dem Elektrodenmaterial bis zu einer vorgegebenen Tiefe entfernt. Die vorgegebene Tiefe ist dabei bevorzugt so gewählt, daß nur ein Teil des Elektrodenmaterials nicht jedoch die Barriereschicht und/oder das leitfähige Material 7 freigelegt werden. Die sich daraus ergebende Struktur ist in 7 gezeigt.Then the insulating layer 5 selectively removed from the electrode material to a predetermined depth by an etching process. The predetermined depth is preferably selected so that only a part of the electrode material but not the barrier layer and / or the conductive material 7 be exposed. The resulting structure is in 7 shown.

Es entstehen somit in ihrer lateralen Ausdehnung sehr kleine Elektroden 10, ohne daß das häufig nur schwer ätzbare Elektrodenmaterial direkt strukturiert werden muß. Die gewünschte Struktur wurde zuerst in der leicht ätzbaren und damit leicht. zu strukturierenden Isolationschicht erzeugt. Eine direkte Ätzung des Elektrodenmaterials mit all den genannten Problemen kann somit vermieden werden. Die Flankensteilheit der Elektroden 10 hängt somit auch nicht mehr von einem schwierigen Elektrodenätzprozeß ab, sondern wird durch die Flankensteilheit der anfangs geätzten Kontaktlöcher 6 bestimmt. Diese Flankensteilheit der anfangs geätzten Kontaktlöcher 6 kann jedoch viel leichter eingestellt und kontrolliert werden.This results in very small electrodes in their lateral extension 10 without the electrode material, which is often difficult to etch, to be structured directly. The desired structure was first in the easily etchable and therefore light. insulation layer to be structured. A direct etching of the electrode material with all of the problems mentioned can thus be avoided. The slope of the electrodes 10 therefore no longer depends on a difficult electrode etching process, but rather is due to the steepness of the edges of the initially etched contact holes 6 certainly. This steepness of the edges of the initially etched contact holes 6 can be set and controlled much more easily.

Darüber hinaus kann durch das Verfahren die Elektrode 10 so klein wie ihr Anschluß 7, 8 gewählt werden, denn zur Herstellung der Elektrode 10 wird die gleiche Struktur in der Isolationschicht 5 verwendet, die auch zur Herstellung des Anschlusses 7, 8 benutzt wird. Die Elektrode 10 entsteht somit selbstjustiert zu ihrem Anschluß 7, 8. Auf eine an sich ungewollte Vergrößerung der Elektrode 10, die nach dem Stand der Technik notwendig ist, um Lagefehler auszugleichen, kann verzichtet werden. Dementsprechend kann der Platzbedarf der Elektrode 10 verringert werden. Da zur Herstellung der Elektrode 10 die gleiche Struktur in der Isolationschicht 5 verwendet wird, die auch zur Herstellung des Anschlusses 7, 8 benutzt wird, kann weiterhin eine Maskenebene eingespart werden. Die nach dem Stand der Technik unterschiedlichen Masken zur Erzeugung des Anschlusses und zur Erzeugung der Elektrode können in zu einer Maske vereinigt werden, wodurch die Herstellungskosten deutlich reduziert werden können.In addition, the method allows the electrode 10 as small as their connection 7 . 8th be chosen, because for the production of the electrode 10 will have the same structure in the insulation layer 5 also used to make the connection 7 . 8th is used. The electrode 10 thus arises self-aligned to their connection 7 . 8th , On an unwanted enlargement of the electrode 10 According to the state of the art, it is not necessary to compensate for position errors. Accordingly, the space requirement of the electrode 10 be reduced. As for the manufacture of the electrode 10 the same structure in the insulation layer 5 is also used to make the connection 7 . 8th a mask layer can be saved. The different masks for producing according to the prior art the connection and for producing the electrode can be combined in a mask, as a result of which the production costs can be significantly reduced.

Es folgt die Erzeugung einer dielektrischen und/oder ferroelektrischen Schicht 11 sowie die Abscheidung einer weiteren Schicht 12 zur Bildung der oberen Elektrode. Die sich daraus ergebende Struktur ist in 8 gezeigt. Üblicherweise werden die Schichten 11 und 12 dann gemeinsam strukturiert, so daß die Speicherzellen aus einem Auswahltransistor 4 und einem Kondensator 10, 11, 12 fertiggestellt sind.A dielectric and / or ferroelectric layer is then produced 11 and the deposition of a further layer 12 to form the top electrode. The resulting structure is in 8th shown. Usually the layers 11 and 12 then patterned together so that the memory cells from a selection transistor 4 and a capacitor 10 . 11 . 12 are finished.

Claims (9)

Verfahren zur Herstellung einer zu ihrem Anschluß justierten Eiektrode (10) insbesondere zur Herstellung einer zu ihrem Anschluß justierten Elektrode eines Speicherkondensators, mit den Schritten: a) ein Substrat (1) wird bereitgestellt; b) zumindest eine isolierender Schicht (5) wird auf das Substrat (1) aufgebracht; c) ein Kontaktloch (6) zum Anschluß der Elektrode (10) wird in der isolierenden Schicht (5)) erzeugt; d) das Kontaktloch (6) wird mit einem leitfähigen Material (7) vollständig aufgefüllt und das leitfähige Material (7) wird selektiv zur isolierenden Schicht (5) bis auf eine vorgegebene Höhe zurückgeätzt, so daß das Kontaktloch (6) mit dem leitfähigen Material (7) bis zu der vorgegebenen Höhe aufgefüllt wird; e) das Kontaktloch (6) wird mit dem Elektrodenmaterial vollständig aufgefüllt; und f) die isolierende Schicht (5) wird bis zu einer vorgegebenen Tiefe entfernt.Method for producing an electrode adjusted for its connection ( 10 ) in particular for producing an electrode of a storage capacitor adjusted for its connection, with the steps: a) a substrate ( 1 ) will be provided; b) at least one insulating layer ( 5 ) is placed on the substrate ( 1 ) applied; c) a contact hole ( 6 ) for connecting the electrode ( 10 ) is in the insulating layer ( 5 )) generated; d) the contact hole ( 6 ) with a conductive material ( 7 ) completely filled and the conductive material ( 7 ) selectively becomes the insulating layer ( 5 ) etched back to a predetermined height so that the contact hole ( 6 ) with the conductive material ( 7 ) is filled up to the specified level; e) the contact hole ( 6 ) is completely filled with the electrode material; and f) the insulating layer ( 5 ) is removed to a predetermined depth. Verfahren nach Anspruch 1 dadurch gekennzeichnet, daß vor dem selektiven Zurückätzen ein CMP-Schritt durchgeführt wird. A method according to claim 1, characterized in that before a selective CMP step carried out becomes. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß vor dem Schritt e) eine Barriereschicht (8) auf dem leitfähigen Material (7) in dem Kontaktloch (6) erzeugt wird. Method according to one of the preceding claims, characterized in that, before step e), a barrier layer ( 8th ) on the conductive material ( 7 ) in the contact hole ( 6 ) is produced. Verfahren nach Anspruch 3, dadurch gekennzeichnet, daß nach der Erzeugung der Barriereschicht (8) eine isotrope Ätzung durchgeführt wird.Method according to Claim 3, characterized in that after the barrier layer ( 8th ) an isotropic etching is carried out. Verfahren nach einem der vorherstehenden Ansprüche, dadurch gekennzeichnet, daß das Eletrodenmaterial durch Sputtern, bevorzugt gerichtetes Sputtern, ganzflächig abgeschieden wird und vor dem Schritt f) ein CMP-Schritt durchgeführt wird.Method according to one of the preceding claims, characterized characterized that the Electrode material by sputtering, preferably directional sputtering, the whole area is deposited and a CMP step is carried out before step f). Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß zudem CMP-Schritt eine Suspension monokristalliner Nanopartikel, insbesondere Al2O3-Nanopartikel, verwendet wird.A method according to claim 5, characterized in that a suspension of monocrystalline nanoparticles, in particular Al 2 O 3 nanoparticles, is also used in the CMP step. Verfahren nach Anspruch 6, dadurch gekennzeichnet, daß der Suspension Stoffe zur Vermeidung von Aggregationen, insbesondere Glycerin oder Polyalkohole, zugesetzt werden.A method according to claim 6, characterized in that the Suspension substances to avoid aggregation, in particular Glycerin or polyalcohols can be added. Verfahren nach einem der vorherstehenden Ansprüche dadurch gekennzeichnet, daß in Schritt f) die isolierende Schicht (5) durch eine Ätzung selektiv zu dem Elektrodenmaterial bis zu der vorgegebenen Tiefe entfernt wird.Method according to one of the preceding claims, characterized in that in step f) the insulating layer ( 5 ) is removed by etching selectively to the electrode material to the predetermined depth. Verfahren nach einem der vorherstehenden Ansprüche dadurch gekennzeichnet, daß das als Elektradenmaterial ein Metall der 4d und 5d Übergangsmetalle, insbesondere ein Platinmetall (Ru, Rh, Pd, Os, Ir, Pa), insbesondere Platin, oder Rhenium verwendet wird.Method according to one of the preceding claims, characterized in that the metal is the metal material 4d and 5d Transition metals, in particular a platinum metal (Ru, Rh, Pd, Os, Ir, Pa), in particular platinum, or rhenium is used.
DE19929723A 1999-06-29 1999-06-29 Method of making an electrode Expired - Fee Related DE19929723B4 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE19929723A DE19929723B4 (en) 1999-06-29 1999-06-29 Method of making an electrode
PCT/DE2000/002032 WO2001003166A1 (en) 1999-06-29 2000-06-23 Method for producing an electrode
TW089112753A TW531847B (en) 1999-06-29 2000-06-28 Method to produce an electrode and said electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19929723A DE19929723B4 (en) 1999-06-29 1999-06-29 Method of making an electrode

Publications (2)

Publication Number Publication Date
DE19929723A1 DE19929723A1 (en) 2001-01-04
DE19929723B4 true DE19929723B4 (en) 2004-05-06

Family

ID=7912910

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19929723A Expired - Fee Related DE19929723B4 (en) 1999-06-29 1999-06-29 Method of making an electrode

Country Status (3)

Country Link
DE (1) DE19929723B4 (en)
TW (1) TW531847B (en)
WO (1) WO2001003166A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10131491B4 (en) * 2001-06-29 2006-06-29 Infineon Technologies Ag Method for producing a semiconductor memory device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668036A (en) * 1996-06-21 1997-09-16 Vanguard International Semiconductor Corporation Fabrication method of the post structure of the cell for high density DRAM
DE19640448C1 (en) * 1996-09-30 1998-02-19 Siemens Ag Stacked cell capacitor-containing integrated circuit production
US5796573A (en) * 1997-05-29 1998-08-18 International Business Machines Corporation Overhanging separator for self-defining stacked capacitor

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0499372A (en) * 1990-08-17 1992-03-31 Fujitsu Ltd Semiconductor device and manufacture thereof
JP2684978B2 (en) * 1993-11-25 1997-12-03 日本電気株式会社 Semiconductor device
US5614438A (en) * 1995-03-15 1997-03-25 Radiant Technologies, Inc. Method for making LSCO stack electrode
KR0170308B1 (en) * 1995-12-05 1999-02-01 김광호 High dielectronics capacitor fabrication method
KR100226772B1 (en) * 1996-09-25 1999-10-15 김영환 Semiconductor memory device and fabricating method thereof
DE19717363C2 (en) * 1997-04-24 2001-09-06 Siemens Ag Manufacturing process for a platinum metal structure using a lift-off process and use of the manufacturing process
KR100301370B1 (en) * 1998-04-29 2001-10-27 윤종용 Method for manufacturing dram cell capacitor

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668036A (en) * 1996-06-21 1997-09-16 Vanguard International Semiconductor Corporation Fabrication method of the post structure of the cell for high density DRAM
DE19640448C1 (en) * 1996-09-30 1998-02-19 Siemens Ag Stacked cell capacitor-containing integrated circuit production
US5796573A (en) * 1997-05-29 1998-08-18 International Business Machines Corporation Overhanging separator for self-defining stacked capacitor

Also Published As

Publication number Publication date
TW531847B (en) 2003-05-11
WO2001003166A1 (en) 2001-01-11
DE19929723A1 (en) 2001-01-04

Similar Documents

Publication Publication Date Title
DE3841588C2 (en)
DE10000005C1 (en) Method for producing a ferroelectric semiconductor memory
DE10014315B4 (en) Method for producing a semiconductor memory
DE19904781A1 (en) Dielectric capacitor especially a ferroelectric capacitor for a RAM
EP1128428B1 (en) Method of manufacturing a semiconductor device
DE19838741A1 (en) A metal-insulator-metal capacitor for a dynamic random access memory (DRAM) device
DE10163345A1 (en) A capacitor for semiconductor elements and a manufacturing method
DE19649670C2 (en) Method of manufacturing a capacitor of a semiconductor device and capacitor made in this way
DE19947053C1 (en) Trench capacitor used in the production of integrated circuits or chips comprises a trench formed in a substrate, an insulating collar, a trenched sink, a dielectric layer and a conducting trench filling
DE10022649B4 (en) Polishing fluid and method for structuring metal oxides
DE19712540C1 (en) Manufacturing method for a capacitor electrode made of a platinum metal
EP1202333B1 (en) Storage capacitor, contact structure and method of manufacturing
EP1111083B1 (en) Process for making a structured metal layer
EP1113488A2 (en) Method of manufacturing a structured metal oxide containing layer
EP1307906B1 (en) Structuring of ferroelectric layers
DE10022655C2 (en) Process for the production of capacitor structures
DE10262115B4 (en) Integrated circuit device with a plurality of stacked capacitors, metal-insulator-metal capacitor and manufacturing method thereof
DE19929307C1 (en) Structured layer, especially a FRAM capacitor lower electrode, is produced by heat treatment to cause layer material migration from a migration region to a target region of a substrate
DE19929723B4 (en) Method of making an electrode
DE19950540A1 (en) Process for producing a capacitor electrode with a barrier structure
DE10248704B4 (en) A method of fabricating a pit structure for high-K stacked capacitors in DRAMs and FRAMs
DE19620833C2 (en) Method of manufacturing a capacitor of a semiconductor device
EP0987756A2 (en) Stacked DRAM fin capacitor and method of making the same
DE102004022602A1 (en) Method for producing a trench capacitor, method for producing a memory cell, trench capacitor and memory cell
EP1202332B1 (en) Contact structure for a ferroelectric capacitor and method of manufacturing

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: INFINEON TECHNOLOGIES AG, 81669 MUENCHEN, DE

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee