DE112021005509T5 - Resistive schaltende Speicherzelle - Google Patents

Resistive schaltende Speicherzelle Download PDF

Info

Publication number
DE112021005509T5
DE112021005509T5 DE112021005509.7T DE112021005509T DE112021005509T5 DE 112021005509 T5 DE112021005509 T5 DE 112021005509T5 DE 112021005509 T DE112021005509 T DE 112021005509T DE 112021005509 T5 DE112021005509 T5 DE 112021005509T5
Authority
DE
Germany
Prior art keywords
reram
layer
electrode
resistive
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112021005509.7T
Other languages
English (en)
Inventor
Takashi Ando
Praneet Adusumilli
Reinaldo Vega
Cheng Chi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112021005509T5 publication Critical patent/DE112021005509T5/de
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8418Electrodes adapted for focusing electric field or current, e.g. tip-shaped
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • G06N3/065Analogue means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Manufacturing & Machinery (AREA)
  • Data Mining & Analysis (AREA)
  • Computational Linguistics (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Neurology (AREA)
  • Semiconductor Memories (AREA)

Abstract

Es wird eine Einheit eines resistiven Arbeitsspeichers (ReRAM-Einheit) bereitgestellt. Die ReRAM-Einheit enthält eine erste Elektrode, eine erste resistive Struktur in Kontakt mit der ersten Elektrode, eine dielektrische Schicht in Kontakt mit der ersten resistiven Struktur und eine zweite resistive Struktur in Kontakt mit der dielektrische Schicht. Die zweite resistive Struktur enthält eine Schicht aus resistivem Material und einen Kern aus Metall mit hoher Austrittsarbeit. Die ReRAM-Einheit enthält ferner eine zweite Elektrode in Kontakt mit der zweiten resistiven Struktur.

Description

  • HINTERGRUND
  • Die vorliegende Offenbarung betrifft allgemein Herstellungsverfahren und erhaltene Strukturen von elektronischen Einheiten auf Halbleitergrundlage. Insbesondere betrifft die vorliegende Offenbarung eine Zellenstruktur eines resistiven Arbeitsspeichers (ReRAM-Zellenstruktur) mit einem integrierten Zugriffstransistor und einer Gestaltung mit hoher Dichte für neuromorphe Datenverarbeitung, wobei die ReRAM-Zelle verringerte Programmierspannungen aufweist.
  • ReRAM-Strukturen können als ein Typ von nichtflüchtigem (NV) Arbeitsspeicher (RAM) in Datenverarbeitungsressourcen verwendet werden. ReRAM-Einheiten weisen eine einfache Metall-Isolator-Metall-Struktur auf, die erfolgversprechende Eigenschaften hinsichtlich Skalierbarkeit, Betrieb mit geringer Leistungsaufnahme und Fähigkeit zur mehrstufigen Datenspeicherung zeigt, so dass sie für Speicheranwendungen der nächsten Generation geeignet sein können. Ein ReRAM arbeitet gewöhnlich durch gesteuerte Widerstandsänderungen über ein dielektrisches Festkörpermaterial. Das dielektrische Festkörpermaterial kann als Memristor bezeichnet werden. ReRAM kann als eine erfolgversprechende Technologie für elektronische Synapseneinheiten (oder Memristoren) für die neuromorphe Datenverarbeitung und für Anwendungen von nichtflüchtigen Speichern mit hoher Dichte und hoher Geschwindigkeit angesehen werden. Bei neuromorphen Datenverarbeitungsanwendungen kann eine resistive Speichereinheit als Verbindung (Synapse), die das Verbindungsgewicht in der Form von Widerstand der Einheit darstellt, zwischen einem Prä-Neuron und einen Post-Neuron verwendet werden. Es können mehrere Prä-Neuronen und Post-Neuronen durch ein Crossbar-Array von ReRAMs verbunden werden, wodurch ein vollständig verbundenes neuronales Netz ermöglicht werden kann.
  • Sauerstofffehlstellen in einer Metalloxidschicht einer ReRAM-Einheit sind die Bausteine eines stromleitenden Filaments. Daher kann es wünschenswert sein, ReRAM-Zellen ohne Einführen von Schädigung in einem Umgebungsbereich davon zu bilden. Ferner kann es wünschenswert sein, ReRAM-Zellen mit einer robusten Verkapselung zu bilden, um Eindringen von Sauerstoff bei den nachfolgenden Verfahren zu verhindern.
  • KURZDARSTELLUNG
  • Ausführungsformen der vorliegenden Offenbarung betreffen eine Einheit eines resistiven Arbeitsspeichers (ReRAM-Einheit). Die ReRAM-Einheit enthält eine erste Elektrode, eine erste resistive Struktur in Kontakt mit der ersten Elektrode, eine dielektrische Schicht in Kontakt mit der ersten resistiven Struktur und eine zweite resistive Struktur in Kontakt mit der dielektrischen Schicht. Die zweite resistive Struktur enthält eine Schicht aus resistivem Material und einen Kern aus Metall mit hoher Austrittsarbeit. Ferner enthält die ReRAM-Einheit eine zweite Elektrode in Kontakt mit der zweiten resistiven Struktur.
  • Andere Ausführungsformen betreffen ein Verfahren zur Herstellung einer Einheit eines resistiven Arbeitsspeichers (ReRAM-Einheit). Das Verfahren schließt Bilden einer ersten Elektrode, Bilden einer ersten resistiven Struktur in Kontakt mit der ersten Elektrode, Bilden einer dielektrischen Schicht in Kontakt mit der ersten resistiven Struktur und Bilden einer zweiten resistiven Struktur in Kontakt mit der dielektrischen Schicht ein, wobei die zweite resistive Struktur eine Schicht aus resistivem Material und einen Kern aus Metall mit hoher Austrittsarbeit enthält. Ferner schließt das Verfahren Bilden einer zweiten Elektrode in Kontakt mit der zweiten resistiven Struktur ein.
  • Die vorstehende Kurzdarstellung ist nicht zur Beschreibung jeder dargestellten Ausführungsform oder jeder Implementierung der vorliegenden Offenbarung vorgesehen.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Die in der vorliegenden Anmeldung enthaltenen Zeichnungen sind in die Beschreibung aufgenommen und bilden einen Teil davon. Sie veranschaulichen Ausführungsformen der vorliegenden Offenbarung und erklären zusammen mit der Beschreibung die Grundgedanken der Offenbarung. Die Zeichnungen sind lediglich veranschaulichend für bestimmte Ausführungsformen und beschränken die Offenbarung nicht.
    • 1 ist eine Schnittansicht einer ReRAM-Einheit bei einer Zwischenstufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 2 ist eine Schnittansicht der ReRAM-Einheit von 1 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 3 ist eine Schnittansicht der ReRAM-Einheit von 2 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 4 ist eine Schnittansicht der ReRAM-Einheit von 3 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 5 ist eine Schnittansicht der ReRAM-Einheit von 4 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 6 ist eine Schnittansicht der ReRAM-Einheit von 5 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 7 ist eine Schnittansicht der ReRAM-Einheit von 6 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 8 ist eine Schnittansicht der ReRAM-Einheit von 7 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 9 ist eine Schnittansicht der ReRAM-Einheit von 8 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
    • 10 ist eine Schnittansicht der ReRAM-Einheit von 9 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft allgemein Herstellungsverfahren und erhaltene Strukturen für elektronische Einheiten auf Halbleitergrundlage. Insbesondere betrifft die vorliegende Offenbarung Zellenstrukturen eines resistiven Arbeitsspeichers (ReRAM-Zellenstrukturen) mit einem integrierten Zugriffstransistor und einer Gestaltung mit hoher Dichte, die bei Anwendungen der neuromorphen Datenverarbeitung verwendet werden können, und Verfahren zur Herstellung derartiger ReRAM-Einheiten.
  • Die Ablaufpläne und Schnittdiagramme in den Figuren veranschaulichen Verfahren zur Herstellung von ReRAM-Einheiten gemäß verschiedenen Ausführungsformen. Bei manchen alternativen Implementierungen können die Herstellungsschritte in einer anderen Reihenfolge als bei der in den Figuren angegebenen ablaufen, und bestimmte zusätzliche Herstellungsschritte können zwischen den in den Figuren angegebenen Schritten implementiert sein. Ferner kann jede der in den Figuren dargestellten Schichtstrukturen mehrere Teilschichten enthalten.
  • Hierin werden verschiedene Ausführungsformen der vorliegenden Offenbarung mit Bezug auf die entsprechenden Zeichnungen beschrieben. Es können alternative Ausführungsformen entwickelt werden, ohne von dem Umfang der vorliegenden Offenbarung abzuweichen. Es wird angemerkt, dass in der nachstehenden Beschreibung und in den Zeichnungen verschiedene Verbindungen und Positionsbeziehungen (z.B. über, unter, benachbart usw.) angegeben werden. Diese Verbindungen und/oder Positionsbeziehungen können, wenn nicht anders angegeben, direkt oder indirekt sein und die vorliegende Offenbarung soll in dieser Hinsicht nicht beschränkend sein. Demgemäß kann sich eine Kopplung von Entitäten auf eine direkte oder eine indirekte Kopplung beziehen, und eine Positionsbeziehung zwischen Entitäten kann eine direkte oder eine indirekte Positionsbeziehung sein. Als ein Beispiel einer indirekten Positionsbeziehung schließen Verweise in der vorliegenden Beschreibung auf das Bilden einer Schicht „A“ über einer Schicht „B“ Situationen ein, bei denen eine oder mehrere Zwischenschichten (z.B. Schicht „C“) zwischen Schicht „A“ und Schicht „B“ vorhanden sind, solange die relevanten Charakteristika und Funktionalitäten von Schicht „A“ und Schicht „B“ durch die dazwischenliegende(n) Schicht(en) nicht wesentlich verändert werden.
  • Die nachstehenden Definitionen und Abkürzungen sind für die Interpretation der Ansprüche und der Beschreibung zu verwenden. Wie hierin verwendet, sollen die Begriffe „weist auf“, „aufweisend“, „schließt ein“, „einschließlich“, „hat“, „haben“, „enthält“ und „enthaltend“ und beliebige andere Variationen davon ein nicht-ausschließendes Einschließen abdecken. Beispielsweise ist eine Zusammensetzung, ein Gemisch, ein Verfahren, eine Methode, ein Gegenstand oder eine Vorrichtung, die/das/der eine Liste von Elementen aufweist, nicht notwendigerweise auf nur diese Elemente beschränkt, sondern kann auch andere Elemente enthalten, die nicht ausdrücklich aufgelistet oder dieser/diesem Zusammensetzung, Gemisch, Verfahren, Methode, Gegenstand oder Vorrichtung inhärent sind.
  • Für die Zwecke der nachstehenden Beschreibung sollen sich die Begriffe „oberes“, „unteres“, „rechts“, „links“, „vertikal“, „horizontal“, „oben“, „unten“ und Ableitungen davon auf die beschriebenen Strukturen und Verfahren wie in den Zeichnungen der Figuren orientiert beziehen. Die Begriffe „darüber liegend“, „darauf“, „auf“, „darauf angeordnet“ und „oben angeordnet“ bedeuten, dass ein erstes Element, wie z.B. eine erste Struktur, auf einem zweiten Element, wie z.B. einer zweiten Struktur, vorhanden ist, wobei dazwischenliegende Elemente, wie z.B. eine Grenzflächenstruktur, zwischen dem ersten Element und dem zweiten Element vorhanden sein können. Der Begriff „direkter Kontakt“ bedeutet, dass ein erstes Element, wie z.B. eine erste Struktur, und ein zweites Element, wie z.B. eine zweite Struktur, ohne dazwischenliegende leitfähige, isolierende oder halbleitende Schichten an der Grenzfläche der beiden Elemente verbunden sind. Es ist zu beachten, dass der Begriff „selektiv gegenüber“, wie z.B. „ein erstes Element selektiv gegenüber einem zweiten Element“, bedeutet, dass ein erstes Element geätzt werden kann und das zweite Element als Ätzstopp wirken kann.
  • Aus Gründen der Knappheit können herkömmliche Verfahren in Verbindung mit der Herstellung von Halbleitereinheiten und integrierten Schaltungen (IC) hierin ausführlich beschrieben werden oder auch nicht. Ferner können die verschiedenen hierin beschriebenen Aufgaben und Verfahrensschritte in ein umfassenderes Verfahren oder ein Verfahren mit zusätzlichen Schritten oder einer hierin nicht ausführlich beschriebenen Funktionalität aufgenommen werden. Insbesondere sind verschiedene Schritte bei der Herstellung von Halbleitereinheiten und ICs auf Halbleiterbasis gut bekannt, so dass im Sinne von Knappheit viele herkömmliche Schritte hierin nur kurz erwähnt oder ganz weggelassen werden, ohne die gut bekannten Einzelheiten der Verfahren zu beschreiben.
  • Die verschiedenen Verfahren, die zur Herstellung eines Mikrochips, der in einen IC verpackt werden wird, verwendet werden, fallen im Allgemeinen in vier allgemeine Kategorien, nämlich Dünnschichtabscheidung, Entfernen/Ätzen, Halbleiterdotieren und Strukturieren/Lithographie. Abscheiden ist ein beliebiges Verfahren, das ein Material auf den Wafer aufwächst, schichtet oder auf andere Weise überführt. Zu verfügbaren Technologien gehören unter anderem physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), elektrochemische Abscheidung (ECD), Molekülstrahlepitaxie (MBE) und aktueller Atomlagenabscheidung (ALD). Entfernen/Ätzen ist ein beliebiges Verfahren, das Material von dem Wafer entfernt. Zu Beispielen gehören Ätzverfahren (nass oder trocken) und chemisch-mechanische Planarisierung (CMP) und dergleichen. Halbleiterdotieren ist das Modifizieren von elektrischen Eigenschaften durch Dotieren, beispielsweise von Sources und Drains von Transistoren, im Allgemeinen durch Diffusion und/oder Ionenimplantation. Diesen Dotierverfahren folgt Ofentempern oder schnelles Wärmetempern (RTA). Tempern dient zum Aktivieren der implantierten Dotierstoffe. Dünnschichten sowohl von Leitern (z.B. Polysilicium, Aluminium, Kupfer usw.) als auch Isolatoren (z.B. verschiedene Formen von Siliciumdioxid, Siliciumnitrid usw.) werden verwendet, um Transistoren und ihre Komponenten zu verbinden und zu isolieren. Selektives Dotieren von verschiedenen Bereichen des Halbleitersubstrats ermöglicht Verändern der Leitfähigkeit des Substrats durch Anlegen von Spannung. Durch Erzeugen von Strukturen aus diesen verschiedenen Komponenten können Millionen von Transistoren gebaut und miteinander verdrahtet werden, um die komplexe Schaltung einer modernen mikroelektronischen Einheit zu bilden. Halbleiterlithographie ist das Bilden von dreidimensionalen Reliefbildern oder Strukturen auf dem Halbleitersubstrat für die nachfolgende Übertragung der Struktur auf das Substrat. Bei der Halbleiterlithographie werden die Strukturen durch ein lichtempfindliches Polymer, das „Photoresist“ genannt wird, gebildet. Zum Aufbauen der komplexen Strukturen, die einen Transistor bilden, und der zahlreichen Drähte, die die Millionen von Transistoren einer Schaltung verbinden, werden Lithographie- und Ätzstruktur-Übertragungsschritte mehrmals wiederholt. Jede auf den Wafer gedruckte Struktur wird gegenüber den zuvor gebildeten Strukturen ausgerichtet, und langsam werden die Leiter, Isolatoren und selektiv dotierten Bereiche zu der fertigen Einheit aufgebaut.
  • Um nun zu einer Übersicht über Technologien zu kommen, die für Erscheinungsformen der vorliegenden Offenbarung spezifischer relevant sind, kann in neuromorphen Datenverarbeitungsanwendungen eine resistive Speichereinheit (z.B. eine ReRAM-Einheit) als Verbindung (Synapse), die das Verbindungsgewicht in der Form von Widerstand der Einheit darstellt, zwischen einem Prä-Neuron und einem Post-Neuron verwendet werden.
  • Mehrere Prä-Neuronen und Post-Neuronen können durch ein Crossbar-Array von RRAMs verbunden sein, wodurch ein vollständig verbundenes neuronales Netz verkörpert wird.
  • Ein RRAM-Crossbar-Array kann in Verbindung mit resistiv schaltendem Material, das auf den unteren Elektroden gebildet ist, hergestellt werden. Die oberen Elektroden werden auf der Verbindung mit resistiv schaltendem Material gebildet, um ein Crossbar-Array von RRAMs zu bilden.
  • Nichtflüchtige, resistiv schaltende Metalloxide, wie z.B. HfOx, TaOx und TiOx, werden in durch Lithographieverfahren definierte Nano-Crossbar-Arrays und Nano-Crosspoints integriert. Dies ermöglicht einen schnellen Herstellungsweg für Prototyp-Teststrukturen mit hoher Dichte von passiven Speicherkernen mit zwei Anschlüssen. Die Strukturen und das integrierte Material werden elektrisch charakterisiert, um Einblick in die allgemeinen Eigenschaften von Nano-Crossbar-Arrays mit resistiv schaltenden Metalloxiden zu gewinnen und die Anforderungen an ein externes CMOS-Steuersystem zu definieren.
  • Nichtflüchtige und resistiv schaltende Materialien mit zwei stabilen Zuständen, wie z.B. HfO2, werden als Speichereinheiten mit zwei Anschlüssen integriert, um wirkungsvoll eine ReRAM-Bitstruktur zu erzeugen. Diese Zellen können zu Crossbar-Arrays integriert werden. Das Schaltmaterial, das an jeder Verbindung an einem Crosspoint vorhanden ist, ist eine ansprechbare Zelle eines ReRAM. Da das Array aus passiven Elementen besteht, ist eine zusätzliche aktive externe Schaltung für den Betrieb erforderlich, um die Zellen anzusprechen, ihren Zustand zu setzen und rückzusetzen und die gespeicherten Informationen auszulesen.
  • Künstliche neuronale Netze („artificial neural networks,“ ANNs) können aus Crossbar-Arrays von resistiven Verarbeitungseinheiten („resistive processing units,“ RPUs) gebildet werden, die lokale Datenspeicherung und lokale Datenverarbeitung ohne die Notwendigkeit zusätzlicher Verarbeitungselemente über die RPU hinaus bereitstellen. Die trainierbaren resistiven Crosspointeinheiten werden als RPUs bezeichnet.
  • Die Neuronen werden in CMOS-Schaltungen mit einem Crossbar-Array von Einheiten, das eine Matrix speichert, integriert. Die Eingabeneuronen bilden zusammen mit den verborgenen Neuronenschichten und den Ausgabeneuronen ein neuronales Netz. Eingabesignale können vorwärts und rückwärts durch das Netz geleitet werden und können auch zum Aktualisieren der Gewichte in der Matrix verwendet werden.
  • Crossbar-Arrays (Crosspoint-Arrays oder Crosswire-Arrays) sind Schaltungsarchitekturen mit hoher Dichte und niedrigen Kosten, die für verschiedene elektronische Schaltungen und Einheiten verwendet werden, einschließlich ANN-Architekturen, neuromorphe Mikrochips und nichtflüchtige Speicher mit ultrahoher Dichte. Eine Grundkonfiguration eines Crossbar-Arrays enthält einen Satz von leitfähigen Reihendrähten und einen Satz von leitfähigen Spaltendrähten, die dafür gestaltet sind, den Satz von leitfähigen Reihendrähten zu überschneiden. Die Kreuzungspunkte zwischen den beiden Sätzen von Drähten werden durch so genannte Crosspoint-Einheiten getrennt, die aus Dünnschichtmaterial gebildet sein können. Tatsächlich wirken Crosspoint-Einheiten als die gewichteten Verbindungen des ANN zwischen Neuronen. Nanoskalige Einheiten mit zwei Anschlüssen, beispielsweise Memristoren mit Leitfähigkeitszustand-schaltenden Eigenschaften, werden oft als die Crosspoint-Einheiten verwendet, um synaptische Plastizität mit hoher Energieeffizient nachzuahmen. Der Leitfähigkeitszustand (z.B. Widerstand) des resistiven Materials kann durch Steuern der zwischen einzelnen Drähten der Reihen- und Spaltendrähte angelegten Spannungen verändert werden.
  • Die resistive Verarbeitungseinheit (RPU) kann die Funktionalität der neuromorphen Datenverarbeitung weiter verbessern. Die neue Klasse von Einheiten (RPU) kann als Verarbeitungseinheiten zum Beschleunigen verschiedener Algorithmen, einschließlich des Trainings neuronaler Netze, verwendet werden.
  • Bei bestimmten der vorliegenden Ausführungsformen enthalten die ReRAM-Einheiten eine Metalloxidschicht, die zwischen einer oberen Elektrode und einer unteren Elektrode angeordnet ist (d.h. eine Metall-Isolator-Metall-Struktur). Sauerstofffehlstellen in der Metalloxidschicht ermöglichen das elektrische Bilden eines stromleitenden Filaments („current conducting filament,“ CF) darin. In vielen ReRAM-Einheiten beruht der Mechanismus, der die Informationsspeicherung ermöglicht, auf Bilden und Unterbrechen dieses CF, das zwischen den beiden Elektroden gebildet wird, mit dem Ergebnis von wiederholbarem resistivem Schalten zwischen Zuständen mit hohem Widerstand („high resistance states,“ HRS) und Zuständen mit niedrigem Widerstand („low resistance states,“ LRS). Hinsichtlich des CF wird sich, wenn ein Oxidmetall sandwichartig zwischen zwei Elektroden geschichtet ist und eine ausreichende positive Spannung an die obere Elektrode angelegt wird, das CF zwischen den beiden Elektroden bilden, mit dem Ergebnis eines Zustands mit niedrigem Widerstand. Wenn dagegen eine ausreichende negative Spannung an die untere Elektrode angelegt wird, bricht das CF und es entsteht ein Zustand mit hohem Widerstand. Bei bestimmten Ausführungsformen der Oxid-ReRAM-Einheit wird das Bilden des CF durch feldunterstützte Sauerstoffionenwanderung ausgelöst, mit der Folge einer wie vorstehend erläuterten Veränderung der elektronischen Leitfähigkeit (oder des spezifischen Widerstands) der Schalteinheit. Für Oxid-ReRAM-Einheiten ist das elektrische Bilden des CF erforderlich.
  • Das Verfahren zum Bilden des leitfähigen Filaments kann mit einem gewissen Maß an Zufälligkeit verbunden sein, und die Position des erzeugten Filaments kann nicht immer gut beherrscht werden. Dies kann zu der Notwendigkeit einer höheren Bildungsspannung bei Skalierung der ReRAM-Zelle führen, was zu einer höheren Variabilität der Einheit führen kann. Bei bestimmten ReRAM-Einheiten kann Si-Implantation nach dem Verfahren des reaktiven lonenätzens (RIE) von Säulen verwendet werden, was eine wesentliche Verringerung der CF-bildenden Spannung ermöglichen kann.
  • In den Zeichnungen, in denen gleiche Benummerungen gleiche oder ähnliche Elemente bezeichnen, zeigt zunächst 1 eine Schnittansicht einer ReRAM-Einheit bei einer Zwischenstufe des Herstellungsverfahrens gemäß bestimmten Ausführungsformen. Wie in 1 gezeigt, enthält die ReRAM-Einheit 100 ein Substrat 102. Das Halbleitersubstrat 102 kann ein beliebiges Halbleitermaterial enthalten, das halbleitende Eigenschaften aufweist. Zu dem Halbleitermaterial, das das Halbleitersubstrat 102 bereitstellt, kann beispielsweise Silicium (Si), Germanium (Ge), eine Silicium-Germanium-Legierung (SiGe), Siliciumcarbid (SiC), Silicium-Germaniumcarbid (SiGeC), ein III-V-Verbindungshalbleiter oder ein II-VI-Verbindungshalbleiter gehören. In dem Substrat 102 werden Bereiche von flacher Grabenisolation (STI) 104 gebildet, die zum Isolieren eines Transistors von einem anderen Transistor dienen. Es werden ein Source-Bereich 106 und ein Drain-Bereich 108 gebildet, die dotierte Bereiche des Siliciumsubstrats 102 sind. Eine Gate-Elektrode 116 wird in einem Bereich zwischen dem Source-Bereich 106 und dem Drain-Bereich 108 auf dem Substrat 102 gebildet. Ein dielektrischer Abstandshalter 118 wird um die Gate-Elektrode 116 gebildet. Der dielektrische Abstandshalter 118 kann SiN oder einen anderen geeigneten Typ von dielektrischem Material aufweisen. Eine erste Zwischenschichtdielektrikum(ILD)-Schicht 110 wird auf dem Substrat 102 über den STI-Bereichen 104, dem Source-Bereich 106, dem Drain-Bereich 108 und dem dielektrischen Abstandshalter 118 gebildet. Auch wenn in 1 nicht gezeigt, werden in der ersten ILD-Schicht 110 Durchgangslöcher gebildet, um der Herstellung von unteren Elektroden Platz zu bieten, die eine Durchgangsloch-Verkleidungsschicht 112 und einen Durchgangsloch-Kern 114 aufweisen. Die Durchgangsloch-Verkleidungsschicht 112 kann TaN oder TiN oder ein beliebiges anderes geeignetes Material enthalten. Der Durchgangsloch-Kern 114 kann beispielsweise W enthalten. Nach dem Bilden der unteren Elektroden kann die ReRAM-Einheit 100 einer chemisch-mechanischen Planarisierung (CMP) unterzogen werden, um die obere Oberfläche der Einheit zu planarisieren. Anschließend wird eine erste SiN-Schicht 120 auf der Oberseite der ersten ILD-Schicht 110 und den Oberseiten der Durchgangsloch-Kerne 114 gebildet.
  • 2 zeigt eine Schnittansicht der ReRAM-Einheit 100 von 1 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 2 gezeigt, wird eine Durchgangsöffnung 122 in der ersten SiN-Schicht 120 gebildet. Die Durchgangsöffnung 122 kann durch ein RIE-Verfahren oder durch beliebiges anderes geeignetes Materialentfernungsverfahren gebildet werden.
  • 3 zeigt eine Schnittansicht der ReRAM-Einheit von 2 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 3 gezeigt, wird eine Verkleidungsschicht 124 gebildet, um die Durchgangsöffnung 122 teilweise zu füllen. Die Verkleidungsschicht 124 kann TiN oder ein anderes geeignetes Material aufweisen. Das Material der Verkleidungsschicht 124 kann das gleiche Material wie jenes der vorstehend mit Bezug auf 1 beschriebenen Durchgangsloch-Verkleidungsschicht 112 sein oder es kann ein anderes Material sein. Da die Verkleidungsschicht 124 dafür gestaltet wird, die Durchgangsöffnung 122 nur teilweise zu füllen, bleibt ein Raum zurück, der Bilden der Schicht aus Metall mit hoher WF 125 erlaubt. Dies kann so gesehen werden, dass die Schicht aus Metall mit hoher WF 125 (oder der Kern aus Metall mit hoher Austrittsarbeit (WF)) in die Verkleidungsschicht 124 (oder Schicht aus resistivem Material) eingebettet (oder darin gebildet) wird. Das bedeutet, dass bestimmte Verfahren zur Herstellung einer ReRAM-Einheit Füllen eines Teils des Durchgangslochs (oder der Durchgangsöffnung 122) mit der Schicht aus hoch resistivem Material (oder der Verkleidungsschicht 124) und dann Füllen eines verbleibenden Teils der Durchgangsöffnung 122 mit dem Kern aus Metall mit hoher Austrittsarbeit (oder der Schicht aus Metall mit hoher WF 125) in einer Mitte der ersten resistiven Struktur (d.h. eine Kombination der Verkleidungsschicht 124 und der Schicht aus Metall mit hoher WF 125) einschließt. Die Schicht aus Metall mit hoher WF 125 kann beispielsweise aus Ru oder jedem anderen geeigneten Material mit hoher WF (z.B. > 4,9 eV), wie z.B. Ir oder Pt, bestehen. Nach dem Bilden der Schicht aus Metall mit hoher WF 125 kann die ReRAM-Einheit 100 einem CMP-Verfahren unterworfen werden, um die Struktur zu planarisieren. Wie in 3 gezeigt, ist eine Breite der Schicht aus Metall mit hoher WF 125 kleiner als die Breite des Durchgangsloch-Kerns 114. Wie hierin ausführlicher beschrieben, verstärken die Struktur und das Material der Schicht aus Metall mit hoher WF 125 (z.B. die schmale Breite) das elektrische Feld nahe der unteren Elektrode, dem Durchgangsloch-Kern 114, während des Elektroformens und erleichtern das CF-Bilden nahe der Mitte der Einheit. Somit können Probleme in Verbindung mit der vorstehend erläuterten Zufälligkeit des CF-Bildens abgeschwächt oder beseitigt werden.
  • 4 zeigt eine Schnittansicht der ReRAM-Einheit 100 von 3 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 4 gezeigt, wird zunächst über der gesamten ReRAM-Einheit 100 eine Metalloxidschicht 128 gebildet. Die Metalloxidschicht 128 kann HfO2 oder jedes/jede andere geeignete Metalloxidmaterial oder Kombination von Metalloxidmaterialien aufweisen. Über der gesamten Oberfläche der Metalloxidschicht 128 wird eine obere Elektrode 130 gebildet. Die obere Elektrode 130 kann TiN oder ein beliebiges anderes geeignetes Material aufweisen. Das Material der oberen Elektrode 130 kann das gleiche Material wie jenes der Durchgangsloch-Verkleidungsschicht 112 und/oder der Verkleidungsschicht 124 oder davon verschieden sein. Anschließend wird über die gesamte Oberfläche der oberen Elektrode 130 eine Hartmaske 132 abgeschieden. Die Hartmaske 132 kann SiN oder ein beliebiges anderes geeignetes Material aufweisen. Das Abscheiden des Materials der Hartmaske 132 kann ein beliebiges Abscheidungsverfahren einschließen, wie z.B. chemische Gasphasenabscheidung oder plasmaverstärkte chemische Gasphasenabscheidung.
  • 5 zeigt eine Schnittansicht der ReRAM-Einheit 100 von 4 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 5 gezeigt, wird Ätzen an der Hartmaskenschicht 132, der oberen Elektrode 130 und der Metalloxidschicht 128 durchgeführt, um diese Schichten zu einer ReRAM-Säule zu strukturieren. Bei bestimmten Beispielen können die Breite der strukturierten Hartmaskenschicht 132, der oberen Elektrode 130 und der Metalloxidschicht 128 zur Vereinfachung der Fertigungsintegration etwas größer als die Breite der unteren Elektrodenstruktur sein, um ungewollte Ätzung der Verkleidungsschicht 124 zu verhindern.
  • 6 zeigt eine Schnittansicht der ReRAM-Einheit von 5 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 6 gezeigt, wird zusätzliches Material (z.B. SiN) hinzugefügt, um die Hartmaske 132 zu erweitern und eine Verkapselungsschicht über der gesamten Oberfläche der ReRAM-Einheit 100 zu bilden. Die Hartmaske 132 kann beispielsweise SiN oder ein beliebiges anderes geeignetes Material aufweisen. Anschließend kann eine zweite ILD-Schicht 136 über der gesamten Oberfläche der Hartmaske 132 abgeschieden werden. Es ist zu beachten, dass die Hartmaske 132 zwar als eine einzelne Schicht gezeigt wird, dass aber eine gesonderte Verkapselungsschicht (d.h. aus einem anderen Material als jenes der Hartmaske 132) über der Hartmaske 132 gebildet werden kann. Die zweite ILD-Schicht 136 kann aufweisen. Bei bestimmten Ausführungsformen wird die ReRAM-Einheit 100 nach dem Bilden der zweiten ILD-Schicht 136 einem CMP-Verfahren unterworfen, um die Oberfläche der Einheit zu planarisieren.
  • 7 zeigt eine Schnittansicht der ReRAM-Einheit von 6 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 7 gezeigt, wird ein Kontakt-Durchgangsloch 138 durch Ätzen durch die zweite ILD-Schicht 136 und die Hartmaske 132 gebildet. Das Kontakt-Durchgangsloch 138 kann Platz für das Bilden der oberen Elektrode bieten.
  • 8 zeigt eine Schnittansicht der ReRAM-Einheit von 7 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 8 gezeigt, enthält die obere Elektrode eine Durchgangsloch-Verkleidungsschicht 146 der oberen Elektrode und einen Durchgangsloch-Kern 148 der oberen Elektrode. Die Durchgangsloch-Verkleidungsschicht 146 der oberen Elektrode kann TaN oder TiN oder ein beliebiges anderes geeignetes Material enthalten. Der Durchgangsloch-Kern 148 der oberen Elektrode kann beispielsweise W enthalten. Nach dem Bilden der oberen Elektrode kann die ReRAM-Einheit 100 einer chemisch-mechanischen Planarisierung (CMP) unterworfen werden, um die obere Oberfläche der Einheit zu planarisieren.
  • 9 zeigt eine Schnittansicht der ReRAM-Einheit von 8 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 9 gezeigt, wird eine zweite SiN-Schicht 150 über die gesamte Oberfläche der ReRAM-Einheit 100 abgeschieden. Anschließend wird ein peripheres Kontakt-Durchgangsloch 152 durch Ätzen durch die zweite SiN-Schicht 150, die zweite ILD-Schicht 136, die Hartmaske 132 und die erste SiN-Schicht 120 gebildet, um den Durchgangsloch-Kern 114 freizulegen.
  • 10 zeigt eine Schnittansicht der ReRAM-Einheit von 9 bei einer nachfolgenden Stufe des Herstellungsverfahrens gemäß Ausführungsformen. Wie in 10 gezeigt, wird nach dem Bilden des peripheren Kontakt-Durchgangslochs 152 eine Verkleidungsschicht 154 des peripheren Kontakt-Durchgangslochs abgeschieden. Die Verkleidungsschicht 154 des peripheren Kontakt-Durchgangslochs kann TaN oder TiN oder ein beliebiges anderes geeignetes Material aufweisen. Der Kern 156 des peripheren Kontakt-Durchgangslochs kann beispielsweise W enthalten. Nach dem Bilden des peripheren Kontakts wird zusätzliches Material zu der zweiten SiN-Schicht 150 angefügt, um die obere Oberfläche des Kerns 156 des peripheren Kontakt-Durchgangslochs zu bedecken. Bei bestimmten Beispielen kann die ReRAM-Einheit 100 anschließend einer chemisch-mechanischen Planarisierung (CMP) unterworfen werden, um die obere Oberfläche der Einheit zu planarisieren.
  • Dadurch, dass eine Schicht des Kerns aus Metall mit hoher Austrittsarbeit eine kleinere Breite als die untere Elektrode aufweist, kann der Ort des Bildens des leitfähigen Filaments (CF) so gesteuert werden, dass er diesem Ort entspricht, wodurch etwas an der Zufälligkeit des Orts des CF-Bildens beseitigt wird. Ferner kann dadurch, dass das leitfähige Filament lokalisiert (oder zentralisiert) gemacht wird, die Höhe der Spannung verringert werden, die angelegt werden muss, um den Widerstandszustand von einem Zustand mit hohem Widerstand zu einem Zustand mit niedrigem Widerstand zu verändern.
  • Bei den vorstehend beschriebenen Ausführungsformen wird die Schicht des Kerns aus Metall mit hoher Austrittsarbeit als in der unteren Elektrode gebildet beschrieben (d.h. der Elektrode, die dem darunter liegenden Substrat am nächsten liegt). Es ist jedoch zu beachten, dass bei anderen Ausführungsformen die Schicht des Kerns aus Metall mit hoher WF in der oberen Elektrode oder sowohl in der oberen als auch in der unteren Elektrode gebildet werden kann.
  • Die Beschreibungen der verschiedenen Ausführungsformen sind zu Zwecken der Veranschaulichung gegeben worden und sind nicht als erschöpfend oder auf die offenbarten Ausführungsformen beschränkt vorgesehen. Dem Fachmann werden zahlreiche Modifikationen und Variationen nahe liegen, ohne von dem Umfang der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Grundgedanken der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber Technologien auf dem Markt am besten zu erklären oder um anderen Fachleuten das Verständnis der hierin offenbarten Ausführungsformen zu ermöglichen.

Claims (20)

  1. Einheit eines resistiven Arbeitsspeichers (ReRAM-Einheit), aufweisend: eine erste Elektrode; eine erste resistive Struktur in Kontakt mit der ersten Elektrode; eine dielektrische Schicht in Kontakt mit der ersten resistiven Struktur; eine zweite resistive Struktur in Kontakt mit der dielektrischen Schicht, wobei die zweite resistive Struktur eine Schicht aus resistivem Material und einen Kern aus Metall mit hoher Austrittsarbeit enthält; und eine zweite Elektrode in Kontakt mit der zweiten resistiven Struktur.
  2. ReRAM-Einheit nach Anspruch 1, wobei der Kern aus Metall mit hoher Austrittsarbeit wenigstens eines ausgewählt aus der Gruppe bestehend aus Ru, Ir und Pt aufweist.
  3. ReRAM-Einheit nach Anspruch 1, wobei der Kern aus Metall mit hoher Austrittsarbeit eine Breite aufweist, die kleiner als eine Breite der ersten Elektrode und eine Breite der zweiten Elektrode ist.
  4. ReRAM-Einheit nach Anspruch 3, wobei der Kern aus Metall mit hoher Austrittsarbeit in einer Mitte der zweiten resistiven Struktur angeordnet ist.
  5. ReRAM-Einheit nach Anspruch 1, wobei der Kern aus Metall mit hoher Austrittsarbeit in der Schicht aus resistivem Material eingebettet ist.
  6. ReRAM-Einheit nach Anspruch 1, wobei die dielektrische Schicht ein Metalloxidmaterial aufweist.
  7. ReRAM-Einheit nach Anspruch 6, wobei das Metalloxidmaterial HfO2 ist.
  8. ReRAM-Einheit nach Anspruch 1, wobei der Kern aus Metall mit hoher Austrittsarbeit eine Austrittsarbeit von > 4,9 eV aufweist.
  9. ReRAM-Einheit nach Anspruch 1, ferner aufweisend eine Verkapselungsschicht, die über der ersten Elektrode gebildet ist, und eine Zwischenschichtdielektrikum-Schicht, die über der Verkapselungsschicht gebildet ist.
  10. ReRAM-Einheit nach Anspruch 1, wobei die erste Elektrode und die zweite Elektrode jeweils eine Verkleidungsschicht und einen auf der Verkleidungsschicht gebildeten Durchgangsloch-Kern enthalten.
  11. Verfahren zur Herstellung einer Einheit eines resistiven Arbeitsspeichers (ReRAM-Einheit), aufweisend: Bilden einer ersten Elektrode; Bilden einer ersten resistiven Struktur in Kontakt mit der ersten Elektrode; Bilden einer dielektrischen Schicht in Kontakt mit der ersten resistiven Struktur; Bilden einer zweiten resistiven Struktur in Kontakt mit der dielektrischen Schicht; und Bilden einer zweiten Elektrode in Kontakt mit der zweiten resistiven Struktur, wobei die erste resistive Struktur eine Schicht aus resistivem Material und einen Kern aus Metall mit hoher Austrittsarbeit enthält.
  12. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, wobei der Kern aus Metall mit hoher Austrittsarbeit wenigstens eines ausgewählt aus der Gruppe bestehend aus Ru, Ir und Pt aufweist.
  13. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, wobei der Kern aus Metall mit hoher Austrittsarbeit eine Breite aufweist, die kleiner als eine Breite der ersten Elektrode und eine Breite der zweiten Elektrode ist.
  14. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 13, wobei Bilden der ersten resistiven Struktur einschließt: Bilden einer SiN-Schicht auf der ersten Elektrode; Ätzen eines Durchgangslochs in der SiN-Schicht; Füllen eines Teils des Durchgangslochs mit der Schicht aus hoch resistivem Material; und Füllen eines verbleibenden Teils des Durchgangslochs mit dem Kern aus Metall mit hoher Austrittsarbeit an einer Mitte der ersten resistiven Struktur.
  15. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, wobei der Kern aus Metall mit hoher Austrittsarbeit in der Schicht aus resistivem Material eingebettet ist.
  16. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, wobei die dielektrische Schicht ein Metalloxidmaterial aufweist.
  17. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 16, wobei das Metalloxidmaterial HfO2 ist.
  18. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, wobei der Kern aus Metall mit hoher Austrittsarbeit eine Austrittsarbeit von > 4,9 eV aufweist.
  19. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, ferner aufweisend Bilden einer Verkapselungsschicht über der zweiten resistiven Struktur und Bilden einer Zwischenschicht-Dielektrikumschicht über der Verkapselungsschicht.
  20. Verfahren zur Herstellung der ReRAM-Einheit nach Anspruch 11, wobei die erste Elektrode und die zweite Elektrode jeweils eine Verkleidungsschicht und einen auf der Verkleidungsschicht gebildeten Durchgangsloch-Kern enthalten.
DE112021005509.7T 2020-11-19 2021-10-11 Resistive schaltende Speicherzelle Pending DE112021005509T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/952,203 2020-11-19
US16/952,203 US11502252B2 (en) 2020-11-19 2020-11-19 Resistive switching memory cell
PCT/CN2021/123123 WO2022105476A1 (en) 2020-11-19 2021-10-11 Resistive switching memory cell

Publications (1)

Publication Number Publication Date
DE112021005509T5 true DE112021005509T5 (de) 2023-09-07

Family

ID=81587964

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112021005509.7T Pending DE112021005509T5 (de) 2020-11-19 2021-10-11 Resistive schaltende Speicherzelle

Country Status (6)

Country Link
US (1) US11502252B2 (de)
JP (1) JP2023550414A (de)
CN (1) CN116548081A (de)
DE (1) DE112021005509T5 (de)
GB (1) GB2616757A (de)
WO (1) WO2022105476A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114975772A (zh) 2021-02-25 2022-08-30 联华电子股份有限公司 可变电阻式存储器装置及其形成方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8420478B2 (en) 2009-03-31 2013-04-16 Intermolecular, Inc. Controlled localized defect paths for resistive memories
CN102738386A (zh) 2011-03-31 2012-10-17 中国科学院微电子研究所 阻变存储器及其制造方法
JP2013197504A (ja) 2012-03-22 2013-09-30 Sharp Corp 可変抵抗素子、及び、不揮発性半導体記憶装置
CN103035840A (zh) 2012-12-19 2013-04-10 北京大学 阻变存储器及其制备方法
US9620205B2 (en) 2013-07-10 2017-04-11 Intermolecular, Inc. All around electrode for novel 3D RRAM applications
FR3027453B1 (fr) 2014-10-20 2017-11-24 Commissariat Energie Atomique Dispositif resistif pour circuit memoire ou logique et procede de fabrication d'un tel dispositif
US9368721B1 (en) 2014-11-25 2016-06-14 Intermolecular, Inc. Diamond like carbon (DLC) as a thermal sink in a selector stack for non-volatile memory application
US9553265B1 (en) 2016-01-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device with data storage layer having increased height
KR102453349B1 (ko) 2016-02-25 2022-10-07 삼성전자주식회사 가변 저항 메모리 장치 및 이의 제조 방법
WO2018004671A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Rram devices with bottom ballast
HUE046743T2 (hu) 2016-09-12 2020-03-30 Thai Polyethylene Co Ltd Multimodális polietilén tartály
KR101960869B1 (ko) * 2017-06-30 2019-03-21 주식회사 씨티아이랩 인공지능 기반 악성코드 검출 시스템 및 방법
US11430948B2 (en) 2017-09-28 2022-08-30 Intel Corporation Resistive random access memory device with switching multi-layer stack and methods of fabrication
US10490745B2 (en) 2018-03-14 2019-11-26 Globalfoundries Singapore Pte. Ltd. Vertical and planar RRAM with tip electrodes and methods for producing the same

Also Published As

Publication number Publication date
US20220158091A1 (en) 2022-05-19
GB2616757A (en) 2023-09-20
US11502252B2 (en) 2022-11-15
WO2022105476A1 (en) 2022-05-27
CN116548081A (zh) 2023-08-04
JP2023550414A (ja) 2023-12-01

Similar Documents

Publication Publication Date Title
DE102016100272B4 (de) Integrierter Schaltkreis, der zumindest eine Speicherzelle mit einer Datenspeicherschicht enthält, und Verfahren zu dessen Herstellung
DE60307214T2 (de) Verfahren zur Herstellung eines resistiven 1T1R Speicherzellenfeldes
DE102008034003B4 (de) Nichtflüchtige Speicher mit Ketten von gestapelten resistiven Speicherzellen eines NAND-Typs und Verfahren zum Fertigen derselben
DE102007040826B9 (de) Integrierter Schaltkreis mit einer Zelle mit einer Schicht veränderbarer Resistivität und Verfahren zur Herstellung
DE102008007655A1 (de) Mehrbit-Phasenänderungs-Zufallszugriffsspeicher und Verfahren zum Bilden derselben
DE102006028971A1 (de) Integriertes Schaltkreisbauelement und Phasenänderungsspeicherzelle mit einer vertikalen Diode und Herstellungsverfahren
DE102015207969A1 (de) Integrierbarer resistiver Speicher in Backend-Metallschichten
DE102013103503A1 (de) Resistiver Direktzugriffsspeicher (RRAM) und Verfahren zu seiner Herstellung
DE112018004641T5 (de) Umwickelnde obere elektrodenleitung für resistive schalteinheit mit kreuzschienenfeld
DE102021110748A1 (de) Transistor, der einen wasserstoffdiffusionsbarrierefilm aufweist undverfahren zu dessen ausbildung
DE102020133183A1 (de) Back-end-of-line-selektor für speichervorrichtung
DE102021110278A1 (de) Ferroelektrische speichervorrichtung unter verwendung von back-end-of-line(beol)-dünnschicht-zugriffstransistoren und verfahren zu deren herstellung
DE112021005997T5 (de) Magnetoresistive zwei-bit-direktzugriffsspeicher-zelle
DE112021006731T5 (de) Mehrschichtige phasenwechsel-speichereinheit
DE102021122555A1 (de) Phasenänderungsspeicher (pcm) mit einem die widerstandsdrift reduzierenden liner
DE102021110683A1 (de) Speicherzellenbauelement mit dünnschichttransistor-auswahleinrichtung und verfahren zum bilden desselben
DE112022003125T5 (de) Magnetoresistives spin-orbit-torque-direktzugriffsspeicher-array
DE102018124810B4 (de) Resistive Direktzugriffsspeichervorrichtung
DE112021005509T5 (de) Resistive schaltende Speicherzelle
DE112020006213T5 (de) Selbstausgerichtete Randpassivierung für eine stabile Verbindung eines resistiven Speichers mit wahlfreiem Zugriff
DE102020100777A1 (de) Analoge nichtflüchtige Speichervorrichtung unter Verwendung eines polyferroelektrischen Films mit zufälligen Polarisationsrichtungen
DE102020100007B4 (de) Linienförmiger speicher und verfahren zu dessen herstellung
DE112022001841T5 (de) Resistiver überzug einer phasenänderungsspeicherzelle
DE102021110834A1 (de) Zweischichtiger Kanaltransistor und Verfahren zum Bilden desselben
DE102021129057A1 (de) Reram-integration mit zwischenverbindung mit hoher dichte

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R084 Declaration of willingness to licence
R016 Response to examination communication