DE10245671B4 - Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer - Google Patents

Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer Download PDF

Info

Publication number
DE10245671B4
DE10245671B4 DE10245671A DE10245671A DE10245671B4 DE 10245671 B4 DE10245671 B4 DE 10245671B4 DE 10245671 A DE10245671 A DE 10245671A DE 10245671 A DE10245671 A DE 10245671A DE 10245671 B4 DE10245671 B4 DE 10245671B4
Authority
DE
Germany
Prior art keywords
silicon nitride
silicon dioxide
nitride layer
dioxide layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10245671A
Other languages
German (de)
Other versions
DE10245671A1 (en
Inventor
Frank Heinrich Fassbender
Ansgar Stefan Körbes
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE10245671A priority Critical patent/DE10245671B4/en
Publication of DE10245671A1 publication Critical patent/DE10245671A1/en
Application granted granted Critical
Publication of DE10245671B4 publication Critical patent/DE10245671B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0387Making the trench

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Herstellungsverfahren für eine Halbleiterstruktur durch selektives isotropes Ätzen einer Siliziumdixidschicht (10) auf einer Siliziumnitridschicht (3) mit den Schritten:
Bereitstellen eines Halbleitersubstrats (1);
Vorsehen einer Siliziumnitridschicht (3) auf einer Oberfläche (OF1) des Halbleitersubstrats (1);
Vorsehen einer Siliziumdioxidschicht (10) auf mindestens einer Oberfläche (OF2, OF3) der Siliziumnitridschicht (3); und
selektives isotropes Ätzen der Siliziumdioxidschicht (10) gegenüber der Siliziumnitridschicht (3) auf der mindestens einen Oberfläche (OF2, OF3) der Siliziumnitridschicht (3) in einem Plasmaätzschritt (E2) in einer induktiv gekoppelten Plasmaätzkammer bei einer an die Kathode angelegten Biasleistung von ungefähr 0 W mit einer Gasmischung aus CF4 und einem Inertgas, insbesondere Argon.
Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer (10) on a silicon nitride layer (3) with the steps:
Providing a semiconductor substrate (1);
Providing a silicon nitride layer (3) on a surface (OF1) of the semiconductor substrate (1);
Providing a silicon dioxide layer (10) on at least one surface (OF2, OF3) of the silicon nitride layer (3); and
selective isotropic etching of the silicon dioxide layer (10) with respect to the silicon nitride layer (3) on the at least one surface (OF2, OF3) of the silicon nitride layer (3) in a plasma etching step (E2) in an inductively coupled plasma etching chamber with a bias power of approximately 0 applied to the cathode W with a gas mixture of CF 4 and an inert gas, in particular argon.

Figure 00000001
Figure 00000001

Description

Die vorliegende Erfindung betrifft ein Herstellungsverfahren für eine Halbleiterstruktur, durch selektives isotropes Ätzen einer Siliziumdioxidschicht auf einer Siliziumnitridschicht.The present invention relates to a manufacturing process for a semiconductor structure, by selective isotropic etching of a Silicon dioxide layer on a silicon nitride layer.

Aus der DE 100 16 938 A1 ist bekannt, mit einer Ätzgasmischung aus CF4 und N2 ein selektives Ätzen einer Oxydschicht gegen einer Nitridschicht mit einer Selektivität von 3 : 1 vorzunehmen. Eine Vorspannung (Bias) wird dazu angelegt.From the DE 100 16 938 A1 is known to perform a selective etching of an oxide layer against a nitride layer with a selectivity of 3: 1 with an etching gas mixture of CF 4 and N 2 . A bias is applied for this.

Die US 6,387,287 B1 gibt an, dass eine Standard-CF4-Ätzung von Siliziumoxyd mit einer Selektivität von nur 1,4 gegenüber Siliziumnitrid erfolgt.The US 6,387,287 B1 indicates that standard CF 4 etching of silicon oxide occurs with a selectivity of only 1.4 compared to silicon nitride.

Gemäß der Druckschrift von W.S. Cho et. al. mit dem Titel Selective oxide trench for dual damascene process in a transformer coupled plasma system. In: 6th International Conference on VLSI and CAD, ICVC'99, Seoul, South Korea, 26–27 Oct. 1999, Piscataway, NJ, USA, IEEE, 1999, ISBN 0-7803-5727-2, S. 147–150 bewirkt eine Verringerung der Bias-Leistung in einem C4F8-Plasmasystem ein Anwachsen der Siliziumoxyd-Siliziumnitrid-Selektivität.According to the publication by WS Cho et. al. entitled Selective oxide trench for dual damascene process in a transformer coupled plasma system. In: 6 th International Conference on VLSI and CAD, ICVC'99, Seoul, South Korea, Oct. 26-27 1999, Piscataway, NJ, USA, IEEE, 1999, ISBN 0-7803-5727-2, pp. 147-150 causes a decrease in the bias performance in a C 4 F 8 plasma system to increase the silicon oxide-silicon nitride selectivity.

Aus der DE 100 53 780 A1 ist ein Verfahren bekannt, dass sich mit der Optimierung einer Ätzung von Siliziumoxyd gegenüber einer darüberliegenden Maske befasst, die unter anderem aus Siliziumnitrid bestehen kann. Dabei bewirkt eine Änderung der Bias-Leistung im Bereich wischen 0 W und 400 W einen Wechsel zwischen Abscheidemodus und Ätzmodus. From the DE 100 53 780 A1 A method is known that deals with the optimization of an etching of silicon oxide with respect to an overlying mask, which can consist, among other things, of silicon nitride. A change in the bias power in the range between 0 W and 400 W causes a change between deposition mode and etching mode.

Aus der US 6,037,262 und der US 5,783,475 sind weitere Beispiele von gegenüber Siliziumnitrid selektiven Ätzverfahren an Siliziumoxyd bekannt, bei denen eine Ätzgasmischung aus und zumindest einem weiteren nicht inerten Gas vorliegt.From the US 6,037,262 and the US 5,783,475 Further examples of etching processes on silicon oxide which are selective with respect to silicon nitride are known, in which an etching gas mixture of and at least one further non-inert gas is present.

Obwohl prinzipiell auf beliebige integrierte Schaltungen anwendbar, werden die vorliegende Erfindung sowie die hier zugrundeliegende Problematik in Bezug auf Halbleiterstrukturen in Silizium-Technologie erläutert.Although in principle on any Integrated circuits applicable, the present invention as well as the underlying problem with regard to semiconductor structures explained in silicon technology.

Bei vielen Halbleiterstrukturen ist es erforderlich, eine Siliziumdioxidschicht sehr selektiv gegenüber einer Siliziumnitridschicht, d.h. ohne merkbare Siliziumnitridverluste, zu ätzen. Bisher wurde dieses Problem dadurch gelöst, daß eine Ätzung mit polymerisierender Chemie in einem reaktiven Ionenätzschritt vorgenommen wurde. Bisher wurden hohe Selektivitäten meist unter Nutzung kohlenstoffreicher Fluorkohlen-Wasserstoffe (CxFy/Ar) erreicht. CF4/Ar-Gemische gelten als nicht besonders selektiv.With many semiconductor structures, it is necessary to etch a silicon dioxide layer very selectively with respect to a silicon nitride layer, ie without noticeable silicon nitride losses. So far, this problem has been solved by etching with polymerizing chemistry in a reactive ion etching step. So far, high selectivities have mostly been achieved using carbon-rich fluorocarbons (CxFy / Ar). CF 4 / Ar mixtures are not considered to be particularly selective.

Nachteile einer derartigen polymerisierenden Chemie sind die dadurch bewirkte Verschmutzung der Ätzkammer und das zum Teil komplizierte Handling der eingesetzten Spezialgase. Beispielsweise muß beim Einsatz von C4F8, welches im Normalzustand flüssig ist, eine Hardware-Modifikation durchgeführt werden, um die Gasleitung zu beheizen.Disadvantages of such a polymerizing chemistry are the pollution of the etching chamber caused thereby and the sometimes complicated handling of the special gases used. For example, when using C 4 F 8 , which is liquid in the normal state, a hardware modification must be carried out in order to heat the gas line.

Die Aufgabe der vorliegenden Erfindung besteht deshalb darin, ein verbessertes Herstellungsverfahren für eine Halbleiterstruktur bereitzustellen, das es ermöglicht, auf einfachere Weise eine Siliziumdioxidschicht sehr selektiv zu einer darunter liegenden Siliziumnitridschicht zu entfernen.The object of the present invention is therefore an improved manufacturing process for a semiconductor structure to provide, which enables a silicon dioxide layer very selectively in a simpler way to remove an underlying silicon nitride layer.

Erfindungsgemäss wird diese Aufgabe durch das in Anspruch 1 angegebene Herstellungsverfahren gelöst.According to the invention, this object is achieved by solved manufacturing method specified in claim 1.

Die Vorteile des erfindungsgemässen Herstellungsverfahrens liegen insbesondere darin, dass sich mit dem erfindungsgemässen Verfahren der Siliziumnitridverlust auf einen sehr geringen Wert bzw. nahezu Null einstellen lässt. Mit dem erfindungsgemäßen Plasmaätzschritt kann also unter den eingestellten Bedingungen Siliziumdioxid selektiv zu Siliziumnitrid entfernt werden. Die Selektivität kann dabei über die Biasleistung in einem gewissen Rahmen gesteuert werden. CF4 und inerte Gase, wie z.B. Argon, sind Standardgase und können ohne Probleme und weiteren Aufwand auf allen Kammertypen eingesetzt werden. An vielen Ätzkammern sind sie standardmäßig verfügbar.The advantages of the manufacturing method according to the invention are, in particular, that the silicon nitride loss can be set to a very low value or almost zero using the method according to the invention. With the plasma etching step according to the invention, silicon dioxide can be selectively removed to silicon nitride under the set conditions. The selectivity can be controlled to a certain extent via the bias power. CF 4 and inert gases, such as argon, are standard gases and can be used on all types of chamber without any problems or additional effort. They are available as standard on many etching chambers.

Die der vorliegenden Erfindung zugrundeliegende Idee besteht darin, dass ein selektives Ätzen der Siliziumdioxidschicht auf der mindestens einen Oberfläche der Siliziumnitridschicht in einem Plasmaätzschritt in einer induktiv gekoppelten Plasmaätzkammer bei einer sehr geringen oder verschwindenden an die Kathode angelegten Biasleistung mit einer Gasmischung aus CF4 und einem inerten Gas, insbesondere Ar, durchgeführt wird. Mit anderen Worten wurde erfindungsgemäß ein Prozeßregime für ein CF4/Ar-Plasma in einer induktiv gekoppelten Ätzkammer gefunden, bei dem unerwarteterweise hohe Selektivitäten der Ätzung von Siliziumdioxid zu Siliziumnitrid auftreten.The idea underlying the present invention is that selective etching of the silicon dioxide layer on the at least one surface of the silicon nitride layer in a plasma etching step in an inductively coupled plasma etching chamber with a very low or vanishing bias power applied to the cathode with a gas mixture of CF 4 and an inert one Gas, especially Ar, is carried out. In other words, according to the invention, a process regime for a CF 4 / Ar plasma was found in an inductively coupled etching chamber, in which unexpectedly high selectivities of the etching of silicon dioxide to silicon nitride occur.

Erklärt wird das beobachtete und unerwartete Phänomen mit der Ausbildung einer dünnen Passivierungsschicht auf dem Siliziumnitrid, welches ohne Bias-Leistung, d.h. ohne Sputter-Angriff durch eine angelegte Bias-Leistung, einen chemischen Angriff reaktiver Spezies aus dem Plasma verhindert. Auf dem Siliziumdioxid bildet sich diese Passivierung nicht aus. Dabei sei erwähnt, daß die Ätzrate der erfindungsgemäßen Plasmaätzung bei Gräben mit steigender Tiefe abnimmt, da hier eine Verarmung der Ätzradikale auftritt. Die Selektivitätsverhältnisse ändern sich nicht. Somit eignet sich das erfin dungsgemäße Verfahren insbesondere für oberflächennahe Ätzungen.The observed and is explained unexpected phenomenon with the formation of a thin Passivation layer on the silicon nitride, which has no bias performance, i.e. without sputter attack through an applied bias, a chemical attack more reactive Species from the plasma prevented. Forms on the silicon dioxide this passivation is not out. It should be mentioned that the etching rate of the plasma etching according to the invention at trenches decreases with increasing depth because the etching radicals become depleted occurs. The selectivity ratios change Not. The method according to the invention is therefore particularly suitable for near-surface etching.

In den Unteransprüchen finden sich vorteilhafte Weiterbildungen und Verbesserungen des Gegenstandes der Erfindung.There are advantageous ones in the subclaims Developments and improvements to the subject matter of the invention.

Gemäss einer bevorzugten Weiterbildung wird vor dem Vorsehen einer Siliziumdioxidschicht die Siliziumnitridschicht zur einer Hartmaske strukturiert und werden mit der Hartmaske ein oder mehrere Gräben in das Halbleitersubstrat in einem Grabenätzschritt geätzt.According to a preferred development, the silicon nitride layer becomes a hard mask before the provision of a silicon dioxide layer and one or more trenches are etched into the semiconductor substrate in a trench etching step using the hard mask.

Gemäss einer weiteren bevorzugten Weiterbildung wird die Siliziumdioxidschicht über der Hartmaske und den Gräben abgeschieden, so dass durch sie eine obere Oberfläche der Siliziumnitridschicht, seitliche Oberflächen der Siliziumnitridschicht, Seitenflächen der Gräben und Bodenflächen der Gräben bedeckt werden.According to another preferred Further training, the silicon dioxide layer is deposited over the hard mask and the trenches, so that through them an upper surface of the silicon nitride layer, lateral surfaces the silicon nitride layer, side surfaces of the trenches and bottom surfaces of the Trenches covered become.

Gemäss einer weiteren bevorzugten Weiterbildung wird ein anisotropes Vorätzen der Siliziumdioxidschicht auf der mindestens einen Oberfläche der Siliziumnitridschicht in einem weiteren Plasmaätzschritt in der induktiv gekoppelten Plasmaätzkammer bei einer höheren an die Kathode angelegten Biasleistung von mindestens 100 W mit einer Gasmischung aus CF4 und einem Inertgas, insbesondere Ar, sowie SiF4 und Sauerstoff durchgeführt.According to a further preferred development, anisotropic pre-etching of the silicon dioxide layer on the at least one surface of the silicon nitride layer is carried out in a further plasma etching step in the inductively coupled plasma etching chamber with a higher bias power of at least 100 W applied to the cathode with a gas mixture of CF 4 and an inert gas, in particular Ar, as well as SiF 4 and oxygen.

Gemäss einer weiteren bevorzugten Weiterbildung wird beim selektiven isotropen Ätzen im Plasmaätzschritt das Siliziumdioxid zumindest im oberen Bereich von den seitlichen und horizontalen Oberflächen der Siliziumnitridschicht entfernt.According to another preferred Further training is in selective isotropic etching in the plasma etching step the silicon dioxide at least in the upper area from the side and horizontal surfaces removed the silicon nitride layer.

Gemäss einer weiteren bevorzugten Weiterbildung wird beim anisotropen Vorätzen der Siliziumdioxidschicht im weiteren Plasmaätzschritt eine Endpunkterkennung mittels optischer Emissionsspektroskopie durchgeführt.According to another preferred Further training is in the anisotropic pre-etching of the silicon dioxide layer in the further plasma etching step endpoint detection using optical emission spectroscopy carried out.

Gemäss einer weiteren bevorzugten Weiterbildung wird das anisotrope Vorätzen der Siliziumdioxidschicht im weiteren Plasmaätzschritt eine vorbestimmte Zeitspanne lang durchgeführt, welche z.B. derart bemessen ist, dass eine stark verdünnte Siliziumdioxidschicht auf der oberen Oberfläche der Siliziumnitridschicht zurückbleibt. Es muß nicht notwendigerweise SiO2 auf der Oberfläche verbleiben, es kann auch eine blanke Siliziumnitrid-Oberfläche sein. In diesem Fall wird beim selektiven Ätzen nur das SiO2 im Graben zurückgenommen und die Siliziumnitrid-Oberfläche bleibt unangetastet.According to a further preferred development, the anisotropic pre-etching of the silicon dioxide layer is carried out in the further plasma etching step for a predetermined period of time, which is dimensioned, for example, such that a highly diluted silicon dioxide layer remains on the upper surface of the silicon nitride layer. SiO 2 does not necessarily have to remain on the surface, it can also be a bare silicon nitride surface. In this case, only the SiO 2 in the trench is removed during the selective etching and the silicon nitride surface remains untouched.

Gemäss einer weiteren bevorzugten Weiterbildung wird das Inertgas beim selektiven isotropen Ätzen der Siliziumdioxidschicht im Plasmaätzschritt im Verhältnis 1 : 1 zum CF4 zugeführt.According to a further preferred development, the inert gas is supplied during the selective isotropic etching of the silicon dioxide layer in the plasma etching step in a ratio of 1: 1 to CF 4 .

Ein Ausführungsbeispiel der Erfindung ist in den Zeichnungen dargestellt und in der nachfolgenden Beschreibung näher erläutert.An embodiment of the invention is shown in the drawings and in the description below explained in more detail.

ad zeigen schematische Darstellungen aufeinanderfolgender Verfahrensstadien eines Herstellungsverfahrens für eine Halbleiterstruktur als Ausführungsform der vorliegenden Erfindung. a - d show schematic representations of successive process stages of a manufacturing process for a semiconductor structure as an embodiment of the present invention.

Das hier angeführte Ausführungsbeispiel entstammt einem Kragenätzprozeß zur Herstellung von Halbleiterspeicherzellen mit Grabenkondensatoren.The exemplary embodiment mentioned here comes from one Collar etching process for the production of Semiconductor memory cells with trench capacitors.

In a bezeichnet Bezugszeichen 1 ein Halbleitersubstrat, auf dessen Oberfläche OF1 eine strukturierte Siliziumnitridschicht 3 als Hartmaske aufgebracht worden ist. Bezugszeichen OF2 bezeichnet die obere Oberfläche der Siliziumnitridschicht 3 und Bezugszeichen OF3 deren seitliche Oberflächen, welche beim Strukturieren freigelegt werden.In a denotes reference numerals 1 a semiconductor substrate, on the surface OF1 of which a structured silicon nitride layer 3 has been applied as a hard mask. Reference symbol OF2 denotes the upper surface of the silicon nitride layer 3 and reference symbol OF3, their lateral surfaces, which are exposed during structuring.

Unter Verwendung der strukturierten Siliziumnitridschicht 3 werden in einem Grabenätzprozeß E0, beispielsweise einem reaktiven Ionenätzprozeß, Gräben 5a, 5b in das Halbleitersubstrat 1 geätzt. Die Gräben 5a, 5b weisen Seitenflächen SF und Bodenflächen BF auf. Die Dicke der Siliziumnitridschicht 3 nach dem Grabenätzschritt ist mit d0 bezeichnet.Using the structured silicon nitride layer 3 are trenches in a trench etching process E0, for example a reactive ion etching process 5a . 5b into the semiconductor substrate 1 etched. The trenches 5a . 5b have side surfaces SF and base surfaces BF. The thickness of the silicon nitride layer 3 after the trench etching step is denoted by d0.

Wie in b dargestellt, wird anschließend über der resultierenden Struktur eine TEOS-Siliziumdioxidschicht 10 abgeschieden. Die Dicke der Siliziumdioxidschicht 10 auf der waagrechten Oberfläche OF2 ist bei diesem Prozeß größer als diejenige auf den senkrechten Oberflächen OF3, SF und der waagrechten Oberfläche BF. As in b is shown, a TEOS silicon dioxide layer is then placed over the resulting structure 10 deposited. The thickness of the silicon dioxide layer 10 on the horizontal surface OF2 is larger in this process than that on the vertical surfaces OF3, SF and the horizontal surface BF.

Im folgenden wird die resultierende Struktur in einer induktiv gekoppelten Plasmaätzkammer einem ersten Plasmaätzschritt E1 unterworfen. Bei diesem ersten in c dargestellten Plasmaätzschritt E1 wird eine Gasmischung aus CF4 und einem Inertgas sowie SiF4 und Sauerstoff in die induktiv gekoppelte Plasmaätzkammer geleitet. Die Quellenleistung beträgt 2500 W und der Druck 1,33 Pa. Die Biasleistung, welche an die Kathode angelegt wird, die hier der Chuck für den prozessierten Wafer ist, beträgt typischerweise 200 W, was zur Folge hat, daß das Ätzen in diesem Ätzschritt E1 ein stark anisotroper Prozeß ist. Die Dauer des Ätzschrittes E1 wird durch Endpunkterkennung (optische Emissionsspektroskopie) oder Festzeit gesteuert. Die Siliziumdioxidschicht 10 an der OF2 wird während dieses Schritts bis zu einer Dicke von ca. 20 nm abgetragen. Auf der Oberfläche BF wird die Oxidschicht vollständig entfernt.In the following, the resulting structure is subjected to a first plasma etching step E1 in an inductively coupled plasma etching chamber. At this first in c shown plasma etching step E1, a gas mixture of CF 4 and an inert gas as well as SiF 4 and oxygen is passed into the inductively coupled plasma etching chamber. The source power is 2500 W and the pressure is 1.33 Pa. The bias power which is applied to the cathode, which here is the chuck for the processed wafer, is typically 200 W, with the result that the etching in this etching step E1 is a strongly anisotropic process. The duration of the etching step E1 is controlled by end point detection (optical emission spectroscopy) or fixed time. The silicon dioxide layer 10 during this step, the OF2 is removed to a thickness of approximately 20 nm. The oxide layer on the surface BF is completely removed.

Im folgenden Schritt, welcher nachstehend mit Bezug auf d erläutert werden wird, wird ein zweiter selektiver isotroper Plasmaätzschritt E2 in der induktiv gekoppelten Plasmaätzkammer durchgeführt, wobei die an die Kathode angelegte Bias-Leistung auf Null eingestellt wird und eine Gasmischung aus CF4 und Argon im Verhältnis 1 : 1 verwendet wird. Hier be trägt die Quellenleistung 1250 W und der Druck 2,66 Pa. Mittels dieser Gasmischung und der verschwindenden Biasleistung läßt sich ein sehr selektives Ätzen des an der Oberfläche zu OF2 verbliebenen Siliziumdioxids 10 gegenüber der Siliziumnitridschicht 3 durchführen. Bei entsprechend gewählter Dauer dieses zweiten Plasmaätzschritts E2 läßt sich erreichen, daß die Oberseite der Siliziumdioxidschicht 10 auf der seitlichen Oberfläche OF3 der Siliziumnitridschicht 3 gegenüber deren oberer Oberfläche OF2 zurückgezogen wird. Dieser Schritt kann durch die Abnahme von C=O Species im Plasma mit fortschreitender Ätzung mit optischer Emissionsspektroskopie mit Endpunkterkennung kontrolliert werden.In the next step, which is below with reference to d will be explained, a second selective isotropic plasma etching step E2 is carried out in the inductively coupled plasma etching chamber, the bias power applied to the cathode being set to zero and a gas mixture of CF 4 and argon in a ratio of 1: 1 being used. Here the source power is 1250 W and the pressure is 2.66 Pa. This gas mixture and the disappearing bias power allow a very selective etching of the silicon dioxide remaining on the surface to form OF2 10 compared to the silicon nitride layer 3 carry out. With a correspondingly selected duration of this second plasma etching step E2, it can be achieved that the upper side of the silicon dioxide layer 10 on the side surface OF3 of the silicon nitride layer 3 is withdrawn from its upper surface OF2. This step can be due to the decrease in C = O species in plasma with progressive etching with optical emissions Spectroscopy with endpoint detection can be checked.

In diesem Zusammenhang sei noch erwähnt, daß die Siliziumdioxidschicht 10 an den Seitenflächen SF der Gräben 5a, 5b während des Plasmaätzschrittes E2 mit zunehmender Grabentiefe weniger stark abgetragen wird, da die Ätzwirkung bei diesem Plasmaätzschritt mit zunehmender Tiefe aufgrund der Radikalverarmung nachläßt.In this connection it should also be mentioned that the silicon dioxide layer 10 on the side faces SF of the trenches 5a . 5b during the plasma etching step E2 is less removed with increasing trench depth, since the etching effect in this plasma etching step decreases with increasing depth due to the radical depletion.

Aufgrund der hohen Selektivität des zweiten Plasmaätzschritts läßt sich weiterhin erreichen, daß die Dicke d1 der Siliziumnitridschicht 3 nach dem zweiten Plasmaätzschritt E2 im wesentlichen gleich der ursprünglichen Dicke d0 ist. Dies ist bei diesem Beispiel für die folgenden Prozeßschritte zur Fertigstellung der Halbleiterspeicherzellen von großer Wichtigkeit.Due to the high selectivity of the second plasma etching step, the thickness d1 of the silicon nitride layer can also be achieved 3 after the second plasma etching step E2 is substantially equal to the original thickness d0. In this example, this is of great importance for the following process steps for the completion of the semiconductor memory cells.

Die vorliegende Erfindung ist nicht nur für die Herstellung von Grabenkondensatoren für Halbleiterspeicher zellen anwendbar, sondern prinzipiell immer dann, wenn vorzugsweise dünne Siliziumdioxidschichten sehr selektiv gegenüber Siliziumnitrid geätzt werden sollen, insbesondere in Verbindung mit vorhergehenden anisotropen Ätzprozessen mit ähnlichen Gasmischungen.The present invention is not only for the production of trench capacitors for semiconductor memory cells applicable, but in principle whenever thin silicon dioxide layers are preferred very selective towards Etched silicon nitride should be, especially in connection with previous anisotropic etching processes with similar ones Gas mixtures.

11
Silizium-HalbleitersubstratSilicon semiconductor substrate
5a, 5b5a, 5b
Grabendig
33
Hartmaske aus Siliziumnitridhard mask made of silicon nitride
1010
Siliziumdioxidschichtsilicon dioxide
OF1, OF2, OF3OF1, OF2, OF3
Oberflächesurface
SFSF
Seitenflächenfaces
BFBF
Bodenflächenfloor surfaces
d0, d1d0, d1
Dicke der Hartmaske aus Siliziumnitridthickness the hard mask made of silicon nitride
E1, E2E1, E2
Ätzschritteetching

Claims (9)

Herstellungsverfahren für eine Halbleiterstruktur durch selektives isotropes Ätzen einer Siliziumdixidschicht (10) auf einer Siliziumnitridschicht (3) mit den Schritten: Bereitstellen eines Halbleitersubstrats (1); Vorsehen einer Siliziumnitridschicht (3) auf einer Oberfläche (OF1) des Halbleitersubstrats (1); Vorsehen einer Siliziumdioxidschicht (10) auf mindestens einer Oberfläche (OF2, OF3) der Siliziumnitridschicht (3); und selektives isotropes Ätzen der Siliziumdioxidschicht (10) gegenüber der Siliziumnitridschicht (3) auf der mindestens einen Oberfläche (OF2, OF3) der Siliziumnitridschicht (3) in einem Plasmaätzschritt (E2) in einer induktiv gekoppelten Plasmaätzkammer bei einer an die Kathode angelegten Biasleistung von ungefähr 0 W mit einer Gasmischung aus CF4 und einem Inertgas, insbesondere Argon.Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer ( 10 ) on a silicon nitride layer ( 3 ) with the steps: provision of a semiconductor substrate ( 1 ); Providing a silicon nitride layer ( 3 ) on a surface (OF1) of the semiconductor substrate ( 1 ); Provide a silicon dioxide layer ( 10 ) on at least one surface (OF2, OF3) of the silicon nitride layer ( 3 ); and selective isotropic etching of the silicon dioxide layer ( 10 ) compared to the silicon nitride layer ( 3 ) on the at least one surface (OF2, OF3) of the silicon nitride layer ( 3 ) in a plasma etching step (E2) in an inductively coupled plasma etching chamber with a bias power of approximately 0 W applied to the cathode with a gas mixture of CF 4 and an inert gas, in particular argon. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass vor dem Vorsehen einer Siliziumdioxidschicht (10) die Siliziumnitridschicht (3) zur einer Hartmaske strukturiert wird und mit der Hartmaske ein oder mehrere Gräben (5a, 5b) in das Halbleitersubstrat (1) in einem Grabenätzschritt (EO) geätzt werden.A method according to claim 1, characterized in that before the provision of a silicon dioxide layer ( 10 ) the silicon nitride layer ( 3 ) is structured into a hard mask and one or more trenches with the hard mask ( 5a . 5b ) in the semiconductor substrate ( 1 ) are etched in a trench etching step (EO). Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass die Siliziumdioxidschicht (10) über der Hartmaske und den Gräben (5a, 5b) abgeschieden wird, so dass durch sie eine obere Oberfläche (OF2) der Siliziumnitridschicht (3), seitliche Oberflächen (OF3) der Siliziumnitridschicht (3), Seitenflächen (SF) der Gräben (5a, 5b) und Bodenflächen (BF) der Gräben (5a, 5b) bedeckt werden.A method according to claim 2, characterized in that the silicon dioxide layer ( 10 ) over the hard mask and the trenches ( 5a . 5b ) is deposited so that an upper surface (OF2) of the silicon nitride layer ( 3 ), lateral surfaces (OF3) of the silicon nitride layer ( 3 ), Side faces (SF) of the trenches ( 5a . 5b ) and floor areas (BF) of the trenches ( 5a . 5b ) are covered. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass ein anisotropes Vorätzen der Siliziumdioxidschicht (10) auf der mindestens einen Oberfläche (OF2, OF3) der Siliziumnitridschicht (3) in einem weiteren Plasmaätzschritt (E1) in der induktiv gekoppelten Plasmaätzkammer bei einer höheren an die Kathode angelegten Biasleistung von mindestens 100 W mit einer Gasmischung aus CF4 und einem Inertgas, insbesondere Argon, sowie SiF4 und Sauerstoff durchgeführt wird.Method according to one of the preceding claims, characterized in that an anisotropic pre-etching of the silicon dioxide layer ( 10 ) on the at least one surface (OF2, OF3) of the silicon nitride layer ( 3 ) is carried out in a further plasma etching step (E1) in the inductively coupled plasma etching chamber at a higher bias power of at least 100 W applied to the cathode with a gas mixture of CF 4 and an inert gas, in particular argon, and SiF 4 and oxygen. Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass beim selektiven isotropen Ätzen im Plasmaätzschritt (E2) das Siliziumdioxid zumindest im oberen Bereich von den seitlichen Oberflächen (OF3) der Siliziumnitridschicht (3) entfernt wird.Method according to Claim 3, characterized in that during selective isotropic etching in the plasma etching step (E2), the silicon dioxide at least in the upper region from the lateral surfaces (OF3) of the silicon nitride layer ( 3 ) Will get removed. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass beim anisotropen Vorätzen der Siliziumdioxidschicht (10) im weiteren Plasmaätzschritt (E1) eine Endpunkterkennung mit optischer Emissionsspektroskopie durchgeführt wird.A method according to claim 4, characterized in that during the anisotropic etching of the silicon dioxide layer ( 10 ) in the further plasma etching step (E1) an end point detection is carried out with optical emission spectroscopy. Verfahren nach Anspruch 4 in Verbindung mit Anspruch 3, dadurch gekennzeichnet, dass das anisotrope Vorätzen der Siliziumdioxidschicht (10) im weiteren Plasmaätzschritt (E1) eine vorbestimmte Zeitspanne lang durchgeführt wird, welche derart bemessen ist, dass eine stark verdünnte Siliziumdioxidschicht (10) auf der oberen Oberfläche (OF2) der Siliziumnitridschicht (3) zurückbleibt.Method according to claim 4 in conjunction with claim 3, characterized in that the anisotropic etching of the silicon dioxide layer ( 10 ) is carried out in the further plasma etching step (E1) for a predetermined period of time, which is dimensioned such that a highly thinned silicon dioxide layer ( 10 ) on the upper surface (OF2) of the silicon nitride layer ( 3 ) remains. Verfahren nach Anspruch 4 in Verbindung mit Anspruch 3, dadurch gekennzeichnet, dass das anisotrope Vorätzen der Siliziumdioxidschicht (10) im weiteren Plasmaätzschritt (E1) eine vorbestimmte Zeitspanne lang oder mit Endpunkterkennung durchgeführt wird, welche derart bemessen ist, dass die Siliziumdioxidschicht (10) auf der oberen Oberfläche (OF2) der Siliziumnitridschicht (3) vollständig entfernt wird.Method according to claim 4 in conjunction with claim 3, characterized in that the anisotropic etching of the silicon dioxide layer ( 10 ) in the further plasma etching step (E1) is carried out for a predetermined period of time or with end point detection, which is dimensioned such that the silicon dioxide layer ( 10 ) on the upper surface (OF2) of the silicon nitride layer ( 3 ) is completely removed. Verfahren nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass beim selektiven isotropen Ätzen der Siliziumdioxidschicht (10) im Plasamaätzschritt (E2) das Inertgas im Verhältnis 1 : 1 zum CF4 zugeführt wird.Method according to one of the preceding claims, characterized in that during selective isotropic etching of the silicon dioxide layer ( 10 ) in the plasma etching step (E2) the inert gas is supplied in a ratio of 1: 1 to the CF 4 .
DE10245671A 2002-09-30 2002-09-30 Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer Expired - Fee Related DE10245671B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE10245671A DE10245671B4 (en) 2002-09-30 2002-09-30 Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10245671A DE10245671B4 (en) 2002-09-30 2002-09-30 Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer

Publications (2)

Publication Number Publication Date
DE10245671A1 DE10245671A1 (en) 2004-04-08
DE10245671B4 true DE10245671B4 (en) 2004-08-26

Family

ID=31984307

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10245671A Expired - Fee Related DE10245671B4 (en) 2002-09-30 2002-09-30 Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer

Country Status (1)

Country Link
DE (1) DE10245671B4 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5783475A (en) * 1995-11-13 1998-07-21 Motorola, Inc. Method of forming a spacer
US6037262A (en) * 1998-06-15 2000-03-14 Lsi Logic Corporation Process for forming vias, and trenches for metal lines, in multiple dielectric layers of integrated circuit structure
DE10016938A1 (en) * 1999-05-05 2000-11-16 Ibm Etching oxide layer on nitride layer, comprises preparing plasma derived from carbonaceous and fluorine-containing gas and gas containing nitrogen, and etching in plasma
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
DE10053780A1 (en) * 2000-10-30 2002-05-16 Infineon Technologies Ag Process for structuring a silicon oxide layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5783475A (en) * 1995-11-13 1998-07-21 Motorola, Inc. Method of forming a spacer
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6037262A (en) * 1998-06-15 2000-03-14 Lsi Logic Corporation Process for forming vias, and trenches for metal lines, in multiple dielectric layers of integrated circuit structure
DE10016938A1 (en) * 1999-05-05 2000-11-16 Ibm Etching oxide layer on nitride layer, comprises preparing plasma derived from carbonaceous and fluorine-containing gas and gas containing nitrogen, and etching in plasma
DE10053780A1 (en) * 2000-10-30 2002-05-16 Infineon Technologies Ag Process for structuring a silicon oxide layer

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
CHO, W.S., u.a.: Selective oxide trench for dual damascence process in a transformator coupled plasma system, in: 6th International Conference on VLSI and CAD, ICVC '99, Seoul, South Korea, 26-27 Oct. 1999, Piscataway, NJ, USA, IEEE, 1999, ISBN 0-7803-5727-2, S. 147-150 *

Also Published As

Publication number Publication date
DE10245671A1 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
EP0894338B1 (en) Anisotropic, fluorine-based plasma etching method for silicon
DE69935100T2 (en) Process for etching a metallization by means of a hard mask
DE102008037943B4 (en) Method and apparatus for electron-beam-induced etching and semiconductor device etched with a structure by means of such a method
WO2003038875A2 (en) Method for photolithographic structuring by means of a carbon hard mask layer which has a diamond-like hardness and is deposited by means of a plasma method
DE19860780A1 (en) Semiconductor device used in the manufacture of integrated circuits
DE3140890A1 (en) METHOD FOR PRODUCING AN INTEGRATED CIRCUIT DEVICE
DE10219398A1 (en) Production of a trench arrangement comprises forming trenches in a semiconductor substrate by etching using a mask, and providing in an opening above the substrate a region made from a material having a reduced etching rate
DE102013100709A1 (en) Apparatus and methods for polishing, etching and cleaning with a gas mixed liquid
DE102015102579A1 (en) Semiconductor devices and methods of forming the same
DE19706763B4 (en) Process for etching a metal layer
DE102007028155B3 (en) Method for forming through openings for contacts of an integrated circuit device or for electrodes of a memory device and integrated circuit device
WO2003100843A2 (en) Etching gas and method for dry etching
DE102004042168B4 (en) Semiconductor element having a small-ε metallization layer stack with enhanced electromigration resistance and method of forming the semiconductor element
DE10016938C2 (en) Selective dry etching of a dielectric film
DE10338292A1 (en) Etching metal layer for semiconductor device, comprises etching exposed portions of metal layer with etching gas formed by mixing chlorine and nitrogen
DE10245671B4 (en) Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer
DE10304851A1 (en) etching
DE102020123453B4 (en) Dry etching method for manufacturing a trench structure of a semiconductor device
DE3842758A1 (en) Process for etching a three-layer interconnection level in the production of integrated semiconductor circuits
DE10226604B4 (en) Method for structuring a layer
EP1166349B1 (en) Method of producing a trench isolation for electrically active components
EP1527011A2 (en) Layer system with a silicon layer and a passivation layer, method for production of a passivation layer on a silicon layer and use thereof
DE102012200236B3 (en) Method for structuring silicon carbide for e.g. silicon carbide-trench-MOSFET, involves reperforming anisotropic plasma etching step such that passivation layer is removed from trench bottom, and forming enlarged trench region in substrate
DE10231533A1 (en) Process for metal structuring
DE10242629B4 (en) Manufacturing method for a semiconductor structure with a partially filled trench

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee