DE102022205531A1 - Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem - Google Patents

Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem Download PDF

Info

Publication number
DE102022205531A1
DE102022205531A1 DE102022205531.4A DE102022205531A DE102022205531A1 DE 102022205531 A1 DE102022205531 A1 DE 102022205531A1 DE 102022205531 A DE102022205531 A DE 102022205531A DE 102022205531 A1 DE102022205531 A1 DE 102022205531A1
Authority
DE
Germany
Prior art keywords
photovoltaic cell
substrate
optical element
radiation
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102022205531.4A
Other languages
English (en)
Inventor
Dirk Ehm
Fred Roozeboom
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102022205531.4A priority Critical patent/DE102022205531A1/de
Publication of DE102022205531A1 publication Critical patent/DE102022205531A1/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/02168Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B17/00Systems with reflecting surfaces, with or without refracting elements
    • G02B17/02Catoptric systems, e.g. image erecting and reversing system
    • G02B17/06Catoptric systems, e.g. image erecting and reversing system using mirrors only, i.e. having only one curved mirror
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • G03F7/70266Adaptive optics, e.g. deformable optical elements for wavefront control, e.g. for aberration adjustment or correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • H01L31/0322Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312 comprising only AIBIIICVI chalcopyrite compounds, e.g. Cu In Se2, Cu Ga Se2, Cu In Ga Se2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03923Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including AIBIIICVI compound materials, e.g. CIS, CIGS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • H01L31/0749Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type including a AIBIIICVI compound, e.g. CdS/CulnSe2 [CIS] heterojunction solar cells
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Die Erfindung betrifft ein optisches Element (25) zur Reflexion von EUV-Strahlung, umfassend: ein Substrat (26) sowie eine auf eine Oberfläche (27) des Substrats (26) aufgebrachte reflektierende Beschichtung (29) zur Reflexion der EUV-Strahlung. Zwischen der reflektierenden Beschichtung (29) und der Oberfläche (27) des Substrats (26) ist eine photovoltaische Zelle (28) angeordnet. Die Erfindung betrifft auch ein EUV-Lithographiesystem mit mindestens einem solchen reflektierenden optischen Element (25).

Description

  • Hintergrund der Erfindung
  • Die Erfindung betrifft ein optisches Element zur Reflexion von EUV-Strahlung, umfassend: ein Substrat, sowie eine auf eine Oberfläche des Substrats aufgebrachte reflektierende Beschichtung zur Reflexion der EUV-Strahlung. Die Erfindung betrifft auch ein EUV-Lithographiesystem, das mindestens ein solches optisches Element aufweist.
  • Bei dem EUV-Lithographiesystem kann es sich um eine EUV-Lithographieanlage zur Belichtung eines Wafers oder um eine andere optische Anordnung handeln, die EUV-Strahlung verwendet, beispielsweise um ein EUV-Inspektionssystem, z.B. zur Inspektion von in der EUV-Lithographie verwendeten Masken, Wafern oder dergleichen.
  • In EUV-Lithographiesystemen werden als reflektierende Beschichtungen von optischen Elementen, die zur Reflexion von EUV-Strahlung dienen, (nachfolgend auch als EUV-Spiegel bezeichnet) häufig Mehrlagen-Beschichtungen verwendet, die auf eine plane oder gekrümmte Oberfläche eines Substrats aufgebracht werden. Eine solche Mehrlagen-Beschichtung weist üblicherweise einen Schichtstapel mit einer Mehrzahl von Schichtpaaren (z.B. von 30 oder mehr Schichtpaaren) auf, die aus zwei Materialien mit unterschiedlichen Brechungsindizes bestehen. Bei einer Betriebswellenlänge von 13,5 nm werden als Materialien für ein Schichtpaar jeweils Si und Mo verwendet. Die Reflektivität einer solchen Mehrlagen-Beschichtung für die Betriebswellenlänge von 13,5 nm liegt bestenfalls bei 70%, sofern die Oberfläche der Mehrlagen-Beschichtung nicht mit kontaminierenden Ablagerungen bedeckt ist. Bei den Ablagerungen kann es sich beispielsweise um Zinn-Ablagerungen handeln, die von der EUV-Plasmaquelle stammen, oder um andere kontaminierende Stoffe (z.B. um C, Si, Zn, P, Mg oder dergleichen), die in dem Lithographiesystem vorhanden sind oder die aus dem Photolack (Resist) des Wafers ausgasen. Insbesondere an EUV-Spiegeln, die in der Nähe der Plasmquelle angeordnet sind, ist die Degradation aufgrund der Sn-Ablagerungen hoch, was für einen hohen Wafer-Durchsatz ungünstig ist.
  • Eine Zinn-Plasmaquelle erzeugt nicht nur monochromatische EUV-Strahlung bei der Betriebswellenlänge von 13,5 nm, sondern auch einen erheblichen Anteil von Strahlung, der sich über ein breites Spektrum vom DUV-Wellenlängenbereich (100 nm - 300 nm) bis zum IR-Wellenlängenbereich erstreckt, vgl. [1], insbesondere 1.4, und [3]. EUV-Spiegel haben zudem nicht nur einen hohen Reflexionsgrad im EUV-Wellenlängenbereich, sondern reflektieren auch Strahlung im DUV, VIS und IR-Wellenlängenbereich. Die spektralen Komponenten bei unerwünschten Wellenlängen, die von der Sn-Plasmaquelle erzeugt werden, sowie die gestreute Laserstrahlung im DUV-Wellenlängenbereich, die von der Laserquelle der Plasmaquelle erzeugt wird, propagieren daher gemeinsam mit der Nutzstrahlung, die typischerweise eine Betriebswellenlänge von 13,5 nm aufweist (und als in-band Strahlung bezeichnet wird), durch den gesamten Strahlengang des Lithographiesystems. Der DUV-Anteil erreicht hierbei die Wafer-Stage und beeinflusst den Strukturierungs-Prozess, da Resist-Materialien für diese Art von Strahlung sensitiv sind (vgl. [2]). Der gestreute IR-Anteil der Strahlung führt zu hohen Wärmelasten insbesondere in der Projektionsoptik, die während des Belichtungsprozesses zu Verzerrungen bei der Abbildung der Struktur von der Maske auf den Wafer führen.
  • Abhängig von der abzubildenden Struktur an der Maske und der Strahlform bzw. den Beleuchtungs-Einstellungen ist die Lichtverteilung über die Oberfläche eines jeweiligen EUV-Spiegels nicht uniform. Die Absorption der nicht reflektierten Strahlungsanteile variiert ortsabhängig über die Oberfläche, auf welche die reflektierende Beschichtung aufgebracht ist. Dies führt zu Temperaturgradienten im Substrat des Spiegels und aufgrund der lokal unterschiedlichen thermischen Ausdehnung zu Deformationen an der Oberfläche des Spiegels. Um den Durchsatz (Anzahl der Wafer pro Stunde) zu erhöhen, gibt es einen Bedarf, die Leistung der EUV-Strahlungsquelle weiter zu erhöhen, was eine weitere Vergrößerung der Deformationen zur Folge haben wird. Dies trifft insbesondere auf die Spiegel zu, die sich in der Nähe der Sn-Plasmaquelle befinden, beispielsweise auf den Kollektorspiegel.
  • Zur Korrektur von im Betrieb entstehenden Deformationen der optisch wirksamen Oberfläche bzw. von Wellenfrontveränderungen können aktive Spiegel eingesetzt werden. Bei aktiven Spiegeln wird die optisch wirksame Oberfläche mit Hilfe von die optische Oberfläche verbiegenden bzw. deformierenden Aktuatoren, z.B. in Form von Piezoaktuatoren, verformt, die z.B. an einem deformierbaren Spiegelrahmen angebracht sein können. Eine weitere Möglichkeit zur Verringerung von Deformationen an der Oberfläche, an der die EUV-Strahlung reflektiert wird, stellen dedizierte Spiegel-Kühlungs- und/oder Heizungskonzepte dar.
  • In dem Artikel „Lithography - Green and Getting Greener“ von H. J. Levinson, Japanese Journal of Applied Physics 50(6), Mai 2011, wird beschrieben, dass es für die Reduzierung der Kosten am effektivsten ist, wenn die Effizienz, insbesondere der Energieverbrauch, von EUV-Lithographieanlagen gesenkt wird.
  • Aufgabe der Erfindung
  • Aufgabe der Erfindung ist es, ein optisches Element und ein EUV-Lithographiesystem mit erhöhter Energieeffizienz bereitzustellen.
  • Gegenstand der Erfindung
  • Diese Aufgabe wird gelöst durch ein optisches Element der eingangs genannten Art, bei dem zwischen der reflektierenden Beschichtung und der Oberfläche des Substrats eine photovoltaische Zelle angeordnet ist.
  • Wie weiter oben beschrieben wurde, wird ein wesentlicher Anteil der Energie, der auf ein optisches Element in Form eines EUV-Spiegels eingestrahlt wird, nicht reflektiert, sondern über einen breiten Wellenlängenbereich von dem EUV-Spiegel absorbiert, was zu den weiter oben beschriebenen unerwünschten lokalen Heizeffekten und Deformationen an der Oberfläche des EUV-Spiegels führt.
  • Erfindungsgemäß wird vorgeschlagen, zumindest einen Teil der nicht an dem EUV-Spiegel reflektierten Strahlungsenergie im EUV-DUV-VIS-NIR-Wellenlängenbereich, die sich schädigend auf alle EUV-Optiken auswirkt, in photovoltaisch erzeugte elektrische Energie umzuwandeln, wodurch der Energieverbrauch des EUV-Lithographiesystems reduziert wird. Zudem kann in einer synergetischen und selbstkonsistenten Weise die absorbierte Strahlungsenergie zur Verbesserung der optischen Lebensdauer der optischen Elemente verwendet werden, indem die EUV-DUV-VIS-NIR-induzierte thermische Aufheizung der optischen Elemente reduziert wird. Die Umwandlung der Strahlungsenergie in elektrische Energie erfolgt mit Hilfe einer photovoltaischen (Dünnschicht-)Zelle (bzw. Solarzelle), die zwischen der reflektierenden Beschichtung und dem Substrat angeordnet ist. Die elektrische Energie, die von der photovoltaischen Zelle erzeugt wird, kann für unterschiedliche Zwecke verwendet werden.
  • Bei einer Ausführungsform ist die photovoltaische Zelle in Form einer (funktionalen) Beschichtung auf die Oberfläche des Substrats aufgebracht. Die photovoltaische Zelle ist in diesem Fall als Dünnschichtzelle ausgebildet. Die photovoltaische Zelle in Form der Beschichtung kann auf einer planen oder einer gekrümmten Oberfläche des Substrats aufgebracht sein. Die photovoltaische Zelle in Form der Beschichtung weist typischerweise mehrere Schichten auf, von denen eine Schicht als Absorptionsschicht zur Absorption eines Teils der auftreffenden Strahlungsenergie dient. Zwei der Schichten der Dünnschichtzelle dienen als Elektroden und weisen jeweils einen elektrischen Kontakt auf. Zwischen den beiden elektrischen Kontakten fällt im Betrieb der photovoltaischen Zelle eine elektrische Spannung ab. Bei den beiden Schichten, welche die Elektroden bilden, handelt es sich typischerweise um eine oberste, der reflektierenden Beschichtung benachbarte Schicht und um eine unterste, der Oberfläche des Substrats benachbarte Schicht der photovoltaischen Zelle.
  • Bei einer Weiterbildung ist mindestens eine Schicht der photovoltaischen Zelle durch Atomlagenabscheidung (atomic layer deposition), insbesondere durch räumliche Atomlagenabscheidung (spatial atomic layer deposition), auf die Oberfläche des Substrats aufgebracht. Insbesondere können alle Schichten der photovoltaischen Zelle durch (räumliche) Atomlagenabscheidung auf die Oberfläche des Substrats aufgebracht sein. Durch das Aufbringen der photovoltaischen Zelle mittels Atomlagenabscheidung können die Rauhigkeitsanforderungen auf atomarer Skala erfüllt werden, die für eine optimale Performance, genauer gesagt zur Erzeugung einer maximalen Reflektivität des EUV-Spiegels eingehalten werden müssen. Da manche der Schichten der photovoltaischen Zelle, z.B. die Absorberschicht, eine Dicke von beispielsweise ca. 0,5 µm oder mehr aufweisen können, ist die vorteilhafteste Art der Atomlagenabscheidung die räumliche Atomlagenabscheidung, die gegenüber herkömmlicher Atomlagenabscheidung um einen Faktor 10 höhere Schicht-Abscheidungsraten bei außergewöhnlich guter Dickenkontrolle auf atomarer Skala ermöglicht (vgl. [4], [5], [7], [9]).
  • Bei der räumlichen Atomlagenabscheidung wird typischerweise Gas bei Atmosphärendruck verwendet. Die räumliche Atomlagenabscheidung kann plasmaunterstützt erfolgen (Plasma Enhanced Atomic Layer Deposition, PEALD). Die ggf. gekrümmte Oberfläche des Substrats kann automatisch in einem geringen Abstand (typischerweise 200 µm oder weniger) von einem (Plasma-)Gas-Injektionskopf angeordnet sein, um eine effizientere Deposition als bei einem konventionellen ALD-Prozess sicherzustellen, bei dem das Substrat in einem voluminösen Reaktor in einem wesentlich weniger effizienten Prozess behandelt würde.
  • Bei einer weiteren Weiterbildung ist mindestens eine Schicht der photovoltaischen Zelle durch Magnetron-Sputtern auf die Oberfläche des Substrats aufgebracht. Das Magnetron-Sputtern stellt eine alternative Möglichkeit zur Abscheidung von Schichten der photovoltaischen Zelle in Form eines trockenen Abscheidungsprozesses dar, der typischerweise in einer Vakuumkammer durchgeführt wird. Insbesondere kann die dicke (Absorber-)schicht der photovolatischen Zelle durch Magnetron-Sputtern in einer geeigneten Apparatur abgeschieden werden. Die Apparatur für das Magnetron-Sputtern kann mit einer Kaufmann-Ionenkanone mit Glühkathode ausgerüstet sein, die zur Ionenbehandlung (lokales Ausdünnen und Polieren) der abgeschiedenen Schicht(en) dient (vgl. [1]). Diese zusätzliche Nachbehandlung in einer FIB(Focused Ion Beam)-Anlage wird auch beim Abscheiden von herkömmlichen reflektierenden Mehrlagen-Beschichtungen, z.B. von Mo/Si-Beschichtungen, verwendet, um individuelle Schichten lokal dünner zu machen. Der FIB-Prozess, der nach dem Abscheiden oder intermittierend angewendet werden kann, um die Schichtdicken zu korrigieren, benötigt jedoch eine Feedback-Schleife, die auf einem Scannen und Re-Scannen der Schichtdicke beruht.
  • Bei einer weiteren Ausführungsform ist die photovoltaische Zelle vom Kupfer-Indium-Gallium-Diselenid-Typ oder vom Kupfer-Zinn-Zinksulfid-Typ. Grundsätzlich kann nahezu jeder Typ von Dünnschichtzelle in Form einer Beschichtung auf die Oberfläche des Substrats aufgebracht werden. Ein Beispiel für eine solche Dünnschichtzelle stellt die CIGS („Copper Indium Gallium Selenide“)-Zelle (Cu(In,Ga)Se2-Klasse) dar.
  • Ein beispielhafter Aufbau einer solchen Dünnschichtzelle ist unter dem Link „https://www.thermofisher.com/blog/materials/exploring-a-better-way-to-makecigs-solar-cells/“ beschrieben. Der Schichtstapel der CIGS-Zelle kann beispielsweise von oben nach unten eine Schicht in Form einer transparenten Elektrode aus Al-dotiertem ZnO (AZO), eine ZnO-Halbleiterschicht vom n-Typ, eine CdS Pufferschicht, eine aktive (Absorber-)Schicht aus Cu((In,Ga)Se2),und eine unterste Schicht aus (reflektierendem) Molybdän aufweisen. Der Schichtstapel ist auf einem Glassubstrat aufgebracht. Die Absorberschicht weist in diesem Fall eine erhebliche Dicke in der Größenordnung zwischen ca. 1 µm und 2 µm auf. Die Quanten-Effizienz einer typischen CIGS-Zelle mit ihrem Absorptionsspektrum im Wellenlängenbereich zwischen 300 nm und 1200 nm ist in [6] beschrieben, vgl. dort 3, in der ein Vergleich zwischen einer mit ALD abgeschiedenen Pufferschicht und einer Referenz-Zelle mit einer CdS-Pufferschicht gezeigt ist.
  • Die photovoltaische Zelle bzw. deren Schichtstapel kann auch ähnliche Materialien wie die CIGS-Zelle umfassen und beispielsweise vom CZTS(„copper zinc tin sufur“)-Typ sein, vom Cu(Zn,Sn)Se2-Typ, etc. Grundsätzlich können für die hier beschriebene photovoltaische Zelle auch die meisten Materialien verwendet werden, die in Zellen vom Perowskit(Calciumtitanat)-Typ vorkommen.
  • Bei einer weiteren Ausführungsform weist das optische Element mindestens einen bevorzugt in das Substrat integrierten Aktuator zur Deformation der Oberfläche des Substrats auf, auf welche die reflektierende Beschichtung aufgebracht ist, wobei die photovoltaische Zelle als Energiequelle für den mindestens einen Aktuator dient. Der Aktuator kann zum thermischen Aktuieren der Oberfläche ausgebildet sein und beispielsweise als Peltierelement bzw. als Peltier-Schichtstapel ausgebildet sein, um das Substrat zu kühlen, um auf diese Weise die thermische Ausdehnung zu verringern oder ggf. zum Heizen des Substrats, um an der Oberfläche eine möglichst gleichmäßige Temperaturverteilung zu erzeugen. In Bezug auf thermische Aktuatoren sei beispielhaft auf [13] verwiesen, wobei die dort beschriebenen Aktuatoren nicht in die Schicht bzw. in das Substrat integriert bzw. eingebettet sind und von externen Energiequellen gespeist werden.
  • Es ist nicht zwingend erforderlich, dass der Aktuator in das Substrat eingebettet ist, vielmehr kann der Aktuator auch an der Oberfläche des Substrats, beispielsweise auf der Rückseite des Substrats, angebracht sein. Dies ist häufig der Fall, wenn es sich bei dem bzw. den Aktuatoren um Piezoaktuatoren handelt. Es ist grundsätzlich auch möglich, dass der mindestens eine Aktuator von dem optischen Element beabstandet angeordnet ist.
  • Bei einer weiteren Ausführungsform weist das optische Element mindestens einen bevorzugt in das Substrat integrierten Sensor auf, wobei die photovoltaische Zelle als Energiequelle für den mindestens einen Sensor dient. Der Sensor kann zur Messung von verschiedenen kritischen Parametern dienen, die beispielsweise die Positionierung bzw. die Form der Oberfläche des EUV-Spiegels betreffen, die mit einer Präzision im Sub-Nanometerbereich erfolgt. Bei dem (kritischen) Parameter kann es sich beispielsweise um die thermische Ausdehnung handeln, um den Druck, insbesondere um den Umgebungsdruck, etc. Auch kann der Sensor zur in-situ-Reflektometrie verwendet werden.
  • Bei einer Ausführungsform bildet die reflektierende Beschichtung eine Mehrlagen-Beschichtung mit alternierenden Schichten aus einem ersten Material und aus einem zweiten Material, die unterschiedliche Brechungsindizes aufweisen. Wie weiter oben beschrieben wurde, handelt es sich bei einer Betriebswellenlänge von 13,5 nm üblicherweise bei dem ersten Material um Si und bei dem zweiten Material um Mo, die als Interferenzschichtsystem zur Reflexion der EUV-Strahlung bei der Betriebswellenlänge dienen.
  • Die Schichten der reflektierenden Mehrlagen-Beschichtung können gemeinsam mit den Schichten der photovoltaischen Zelle in einem integrierten Prozess, beispielsweise mittels (räumlicher) Atomlagenabscheidung. auf die Oberfläche des Substrats abgeschieden werden. Wie weiter oben beschrieben wurde, kann die photovoltaische Zelle Strahlung im EUV-DUV-VIS-NIR-Wellenbereich, beispielsweise Strahlung im Wellenlängenbereich zwischen 300 nm und 1200 nm, absorbieren und in elektrische Energie umwandeln, die von der Mehrlagen-Beschichtung durchgelassen wird. Bei einer Mehrlagen-Beschichtung mit alternierenden Schichten aus Molybdän und Silizium wird im Wesentlichen sogenannte out-of-band Strahlung im Wellenlängenbereich zwischen ca. 10 nm und ca. 18 nm zur photovoltaischen Zelle durchgelassen. Es ist daher günstig, wenn die photovoltaische Zelle Strahlung in diesem Wellenlängenbereich oder im weichen Röntgenbereich absorbieren und in elektrische Energie umwandeln kann. Mögliche Materialien für die Absorberschicht einer solchen photovoltaischen Zelle sind beispielsweise Ge, Te, Sb, Se.
  • Ein weiterer Aspekt der Erfindung betrifft ein EUV-Lithographiesystem, umfassend: mindestens ein optisches Element, das wie weiter oben beschrieben ausgebildet ist. Wie weiter oben beschrieben wurde, kann es sich bei dem EUV-Lithographiesystem um eine EUV-Lithographieanlage zur Belichtung eines Wafers oder um eine andere optische Anordnung handeln, die EUV-Strahlung verwendet, beispielsweise um ein EUV-Inspektionssystem, z.B. zur Inspektion von in der EUV-Lithographie verwendeten Masken, Wafern oder dergleichen.
  • Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der nachfolgenden Beschreibung von Ausführungsbeispielen der Erfindung, anhand der Figuren der Zeichnung, die erfindungswesentliche Einzelheiten zeigen, und aus den Ansprüchen. Die einzelnen Merkmale können je einzeln für sich oder zu mehreren in beliebiger Kombination bei einer Variante der Erfindung verwirklicht sein.
  • Figurenliste
  • Ausführungsbeispiele sind in der schematischen Zeichnung dargestellt und werden in der nachfolgenden Beschreibung erläutert. Es zeigt
    • 1 schematisch im Meridionalschnitt eine Projektionsbelichtungsanlage für die EUV-Projektionslithografie, sowie
    • 2 eine schematische Darstellung eines optischen Elements zur Reflexion von EUV-Strahlung, das eine photovoltaische Zelle aufweist.
  • In der folgenden Beschreibung der Zeichnungen werden für gleiche bzw. funktionsgleiche Bauteile identische Bezugszeichen verwendet.
  • Im Folgenden werden unter Bezugnahme auf 1 exemplarisch die wesentlichen Bestandteile einer optischen Anordnung für die EUV-Lithographie in Form einer Projektionsbelichtungsanlage 1 für die Mikrolithographie beschrieben. Die Beschreibung des grundsätzlichen Aufbaus der Projektionsbelichtungsanlage 1 sowie von deren Bestandteilen ist hierbei nicht einschränkend zu verstehen.
  • Eine Ausführung eines Beleuchtungssystem 2 der Projektionsbelichtungsanlage 1 hat neben einer Licht- bzw. Strahlungsquelle 3 eine Beleuchtungsoptik 4 zur Beleuchtung eines Objektfeldes 5 in einer Objektebene 6. Bei einer alternativen Ausführung kann die Lichtquelle 3 auch als ein zum sonstigen Beleuchtungssystem separates Modul bereitgestellt sein. In diesem Fall umfasst das Beleuchtungssystem die Lichtquelle 3 nicht.
  • Beleuchtet wird ein im Objektfeld 5 angeordnetes Retikel 7. Das Retikel 7 ist von einem Retikelhalter 8 gehalten. Der Retikelhalter 8 ist über einen Retikelverlagerungsantrieb 9 insbesondere in einer Scanrichtung verlagerbar.
  • In 1 ist zur Erläuterung ein kartesisches xyz-Koordinatensystem eingezeichnet. Die x-Richtung verläuft senkrecht zur Zeichenebene hinein. Die y-Richtung verläuft horizontal und die z-Richtung verläuft vertikal. Die Scanrichtung verläuft in der 1 längs der y-Richtung. Die z-Richtung verläuft senkrecht zur Objektebene 6.
  • Die Projektionsbelichtungsanlage 1 umfasst ein Projektionssystem 10. Das Projektionssystem 10 dient zur Abbildung des Objektfeldes 5 in ein Bildfeld 11 in einer Bildebene 12. Abgebildet wird eine Struktur auf dem Retikel 7 auf eine lichtempfindliche Schicht eines im Bereich des Bildfeldes 11 in der Bildebene 12 angeordneten Wafers 13. Der Wafer 13 wird von einem Waferhalter 14 gehalten. Der Waferhalter 14 ist über einen Waferverlagerungsantrieb 15 insbesondere längs der y-Richtung verlagerbar. Die Verlagerung einerseits des Retikels 7 über den Retikelverlagerungsantrieb 9 und andererseits des Wafers 13 über den Waferverlagerungsantrrieb 15 kann synchronisiert zueinander erfolgen.
  • Bei der Strahlungsquelle 3 handelt es sich um eine EUV-Strahlungsquelle. Die Strahlungsquelle 3 emittiert insbesondere EUV-Strahlung 16, welche im Folgenden auch als Nutzstrahlung, Beleuchtungsstrahlung oder Beleuchtungslicht bezeichnet wird. Die Nutzstrahlung hat insbesondere eine Wellenlänge im Bereich zwischen 5 nm und 30 nm. Bei der Strahlungsquelle 3 kann es sich um eine Plasmaquelle handeln, zum Beispiel um eine LPP-Quelle (Laser Produced Plasma, mithilfe eines Lasers erzeugtes Plasma) oder um eine DPP-Quelle (Gas Discharged Produced Plasma, mittels Gasentladung erzeugtes Plasma). Es kann sich auch um eine synchrotronbasierte Strahlungsquelle handeln. Bei der Strahlungsquelle 3 kann es sich um einen Freie-Elektronen-Laser (Free-Electron-Laser, FEL) handeln.
  • Die Beleuchtungsstrahlung 16, die von der Strahlungsquelle 3 ausgeht, wird von einem Kollektorspiegel 17 gebündelt. Bei dem Kollektorspiegel 17 kann es sich um einen Kollektorspiegel mit einer oder mit mehreren ellipsoidalen und/oder hyperboloiden Reflexionsflächen handeln. Die mindestens eine Reflexionsfläche des Kollektorspiegels 17 kann im streifenden Einfall (Grazing Incidence, GI), also mit Einfallswinkeln größer als 45°, oder im normalen Einfall (Normal Incidence, NI), also mit Einfallwinkeln kleiner als 45°, mit der Beleuchtungsstrahlung 16 beaufschlagt werden. Der Kollektorspiegel 17 kann einerseits zur Optimierung seiner Reflektivität für die Nutzstrahlung und andererseits zur Unterdrückung von Falschlicht strukturiert und/oder beschichtet sein.
  • Nach dem Kollektorspiegel 17 propagiert die Beleuchtungsstrahlung 16 durch einen Zwischenfokus in einer Zwischenfokusebene 18. Die Zwischenfokusebene 18 kann eine Trennung zwischen einem Strahlungsquellenmodul, aufweisend die Strahlungsquelle 3 und den Kollektorspiegel 17, und der Beleuchtungsoptik 4 darstellen.
  • Die Beleuchtungsoptik 4 umfasst einen Umlenkspiegel 19 und diesem im Strahlengang nachgeordnet einen ersten Facettenspiegel 20. Bei dem Umlenkspiegel 19 kann es sich um einen planen Umlenkspiegel oder alternativ um einen Spiegel mit einer über die reine Umlenkungswirkung hinaus bündelbeeinflussenden Wirkung handeln. Alternativ oder zusätzlich kann der Umlenkspiegel 19 als Spektralfilter ausgeführt sein, der eine Nutzlichtwellenlänge der Beleuchtungsstrahlung 16 von Falschlicht einer hiervon abweichenden Wellenlänge trennt. Der erste Facettenspiegel 20 umfasst eine Vielzahl von einzelnen ersten Facetten 21, welche im Folgenden auch als Feldfacetten bezeichnet werden. Von diesen Facetten 21 sind in der 1 nur beispielhaft einige dargestellt. Im Strahlengang der Beleuchtungsoptik 4 ist dem ersten Facettenspiegel 20 nachgeordnet ein zweiter Facettenspiegel 22. Der zweite Facettenspiegel 22 umfasst eine Mehrzahl von zweiten Facetten 23.
  • Die Beleuchtungsoptik 4 bildet somit ein doppelt facettiertes System. Dieses grundlegende Prinzip wird auch als Wabenkondensor (Fly's Eye Integrator) bezeichnet. Mit Hilfe des zweiten Facettenspiegels 22 werden die einzelnen ersten Facetten 21 in das Objektfeld 5 abgebildet. Der zweite Facettenspiegel 22 ist der letzte bündelformende oder auch tatsächlich der letzte Spiegel für die Beleuchtungsstrahlung 16 im Strahlengang vor dem Objektfeld 5.
  • Das Projektionssystem 10 umfasst eine Mehrzahl von Spiegeln Mi, welche gemäß ihrer Anordnung im Strahlengang der Projektionsbelichtungsanlage 1 durchnummeriert sind.
  • Bei dem in der 1 dargestellten Beispiel umfasst das Projektionssystem 10 sechs Spiegel M1 bis M6. Alternativen mit vier, acht, zehn, zwölf oder einer anderen Anzahl an Spiegeln Mi sind ebenso möglich. Der vorletzte Spiegel M5 und der letzte Spiegel M6 haben jeweils eine Durchtrittsöffnung für die Beleuchtungsstrahlung 16. Bei dem Projektionssystem 10 handelt es sich um eine doppelt obskurierte Optik. Die Projektionsoptik 10 hat eine bildseitige numerische Apertur, die größer ist als 0,4 oder 0,5 und die auch größer sein kann als 0,6 und die beispielsweise 0,7 oder 0,75 betragen kann.
  • Die Spiegel Mi können, genauso wie die Spiegel der Beleuchtungsoptik 4, eine hoch reflektierende Beschichtung für die Beleuchtungsstrahlung 16 aufweisen.
  • 2 zeigt ein reflektierendes optisches Element 25 der Projektionsbelichtungsanlage 1, bei dem es sich beispielsweise um einen der Spiegel des Beleuchtungssystems 2 oder u des Strahlungsquellenmoduls, beispielsweise um den Kollektorspiegel 17, handeln kann. Bei dem optischen Element 25 kann es sich auch um einen der Spiegel Mi der Projektionsoptik 4 handeln.
  • Das optische Element 25 weist ein Substrat 26 auf, das aus einem Material mit einem niedrigen thermischen Ausdehnungskoeffizienten gebildet ist, beispielsweise aus ULE® oder aus Zerodur®. Das Substrat 26 weist eine Oberfläche 27 auf, die in 2 plan dargestellt ist, die aber auch gekrümmt ausgebildet sein kann. Auf die Oberfläche 27 des Substrats 26 ist eine photovoltaische Zelle 28 in Form einer Dünnschichtzelle aufgebracht. Auf die photovoltaische Zelle 28 ist eine reflektierende Mehrlagen-Beschichtung 29 aufgebracht, die eine Mehrzahl von Paaren 30 von alternierenden Schichten 31a, 31b aus Silizium und Molybdän aufweist. Auf die Oberseite der Mehrlagen-Beschichtung 29 ist eine Deckschicht 32 aufgebracht, die zum Schutz der Mehrlagen-Beschichtung 29 vor Umwelteinflüssen dient und die beispielsweise aus Ruthenium gebildet sein kann. Die Mehrlagen-Beschichtung 29 ist zur Reflexion von EUV-Strahlung bei der Betriebswellenlänge von 13,5 nm durch Interferenzeffekte ausgebildet. Die Mehrlagen-Beschichtung 29 kann auch weitere funktionale Schichten aufweisen, beispielsweise Glättungsschichten oder Pufferschichten, die zur Vereinfachung der Darstellung in 2 nicht gezeigt sind.
  • Die von der Strahlungsquelle 3 in Form einer Plasmaquelle emittierte Beleuchtungsstrahlung 16 weist bei der Betriebswellenlänge von 13,5 nm ein Leistungs-Maximum auf, die Strahlungsquelle 3 emittiert aber auch Strahlung in anderen Wellenlängenbereichen über ein breites Spektrum im EUV-DUV-VIS-NIR-Wellenlängenbereich. Diese Strahlung wird von der reflektierenden Beschichtung 29 teilweise reflektiert, ein Anteil der Strahlung wird aber von dem optischen Element 25, insbesondere von dem Substrat 26, absorbiert. Der absorbierte Anteil der auftreffenden Strahlung führt zu einer Erwärmung des Substrats 26. Da die Strahlung in der Regel nicht mit einer homogenen Leistungsdichte auf die Oberfläche 27 des Substrats 26 bzw. auf die reflektierende Beschichtung 29 auftrifft, wird das Substrat 26 durch die auftreffende Strahlung inhomogen erwärmt und dehnt sich aufgrund der inhomogenen Erwärmung lokal unterschiedlich stark aus, was zu einer Deformation der Oberfläche 27 des Substrats 26 führt, die Wellenfrontfehler bei der Reflexion der EUV-Strahlung zur Folge hat.
  • Mit Hilfe der photovoltaischen Zelle 28 kann ein Teil der auftreffenden Strahlung absorbiert und in elektrische Energie umgewandelt werden. Der von der photovoltaischen Zelle 28 aufgenommene und in elektrische Energie umgewandelte Anteil der auftreffenden Strahlung trägt nicht zur Erwärmung des Substrats 26 bei. Die elektrische Energie, die von der photovoltaischen Zelle 28 erzeugt wird, kann für unterschiedliche Zwecke genutzt werden. Beispielsweise kann die photovoltaische Zelle 28 als Energiequelle für einen oder für mehrere Aktuatoren dienen, die dazu dienen, eine Deformation der Oberfläche 27 des Substrats 26 zu korrigieren. Der oder die Aktuatoren können z.B. als Peltierelemente ausgebildet sein und dazu dienen, das Substrat 26 zu kühlen. Zu diesem Zweck können die Aktuatoren in das Substrat 26 eingebettet sein. Es ist auch möglich, dass andere Arten von Aktuatoren, beispielsweise in Form von Piezoaktuatoren oder dergleichen, in das Substrat 26 eingebettet oder an dem Substrat 26 angebracht sind. Auch für diese Aktuatoren kann die photovoltaische Zelle 28 als Energiequelle dienen. Die von der photovoltaischen Zelle 28 absorbierte Strahlung, die nicht zur Erwärmung des optischen Elements 25 und damit auch nicht zur Deformation der Oberfläche 27 beiträgt, kann in diesem Fall zusätzlich dazu verwendet werden, um Deformationen der Oberfläche 27 des Substrats 26 zu korrigieren.
  • Es ist ebenfalls möglich, dass das optische Element 25 einen oder mehrere Sensoren aufweist, die eine besonders geringe Leistungsaufnahme aufweisen. Für den oder die Sensoren kann die photovoltaische Zelle 28 ebenfalls als Energiequelle dienen. Der oder die Sensoren können ebenfalls in das Substrat 26 eingebettet sein, dies ist aber nicht zwingend erforderlich. Der oder die Sensoren können beispielsweise ausgebildet sein, die thermische Ausdehnung des optischen Elements 25 bzw. des Substrats 26 zu bestimmen, den Druck, insbesondere den Umgebungsdruck, zu messen, etc. Der Sensor, für den die photovoltaische Zelle 28 als Energiequelle dient, kann beispielsweise auch zur in-situ-Reflektometrie verwendet werden.
  • Bei dem in 2 gezeigten optischen Element 25 ist die photovoltaische Zelle 28 in Form einer Beschichtung auf die Oberfläche 27 des Substrats 26 aufgebracht. Die photovoltaische Zelle 28 weist eine Mehrzahl von funktionellen Schichten auf, die in 2 zur Vereinfachung nicht gezeigt sind. Die photovoltaische Zelle 28 ist bei dem Beispiel von 2 von Kupfer-Indium-Gallium-Diselenid-Typ. Bei der photovoltaischen Zelle 28 kann es sich auch um eine Zelle vom Kupfer-Zinn-Zinksulfid-Typ oder um einen anderen Typ von Dünnschichtzelle handeln. In der Regel weist die photovoltaische Zelle 28 eine vergleichsweise dicke Absorberschicht auf, um die auftreffende Strahlung zu absorbieren. Die Absorberschicht ist zwischen zwei Schichten angeordnet, die als Elektroden dienen und die mit elektrischen Anschlüssen 33a,b verbunden sind, um die photovoltaische Zelle 28 mit einem Verbraucher zu verbinden. Die Verwendung der photovoltaischen Zelle 28 als Energiequelle ist nicht auf die weiter oben beschriebenen Verbraucher beschränkt, vielmehr kann die photovoltaische Zelle 28 als Energiequelle für praktisch beliebige Verbraucher in der Projektionsbelichtungsanlage 1 dienen.
  • Im gezeigten Beispiel sind die Schichten der photovoltaischen Zelle 28 durch Atomlagenabscheidung, genauer gesagt durch räumliche Atomlagenabscheidung, auf die Oberfläche 27 des Substrats 26 aufgebracht.
  • Die (räumliche) Atomlagenabscheidung ermöglicht die Abscheidung der Schichten der photovoltaischen Zelle 28 mit einer sehr geringen Rauheit. Dies führt dazu, dass die Oberfläche 33 an der Oberseite der photovoltaischen Zelle 28 nur eine geringe Rauheit aufweist. Eine geringe Rauheit der Oberfläche 33, auf der die reflektierende Beschichtung 29 aufgebracht wird, ist die Voraussetzung dafür, dass die reflektierende Beschichtung 29 eine hohe Reflektivität für die auftreffende EUV-Strahlung aufweist. Die zu diesem Zweck benötigte Rauheit in vorteilhafter Weise mit Hilfe der (räumlichen) Atomlagenabscheidung, ggf. in Kombination mit Atomlagenätzen, erreicht werden.
  • Für die Abscheidung der photovoltaischen Zellen 28 vom weiter oben beschriebenen Typ mittels (räumlicher) Atomlagenabscheidung kommen als Precursoren typischerweise organometallische Chemikalien in Frage, beispielsweise Trimethylaluminium (TMA), Diethylzink (DEZ) und Diethylcadmium (DECd), vgl. [10]. Als Co-Reaktanden wird typischerweise Plasma-O2, Plasma-H2O und/oder Plasma-H2S-Gas verwendet, vgl. [7-11]. Falls erforderlich, kann der additive ALD-basierte Prozess durch einen subtraktiven, auf Atomlagenätzen (Atomic Layer Etching) basierenden Rückätz-Prozess erweitert oder unterbrochen werden, um die Schichtdicke bzw. die Schichtqualität unter Verwendung der relevanten chemischen Dämpfe in derselben Apparatur zu verbessern. Auf diese Weise kann ein integrierter Atomlagen-Bearbeitungsprozess realisiert werden.
  • Geeignete Apparaturen für einen Atomlagen-Bearbeitungsprozess, die auch eine Atomlagen-Bearbeitung an konkav oder konvex gekrümmten Oberflächen bzw. allgemein an Freiformflächen ermöglichen, sind beispielsweise in [12] beschrieben, vgl. auch den Link „https://www.tno.nl/media/2662/nanomefosleaflet.pdf“,der ein Vermessungs-Tool zur Vermessung von Werkstücken beschreibt. Die Resultate von Ätz- bzw. Reinigungsschritten an den planen Oberflächen von Substraten sind beispielsweise in [11] beschrieben.
  • Alternativ ist es möglich, eine oder mehrere Schichten der photovoltaischen Zelle 28 mit einem anderen Abscheidungsverfahren aufzubringen, beispielsweise durch Magnetron-Sputtern. In diesem Fall ist es jedoch typischerweise erforderlich, die Schichtdicken der abgeschiedenen Schichten in einer FIB(Focused Ion Beam)-Prozess zu korrigieren, was eine Feedback-Schleife erfordert, die ein Scannen bzw. ein Re-Scannen der Schichtdicke erfordert.
  • Die Abscheidung der Schichten der photovoltaischen Zelle 28 und der Schichten 31a,b der reflektierenden Beschichtung 29 kann in ein- und derselben Beschichtungsanlage erfolgen. Auf diese Weise kann ein Tandem aus einer photovoltaischen Schichtstapel der photovoltaischen Zelle 28, beispielsweise vom CIGS-Typ, und einem Mo/Si- Schichtstapel der Mehrlagen-Beschichtung 29 realisiert werden, d.h. es kann ein besonders vorteilhafter integrierter multi-funktionaler Schichtstapel auf einer planen oder gekrümmten Oberfläche 27 eines Substrats 26 erzeugt werden. Die vorgeschlagene Lösung kombiniert in vorteilhafter Weise Beschichtungsprozesse für reflektierende Beschichtungen von EUV-Spiegeln mit Beschichtungsprozessen für photovoltaische Zellen.
  • Referenzliste:
    • [1] S. Medvedev, „Tailoring spectral properties of EUV multilayer optics“, PhD thesis, University of Twente, 4. November, 2015
    • [2] S. K. Ravensbergen, „Adaptive optics for extreme ultraviolet lithography: actuator; design and validation for deformable mirror concepts“, PhD thesis, TU Eindhoven, The Netherlands, 19. Juni 2012, http://doi.org/10.6100/IR732113.
    • [3] V. Y. Banine et al., „Physical processes in EUV sources for microlithography“, J. Phys. D: Appl. Phys. 44, 253001 (2011)
    • [4] P. Poodt, A. Lankhorst, F. Roozebom, K. Spee, D. Maas, A. Vermeer, Adv. Mater., 22, 3564 (2010)
    • [5] P. Poodt, D. C. Cameron, E. Dickey, S. M. George, V. Kuznetsov, G. N. Parsons, F. Roozeboom, G. Sundaram, A. Vermeer, J. Vac. Sci. Technol. A, 30, 010802 (2012), sowie die dort zitierten Referenzen.
    • [6] U. Zimmermann, M. Ruth und M. Edoff, „Cadmium-free CIGS mini-modules with ALD-grown Zn(O,S)-based buffer layers“, 21st European Photovoltaic Solar Energy Conference, Sept. 4-8, 2006, Dresden, Germany, pp. 1831-1834
    • [7] J. A. van Delft et al., „Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing“, Semicond. Sci. Technol. 27, 074002 (2012)
    • [8] M. A. Hossain et al. „Atomic layer deposition enabling higher efficiency solar cells: A review“, Nano Materials Science, 2, 204-226 (2020)
    • [9] K.O. Brinkmann, T. Gahlmann, and T. Riedl, „ALD of Functional Layers in Planar Perovskite Solar Cells“, Sol. RRL, 4, 1900332 (2020)
    • [10] A. L. Johnson und J.D. Parish, „Recent developments in molecular precursors for atomic layer deposition“, Organomet. Chem. 42, 1-53 (2019) DOI: 10.1039/9781788010672-00001
    • [11] F. Roozeboom, TKI Report „E-Miracle-1“, Jan. 2019
    • [12] F. Roozeboom, D.H. Ehm, M. Becker, S.W. Schmidt, Y.L.M. Creijghton, J. Smeltink, E. van den Eijnden, „Apparatus and method for Atomic Layer Processing“, EP 3933882 A1
    • [13] N. Bär, U. Löring, O. Natt, G. Wittich, T. Laufer, P. Kürz, G. Limbach, S. Hembacher, H. Walter, Y.-B.-P. Kwan, M. Hauf, F.-J. Stickel, J. van Schoot, „EUV exposure apparatus with reflective elements having reduced influence of temperature variation“ US 20170315449 A1
    • [14] M. Hauf, „Device for controlling temperature of an optical element“, US 8057053 B2
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • EP 3933882 A1 [0052]
    • US 20170315449 A1 [0052]
    • US 8057053 B2 [0052]

Claims (9)

  1. Optisches Element (25) zur Reflexion von EUV-Strahlung, umfassend: ein Substrat (26), sowie eine auf eine Oberfläche (27) des Substrats (26) aufgebrachte reflektierende Beschichtung (29) zur Reflexion der EUV-Strahlung, dadurch gekennzeichnet, dass zwischen der reflektierenden Beschichtung (29) und der Oberfläche (27) des Substrats (26) eine photovoltaische Zelle (28) angeordnet ist.
  2. Optisches Element nach Anspruch 1, bei dem die photovoltaische Zelle (28) in Form einer Beschichtung auf die Oberfläche (27) des Substrats (26) aufgebracht ist.
  3. Optisches Element nach Anspruch 2, bei dem mindestens eine Schicht der photovoltaischen Zelle (28) durch Atomlagenabscheidung, insbesondere durch räumliche Atomlagenabscheidung, aufgebracht ist.
  4. Optisches Element nach Anspruch 2 oder 3, bei dem mindestens eine Schicht der photovoltaischen Zelle (28) durch Magnetron-Sputtern aufgebracht ist.
  5. Optisches Element nach einem der vorhergehenden Ansprüche, bei dem die photovoltaische Zelle (28) vom Kupfer-Indium-Gallium-Diselenid-Typ oder vom Kupfer-Zinn-Zinksulfid-Typ ist.
  6. Optisches Element nach einem der vorhergehenden Ansprüche, weiter umfassend: mindestens einen bevorzugt in das Substrat (26) integrierten Aktuator zur Deformation der Oberfläche (27) des Substrats (26), auf welche die reflektierende Beschichtung (29) aufgebracht ist, wobei die photovoltaische Zelle (28) als Energiequelle für den mindestens einen Aktuator dient.
  7. Optisches Element nach einem der vorhergehenden Ansprüche, weiter umfassend: mindestens einen bevorzugt in das Substrat (26) integrierten Sensor, wobei die photovoltaische Zelle (28) als Energiequelle für den mindestens einen Sensor dient.
  8. Optisches Element nach einem der vorhergehenden Ansprüche, bei dem die reflektierende Beschichtung eine Mehrlagen-Beschichtung (29) mit alternierenden Schichten (31a, 31b) aus einem ersten Material und aus einem zweiten Material bildet.
  9. EUV-Lithographiesystem (1), umfassend: mindestens ein optisches Element (25) nach einem der vorhergehenden Ansprüche.
DE102022205531.4A 2022-05-31 2022-05-31 Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem Ceased DE102022205531A1 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102022205531.4A DE102022205531A1 (de) 2022-05-31 2022-05-31 Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102022205531.4A DE102022205531A1 (de) 2022-05-31 2022-05-31 Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem

Publications (1)

Publication Number Publication Date
DE102022205531A1 true DE102022205531A1 (de) 2022-07-28

Family

ID=82320855

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022205531.4A Ceased DE102022205531A1 (de) 2022-05-31 2022-05-31 Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem

Country Status (1)

Country Link
DE (1) DE102022205531A1 (de)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057053B2 (en) 2007-10-09 2011-11-15 Carl Zeiss Smt Gmbh Device for controlling temperature of an optical element
US20170315449A1 (en) 2010-07-30 2017-11-02 Carl Zeiss Smt Gmbh Euv exposure apparatus with reflective elements having reduced influence of temperature variation
EP3933882A1 (de) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Vorrichtung und verfahren zur atomlagenverarbeitung

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057053B2 (en) 2007-10-09 2011-11-15 Carl Zeiss Smt Gmbh Device for controlling temperature of an optical element
US20170315449A1 (en) 2010-07-30 2017-11-02 Carl Zeiss Smt Gmbh Euv exposure apparatus with reflective elements having reduced influence of temperature variation
EP3933882A1 (de) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Vorrichtung und verfahren zur atomlagenverarbeitung

Similar Documents

Publication Publication Date Title
DE102015112273B4 (de) Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors
DE102011077784A1 (de) Projektionsanordnung
EP3323020B1 (de) Spiegel, insbesondere für eine mikrolithographische projektionsbelichtungsanlage
DE102015109260B4 (de) EUV-Lithografiesystem und EUV-Lithografieprozess mit optimiertem Durchsatz und optimierter Stabilität
US6723475B2 (en) Reflection-type mask for use in pattern exposure, manufacturing method therefor, exposure apparatus, and method of manufacturing a device
CN103547945A (zh) 反射光学元件和用于极紫外光刻的光学***
DE102017213900A1 (de) Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
KR20130009773A (ko) 스펙트럼 퓨리티 필터
DE102011090191B4 (de) Optisches Beleuchtungssystem, Belichtungsvorrichtung und Verfahren zur Herstellung eines Bauteils
DE102013215541A1 (de) Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
DE102022205531A1 (de) Optisches Element mit photovoltaischer Zelle und EUV-Lithographiesystem
WO2021043484A1 (de) Spiegelanordnung und optische anordnung damit
US7060399B2 (en) Reflective mirror for lithographic exposure and production method
DE102019212910A1 (de) Optisches Element und EUV-Lithographiesystem
DE102022100372A1 (de) Optische anordnung mit beschichtung und verwendungsverfahren
EP4067994A1 (de) Rohling einer reflektierenden fotomaske und reflektierende fotomaske
DE102011080636A1 (de) Spiegel und Projektionsbelichtungsanlage damit
DE102020206708A1 (de) Spiegel, insbesondere für die Mikrolithographie
DE102015226014A1 (de) Reflektives optisches Element
DE112019004659T5 (de) Elektronenemitter mit in metall eingekapselter fotokathode
DE102021213679A1 (de) Verfahren zum Erzeugen einer lokalen Dickenänderung einer Beschichtung, Spiegel und EUV-Lithographiesystem
DE102022122517A1 (de) Optische baugruppe mit beschichtung und verfahren zur verwendung
EP4220299A1 (de) Reflektierender fotomaskenrohling und reflektierende fotomaske
DE102016226202A1 (de) Optisches Element, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
US20040105145A1 (en) Efficient messaging in a parallel processing system

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R230 Request for early publication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final