DE102020128189B4 - Techniken zur Verhinderung der Delaminierung von fliessfähigem lückenfüllendem Dielektrikum - Google Patents

Techniken zur Verhinderung der Delaminierung von fliessfähigem lückenfüllendem Dielektrikum Download PDF

Info

Publication number
DE102020128189B4
DE102020128189B4 DE102020128189.7A DE102020128189A DE102020128189B4 DE 102020128189 B4 DE102020128189 B4 DE 102020128189B4 DE 102020128189 A DE102020128189 A DE 102020128189A DE 102020128189 B4 DE102020128189 B4 DE 102020128189B4
Authority
DE
Germany
Prior art keywords
dielectric
hydrophobic surface
interface layer
layer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020128189.7A
Other languages
English (en)
Other versions
DE102020128189A1 (de
Inventor
Hsing-Lien Lin
Chin-Wei Liang
Hsun-Chung KUANG
Ching Ju Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020128189A1 publication Critical patent/DE102020128189A1/de
Application granted granted Critical
Publication of DE102020128189B4 publication Critical patent/DE102020128189B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/312DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with a bit line higher than the capacitor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

Integrierte Schaltungsvorrichtung aufweisend:
ein Halbleitersubstrat (150);
eine Metall-Interconnect-Struktur (157), die mehrere Metall-Interconnect-Schichten (128) über dem Halbleitersubstrat (150) umfasst;
ein Array (159) von Vorrichtungsstrukturen (160), die zwischen zwei (128D, 128E) der mehreren Metall-Interconnect-Schichten (128) angeordnet sind, wobei jede der Vorrichtungsstrukturen (160) eine obere Elektrode (120) aufweist;
ein Dielektrikum mit einer hydrophoben Oberfläche (116), das Lücken (163) zwischen den Vorrichtungsstrukturen (160) füllt;
ein Zwischenschichtdielektrikum (114), das über dem Dielektrikum mit einer hydrophoben Oberfläche (116) angeordnet ist; und
eine Grenzflächenschicht (115) aus Dielektrikum mit einer hydrophilen Oberfläche zwischen dem Zwischenschichtdielektrikum (114) und dem Dielektrikum mit einer hydrophoben Oberfläche (116); und
obere Elektroden-Durchkontaktierungen (112), die die oberen Elektroden (120) mit Leitern innerhalb einer oberen der beiden Metall-Interconnect-Schichten (128E) verbinden;
wobei die oberen Elektroden-Durchkontaktierungen (112) sich jeweils von den jeweiligen oberen Elektroden (120) nach oben erstrecken und nacheinander durch das Dielektrikum mit einer hydrophoben Oberfläche (116), die Grenzflächenschicht (115) und das Zwischenschichtdielektrikum (114) verlaufen.

Description

  • HINTERGRUND
  • Die Fertigungsindustrie für integrierte Schaltungsvorrichtungen erlebte in den letzten Jahrzehnten ein exponentielles Wachstum. Mit der Entwicklung der integrierten Schaltungsvorrichtungen stieg die Funktionsdichte (z.B. die Anzahl der integrierten Schaltungsvorrichtungen pro Chipfläche), während die Feature-Größe verkleinert wurde. Mit der Verkleinerung der Feature-Größe, wurde es immer schwieriger, Lücken zwischen den Merkmalen bei der Herstellung zu füllen. Wenn ein Chip z.B. „große“ Strukturen enthält, die eng beieinander liegen (d.h. in seitlicher Richtung nur durch eine kleine „Lücke“ (gap) voneinander getrennt sind), kann man sagen, dass die „Lücke“ ein hohes Aspektverhältnis aufweist. Solche Lücken mit hohem Aspektverhältnis sind schwer zu füllen. Herkömmliche dielektrische Züchtungsprozesse, wie z.B. die chemische Gasphasenabscheidung (CVD), neigen dazu, sich in der Nähe der Spitzen der Lücken „abzuklemmen“ (pinch off), wodurch Hohlräume in den unteren Bereichen der Lücken zurückbleiben. Diese Hohlräume können unerwünscht sein und z.B. zu Problemen der strukturellen Integrität führen. Zur Lösung dieser Probleme wurden fließfähige CVD-Prozesse entwickelt. Fließfähige CVD-Prozesse bilden eine Flüssigkeit auf der Chip-Oberfläche, die fließt, um Lücken mit hohem Aspektverhältnis zu füllen. Ein Aushärtungsprozess verfestigt die Flüssigkeit, um ein lückenfüllendes Dielektrikum zu herzustellen, das im Wesentlichen frei von Hohlräumen ist.
  • Stand der Technik zum Gegenstand der Erfindung ist beispielsweise zu finden in DE 10 2018 107 997 A1 und US 9 773 741 B1 .
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden ausführlichen Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung beliebig vergrößert oder verkleinert sein.
    • 1 zeigt eine Querschnittsansicht einer integrierten Schaltung (IC) mit einer dielektrischen Struktur gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 2A zeigt einen Wafer-Kontaktwinkel für ein hydrophobes Material.
    • 2B zeigt einen Wafer-Kontaktwinkel für ein hydrophiles Material.
    • 3-16 veranschaulichen eine Reihe von Querschnittsansichten einer IC-Vorrichtung gemäß einigen Aspekten der vorliegenden Offenbarung, die einem Herstellungsprozess gemäß einigen Aspekten der vorliegenden Offenbarung unterzogen wird.
    • 17 zeigt ein Flussdiagramm eines Herstellungsprozesses gemäß einigen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale dieser Offenbarung. Zur Vereinfachung der vorliegenden Offenbarung werden im Folgenden spezifische Beispiele für Komponenten und Anordnungen beschrieben. Es handelt sich dabei natürlich nur um Beispiele, die nicht einschränkend sein sollen. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet werden, kann aber auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal gegebenenfalls nicht in direktem Kontakt stehen.
  • Räumlich relative Begriffe wie „unten“, „unter“, „abwärts“, „oben“, „über“, „aufwärts“ und dergleichen können hierin verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmal(en) wie in den Zeichnungen dargestellt zu beschreiben. Diese räumlich relativen Begriffe sollen zusätzlich zu der in den Zeichnungen dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung oder des Apparats während Benutzung oder Betrieb umfassen. Die Vorrichtung oder der Apparat kann anders orientiert sein (um 90 Grad gedreht oder in anderen Orientierungen), und die hierin verwendeten räumlich relativen Deskriptoren können entsprechend interpretiert werden. Die Begriffe „erste“, „zweite“, „dritte“, „vierte“ und dergleichen sind lediglich generische Bezeichner und können als solche in verschiedenen Ausführungsformen vertauscht werden. Während z.B. ein Element (z.B. eine Öffnung) in einigen Ausführungsformen als ein „erstes“ Element bezeichnet werden kann, kann das Element in anderen Ausführungsformen als ein „zweites“ Element bezeichnet werden.
  • Einige Aspekte der vorliegenden Offenbarung betreffen eine Grenzflächenschicht, die ein hydrophiles Zwischenschichtdielektrikum an ein hydrophobes lückenfüllendes Dielektrikum bindet. In einigen Ausführungsformen ist das hydrophobe lückenfüllende Dielektrikum ein Low-κ-Dielektrikum. In einigen Ausführungsformen füllt das hydrophobe lückenfüllende Dielektrikum Lücken zwischen Vorrichtungen und erstreckt sich über Vorrichtungen in einem Array von Vorrichtungen, die innerhalb einer Metallverbindungsstruktur ausgebildet sind, welche über einem Halbleitersubstrat angeordnet ist. Die Grenzflächenschicht stellt eine hydrophile obere Oberfläche bereit, an der das Zwischenschichtdielektrikum haftet.
  • In einigen Ausführungsformen ist das hydrophobe Dielektrikum das Produkt eines fließfähigen CVD-Prozesses. Es zeigte sich, dass ein Zwischenschichtdielektrikum wie undotiertes Silikatglas (USG) nicht immer gut an einem Dielektrikum haftet, das durch einen fließfähigen CVD-Prozess gebildet wird. Weitere Untersuchungen ergaben, dass eine relativ schwache Haftung damit zusammenhing, dass der fließfähige CVD-Prozess ein Dielektrikum mit einer hydrophoben Oberfläche (mit niedriger Oberflächenenergie) erzeugte. Es zeigte sich ferner, dass eine dünne Grenzflächenschicht an der hydrophoben Oberfläche haften kann, während sie selbst eine hydrophile Oberfläche (mit hoher Oberflächenenergie) bildet, an der das Zwischenschichtdielektrikum stark haften würde.
  • Die für die Grenzflächenschicht geeigneten Verbindungen weisen eine hydrophile obere Oberfläche auf. Eine hydrophile Oberfläche ist eine Oberfläche mit einem Wafer-Kontaktwinkel (WCA) von weniger als 90°. In einigen Ausführungsformen weist die hydrophile obere Oberfläche einen WCA von 50° oder weniger auf. In einigen Ausführungsformen weist die hydrophile obere Oberfläche einen WCA von 10° oder weniger auf. Grenzflächenschichten mit niedrigeren WCA sind mit einer besseren Haftung des Zwischenschichtdielektrikums verbunden.
  • In einigen Ausführungsformen enthält die Grenzflächenschicht Siliziumnitrid (Si3N4) oder dergleichen. Es zeigte sich, dass Siliziumnitrid wirkungsvoll für die Haftung eines USG-Zwischenschichtdielektrikums an einer darunterliegenden SiOC-Schicht (Siliziumoxycarbid) ist, die durch einen fließfähigen CVD-Prozess hergestellt wird und eine hydrophobe obere Oberfläche aufweist. In einigen Ausführungsformen enthält die Grenzflächenschicht sauerstoffreiches Siliziumoxycarbid. Das sauerstoffreiche Siliziumoxycarbid kann durch einen fließfähigen CVD-Prozess unter Verwendung des gleichen Werkzeugs gebildet werden, das zur Bildung des lückenfüllenden hydrophoben Dielektrikums verwendet wird. Weitere Verbindungen, die für die Grenzflächenschicht geeignet sein können, sind Siliziumoxynitrid (SiON), Siliziumdioxid, das durch chemische Gasphasenabscheidung von Tetraethylorthosilikat (TEOS) hergestellt wird, siliziumreiches Oxid (SRO) und dergleichen. Alle diese Materialien können eine Oberfläche mit einem WCA von 10° oder weniger bereitstellen.
  • Der WCA eines Materials kann durch Aufbringen eines Wassertropfens auf eine auf einem Wafer gebildete Schicht des jeweiligen Materials gemessen werden. Der Winkel, der durch die Wasser-Dampf-Grenzfläche und die Wasser-Festkörper-Grenzfläche an ihrem Schnittpunkt gebildet wird, ist der Wafer-Kontaktwinkel. Der WCA wird weitgehend durch die Zusammensetzung des festen Materials bestimmt. Der WCA kann auch durch die Oberflächenrauheit und funktionelle Gruppen beeinflusst werden, die durch den Abscheidungsprozess oder durch die Verarbeitung nach der Abscheidung auf der Oberfläche verbleiben. Beispielsweise ist Siliziumdioxid, das durch einen CVD-Prozess (chemical vapor deposition) aus TEOS hergestellt wird, zwar hydrophil, kann aber weniger hydrophil sein als Siliziumdioxid, das aus Siliziumhydrid (SiH4) oder unter bestimmten Prozessbedingungen hergestellt wird.
  • In einigen Ausführungsformen liegt der WCA der Grenzflächenschicht zwischen dem des hydrophoben Dielektrikums und dem des Zwischenschichtdielektrikums. Beispielsweise kann ein USG-Zwischenschichtdielektrikum einen WCA von etwa 4° aufweisen, während die Grenzflächenschicht einen WCA in einem Bereich von etwa 5° bis 10° aufweisen kann. Eine Grenzflächenschicht mit einem WCA von größer als der des Zwischenschichtdielektrikums kann eine bessere Haftung an dem hydrophoben Dielektrikum bereitstellen.
  • In einigen Ausführungsformen weist die Grenzflächenschicht eine Dicke in einem Bereich von 5 nm bis 60 nm (50 Å bis 600 Å) auf. In einigen Ausführungsformen weist die Grenzflächenschicht eine Dicke in einem Bereich von 10 nm bis 50 nm (100 Å bis 500 Å) auf. In einigen Ausführungsformen weist die Grenzflächenschicht eine Dicke in einem Bereich von 20 nm bis 40 nm (200 Å bis 400 Å) auf. Wenn die Grenzflächenschicht zu dünn ist, kann sie gegebenenfalls nicht effektiv für die Haftung des Zwischenschichtdielektrikums an dem hydrophoben Dielektrikum sein. Wenn die Grenzflächenschicht zu dick ist, kann sie einen Ätzprozess beeinflussen, der zur Bildung einer Durchkontaktierung durch das Zwischenschichtdielektrikum und das hydrophobe Dielektrikum verwendet wird.
  • Einige Aspekte der vorliegenden Offenbarung betreffen eine integrierte Schaltungsvorrichtung, die eine Metall-Interconnect-Struktur über einem Halbleitersubstrat aufweist. Die Metall-Interconnect-Struktur umfasst mehrere Metall-Interconnect-Schichten. Ein Array von Vorrichtungsstrukturen ist zwischen zwei der Metall-Interconnect-Schichten angeordnet. Jede der Vorrichtungsstrukturen weist eine obere Elektrode auf. Ein hydrophobes Dielektrikum füllt Lücken zwischen den Vorrichtungsstrukturen und erstreckt sich über den Vorrichtungsstrukturen. Ein Zwischenschichtdielektrikum ist über dem hydrophoben Dielektrikum angeordnet. Das Zwischenschichtdielektrikum haftet an einer Grenzflächenschicht aus hydrophilem Dielektrikum, die zwischen dem Zwischenschichtdielektrikum und dem hydrophoben Dielektrikum angeordnet ist. Durchkontaktierungen verbinden die oberen Elektroden mit Leitern innerhalb einer oberen der beiden Metall-Interconnect-Schichten. Jede der Durchkontaktierungen erstrecken sich von einer jeweiligen oberen Elektrode aufwärts und verläuft nacheinander durch das hydrophobe Dielektrikum, die Grenzflächenschicht und das Zwischenschichtdielektrikum. Die Grenzflächenschicht ist verschieden von allen Ätzschichten oder dergleichen, die auf die obere Elektrode ausgerichtet sind.
  • In einigen Ausführungsformen verlaufen die Durchkontaktierungen ferner durch eine Ätzstoppschicht, die zwischen den oberen Elektroden und dem hydrophoben Dielektrikum liegt. In einigen Ausführungsformen enthält die Ätzstoppschicht eine Zusammensetzung, die der Zusammensetzung der Grenzflächenschicht gleich oder ähnlich ist. In einigen Ausführungsformen enthält die Ätzstoppschicht Siliziumnitrid (Si3N4). In einigen Ausführungsformen ist die Grenzflächenschicht dünner als die Ätzstoppschicht. Wenn die Grenzflächenschicht dünner als die Ätzstoppschicht ist, wird die Herstellung der Durchkontaktierungen erleichtert.
  • In einigen Ausführungsformen sind die Vorrichtungsstrukturen Speicherzellen. In einigen Ausführungsformen sind die Vorrichtungsstrukturen Kondensatoren. In einigen Ausführungsformen sind die Vorrichtungsstrukturen mit einer Verkapselungsschicht bedeckt, die als eine Ätzstoppschicht dient. In einigen Ausführungsformen weist die Verkapselungsschicht eine gleichmäßige Dicke auf, die durch Atomlagenabscheidung (ALD) erreicht werden kann. Die Lücken können Lücken mit hohem Aspektverhältnis zwischen den Vorrichtungsstrukturen sein. In einigen Ausführungsformen weisen die Lücken ein Aspektverhältnis (von maximaler Tiefe zu minimaler Breite) von 10:1 oder mehr auf. In einigen Ausführungsformen weisen die Lücken ein Aspektverhältnis (von maximaler Tiefe zu minimaler Breite) von 15:1 oder mehr auf. In einigen Ausführungsformen weisen die Lücken ein Aspektverhältnis (von maximaler Tiefe zu minimaler Breite) von 20:1 oder mehr auf.
  • Das Halbleitersubstrat, auf das das hydrophobe Dielektrikum aufgetragen wird, kann einen ersten Bereich, in dem das Array von Vorrichtungsstrukturen vorhanden ist, und einen zweiten Bereich aufweisen, in dem kein solches Array vorhanden ist. Wenn das hydrophobe Dielektrikum durch einen fließfähigen CVD-Prozess gebildet wird, wird die Flüssigkeit mit einem ausreichenden Volumen aufgetragen, um Lücken zwischen den Vorrichtungsstrukturen in dem ersten Bereich zu füllen. Die Flüssigkeit wird ferner auf den zweiten Bereich aufgetragen, aber die Flüssigkeit wird üblicherweise nicht mit einem solchen Volumen aufgetragen, dass die Oberfläche in dem zweiten Bereich auf die Höhe der Vorrichtungsstrukturen angehoben wird. Die Flüssigkeit kann durch Wärme, Sauerstoff, ultraviolettes Licht (UV), eine Kombination hiervon oder durch ein anderes Verfahren verfestigt werden, um das hydrophobe Dielektrikum zu bilden.
  • In einigen Ausführungsformen weist das resultierende hydrophobe Dielektrikum eine obere Oberfläche mit einer größeren maximalen Höhe in dem ersten Bereich auf als in dem zweiten Bereich. Da die Flüssigkeit von den durch sie beschichteten Oberflächen angezogen wird, wird die obere Oberfläche in einigen Ausführungsformen mit Hügeln und Tälern in dem ersten Bereich gebildet. Die Hügel erheben sich über einzelnen Vorrichtungsstrukturen in dem Array und entsprechen denselben. In einigen Ausführungsformen sind die Hügel über den einzelnen Vorrichtungsstrukturen konvex. Die Täler liegen zwischen den Vorrichtungsstrukturen und weisen Tiefpunkte entlang Diagonalen zwischen den Array-Elementen auf.
  • Die Grenzflächenschicht kann im Wesentlichen mit der oberen Oberfläche des hydrophoben Dielektrikums konform sein. Somit weist eine obere Oberfläche der Grenzflächenschicht in einigen Ausführungsformen eine größere maximale Höhe in dem ersten Bereich auf als in dem zweiten Bereich und in einigen Ausführungsformen bildet eine obere Oberfläche der Grenzflächenschicht Hügel und Täler in dem ersten Bereich. Diese Spitzen, Hügel und Täler entsprechen den Spitzen, Hügeln und Tälern in dem hydrophoben Dielektrikum.
  • Einige Aspekte des vorliegenden Lehre betreffen ein Verfahren umfassend das Erhalten eines Halbleitersubstrats und das Bilden eines hydrophoben Dielektrikums durch einen fließfähigen chemischen Gasphasenabscheidungsprozess, um Lücken zwischen den Strukturen zu füllen, die über dem Halbleitersubstrat gebildet sind. Das hydrophobe Dielektrikum erstreckt sich über einen ersten Bereich und einen zweiten Bereich des Halbleitersubstrats, die unterschiedliche Topographien aufweisen. Eine Grenzflächenschicht, die an dem hydrophoben Dielektrikum haftet, wird über dem hydrophoben Dielektrikum gebildet, wobei die Grenzflächenschicht eine hydrophile obere Oberfläche aufweist. Die Grenzflächenschicht weist eine obere Oberfläche auf, die sich über den ersten Bereich und den zweiten Bereich erstreckt, wobei die obere Oberfläche in dem ersten Bereich eine größere maximale Höhe über dem Halbleitersubstrat aufweist als in dem zweiten Bereich. Ein Zwischenschichtdielektrikum wird über der hydrophilen oberen Oberfläche gebildet und haftet an derselben.
  • In einigen Ausführungsformen ist die erste Bereich ein Bereich, in dem ein Array von Vorrichtungen vorhanden ist und die maximale Höhe über einer der Vorrichtungen erreicht wird. Die zweite Bereich kann frei von ähnlichen Vorrichtungen sein. In einigen Ausführungsformen ist der erste Bereich ein Bereich über einer Vorrichtung in einem Array von Vorrichtungen und der zweite Bereich ist ein Bereich zwischen Vorrichtungen in dem Array von Vorrichtungen. In einigen Ausführungsformen umfasst das Verfahren ferner das Planarisieren des Zwischenschichtdielektrikums durch chemisch-mechanisches Polieren (CMP).
  • In einigen Ausführungsformen erstreckt sich das hydrophobe Dielektrikum über den Vorrichtungen in einem Array und zwischen denselben. In einigen Ausführungsformen umfasst das Verfahren ferner das Ätzen von Öffnungen, die sich durch das Zwischenschichtdielektrikum, die Grenzflächenschicht und das hydrophobe Dielektrikum erstrecken, und das Füllen der Öffnungen mit leitfähigem Material, um Durchkontaktierungen zu bilden, die die oberen Elektroden der Vorrichtungen in dem Array kontaktieren. In einigen Ausführungsformen erstrecken sich die Öffnungen durch eine Ätzstoppschicht, die unter dem hydrophoben Dielektrikum liegt. In einigen Ausführungsformen weist die Ätzstoppschicht eine Grundfläche (footprint) entsprechend den oberen Elektroden auf. In einigen Ausführungsformen ist die Ätzstoppschicht eine Verkapselungsschicht, die sich über den oberen Elektroden und an den Seiten der Vorrichtungen abwärts erstreckt. In einigen Ausführungsformen kann die Ätzstoppschicht Siliziumnitrid (Si3N4) oder dergleichen sein.
  • In einigen Ausführungsformen wird die Grenzflächenschicht durch einen zweiten fließfähigen chemischen Gasphasenabscheidungsprozess gebildet. In einigen Ausführungsformen ist das Halbleitersubstrat Teil eines Wafers und sowohl das hydrophobe Dielektrikum als auch die Grenzflächenschicht werden in einem Werkzeug über dem Halbleitersubstrat gebildet, ohne den Wafer zu entfernen. In einigen Ausführungsformen ist das hydrophobe Dielektrikum ein Low-κ-Dielektrikum, das durch einen fließfähigen CVD-Prozess gebildet werden kann. In einigen Ausführungsformen ist das hydrophobe Dielektrikum SiOC oder dergleichen. In einigen Ausführungsformen ist die Grenzflächenschicht sauerstoffreiches SiOC oder dergleichen.
  • 1 zeigt eine Querschnittsansicht einer integrierten Schaltung 100, die eine Metall-Interconnect-Struktur 157 über einem Halbleitersubstrat 150 aufweist. Die Metall-Interconnect-Struktur 157 weist Metall-Interconnect-Schichten 128A-128E auf und kann zusätzliche Schichten aufweisen, die nicht dargestellt sind. Jede der Metall-Interconnect-Schichten 128A-128E weist Metallmerkmale 136 auf, die Drähte oder Durchkontaktierungen sein können. Durchkontaktierungen 130 verbinden die Metallmerkmale 136 in benachbarten Interconnect-Schichten 128A-128E.
  • Ein Array 159 von Speicherzellen 160 liegt zwischen der Metall-Interconnect-Schicht 128D (der vierten Metall-Interconnect-Schicht) und der Metall-Interconnect-Schicht 128E (der fünften Metall-Interconnect-Schicht), kann sich allerdings auch an einer anderen Stelle in der Metall-Interconnect-Struktur 157 befinden. Jede der Speicherzellen 160 weist eine untere Elektrode 122 und eine obere Elektrode 120 auf, die durch eine Datenspeicherschicht 121 voneinander getrennt sind. Eine Ätzstoppschicht 118, die eine Hartmaskenschicht sein kann, kann über den oberen Elektroden 120 angeordnet sein und Ränder aufweisen, die mit den Rändern der oberen Elektroden 120 bündig sind. Eine Verkapselungsschicht 117, die auch eine Ätzstoppschicht sein kann, erstreckt sich über den Speicherzellen 160 und um diese herum.
  • Durchkontaktierungen 112 verbinden die oberen Elektroden 120 mit leitenden Merkmalen in der Metall-Interconnect-Schicht 128E. Die leitfähigen Merkmale können Bitleitungen 101 sein. Die Durchkontaktierungen 112 erstrecken sich von den oberen Elektroden 120 aufwärts und nacheinander durch die Ätzstoppschicht 118, die Verkapselungsschicht 117, ein hydrophobes Dielektrikum 116, eine Grenzflächenschicht 115 und ein Zwischenschichtdielektrikum 114.
  • Das hydrophobe Dielektrikum 116 füllt Lücken 163 zwischen benachbarten Speicherzellen 160. Diese Lücken können ein hohes Aspektverhältnis aufweisen. Das Aspektverhältnis ist ein Verhältnis der Lückentiefe 165 zur Lückenbreite 161 gemessen an einem Mittelpunkt der Tiefe 165. Wenn die Lücke 165 eine Mindestbreite an einem Punkt zwischen der Oberseite und der Unterseite aufweist, kann die Breite 161 an diesem Minimum gemessen werden. Obwohl nicht ersichtlich in den Zeichnungen aufgrund der Skalierung der Merkmale, können die Lücken 163 ein Aspektverhältnis von 20:1 oder größer aufweisen.
  • Um eine vollständige Lückenfüllung zu gewährleisten, wird das hydrophobe Dielektrikum 116 in einer Menge aufgetragen, die eine Mindestmenge übersteigt, die zum Füllen der Lücken 163 erforderlich ist, wobei das hydrophobe Dielektrikum 116 eine Dicke 108 auf den Speicherzellen 160 aufweist, die von der oberen Oberfläche der Speicherzellen 160 zu der entsprechenden oberen Oberfläche des hydrophoben Dielektrikums 116 gemessen wird. In einigen Ausführungsformen liegt die Dicke 108 in einem Bereich von 20 nm bis 200 nm (200 Ä bis 2000 Å). In einigen Ausführungsformen liegt die Dicke 108 in einem Bereich von 50 nm bis 100 nm (500 Å bis 1000 Å). In einigen Ausführungsformen ist die Dicke 108 geringer als die Tiefe 165 der Lücken 163. In einigen Ausführungsformen beträgt die Dicke 108 die Hälfte oder weniger als die Tiefe 165 der Lücken 163.
  • Eine obere Oberfläche 111 des hydrophoben Dielektrikums 116 weist eine maximale Höhe 139 über dem Halbleitersubstrat 150 in einem ersten Bereich 153 des Halbleitersubstrats auf, wo sich das Array 159 von Speicherzellen 160 befindet. Die obere Oberfläche 111 weist eine geringere maximale Höhe 138 über dem Halbleitersubstrat 150 in einem zweiten Bereich 147 des Halbleitersubstrats 150 auf, dem das Array 159 oder irgendein ähnliches Merkmal zwischen der Metall-Interconnect-Schicht 128D und der Metall-Interconnect-Schicht 128E fehlt. Die obere Oberfläche 111 steigt an, während sie sich von dem zweiten Bereich 147 in den ersten Bereich 153 zu einem Haufen über den Speicherzellen 160 erstreckt.
  • In einigen Ausführungsformen liegt die Tiefe 165 der Lücken 163 in einem Bereich von 50 nm bis 500 nm (500 Å bis 5000 Å). In einigen Ausführungsformen liegt die Tiefe 165 in einem Bereich von 70 nm bis 400 nm (700 Ä bis 4000 Å). Das hydrophobe Dielektrikum 116 kann in einer Menge aufgebracht werden, die geringer ist, als es ausreichen würde, um den zweiten Bereich 147 bis zu einer Höhe der Speicherzellen 160 aufzufüllen, die der Tiefe 165 fast gleich ist. In einigen Ausführungsformen ist die maximale Dicke 133 des hydrophoben Dielektrikums 116 in dem zweiten Bereich 147 geringer als die Tiefe 165. In einigen Ausführungsformen beträgt die maximale Dicke 133 die Hälfte der Tiefe 165 oder weniger. In einigen Ausführungsformen liegt die Dicke 133 in einem Bereich von 30 nm bis 300 nm (300 Å bis 3000 Å). In einigen Ausführungsformen liegt die Dicke 133 in einem Bereich von 40 nm bis 200 nm (400 Å bis 2000 Å). In einigen Ausführungsformen liegt die Dicke 133 in einem Bereich von 50 nm bis 100 nm (500 Å bis 1000 Å).
  • Ein Vorläufer des hydrophoben Dielektrikums 116 kann in die Lücken 163 eingezogen werden. Folglich ist die maximale Dicke 108 des hydrophoben Dielektrikums 116 auf den Speicherzellen 160 in einigen Ausführungsformen geringer als die maximale Dicke 133 des hydrophoben Dielektrikums 116 in dem zweiten Bereich 147. In einigen Ausführungsformen beträgt die Dicke 108 drei Viertel der Dicke 133 oder weniger. In einigen Ausführungsformen beträgt die Dicke 108 die Hälfte der Dicke 133 oder weniger.
  • Neben dem Höhenunterschied zwischen dem ersten Bereich 153 und dem zweiten Bereich 147 variiert zudem die obere Oberfläche 111 des hydrophoben Dielektrikums 116 innerhalb des ersten Bereichs 153. Die Höhe kann zwischen den Tälern 105, die sich über den Lücken 163 befinden, und den Spitzen 107 variieren, die über den Speicherzellen 160 zentriert sind. In einigen Ausführungsformen weisen die Täler 105 flache Bereiche 106 bei ihren Basen auf.
  • Das hydrophobe Dielektrikum 116 kann z.B. hydrophobes Siliziumkarbid (SiC), Siliziumkarbonitrid (SiCN), Siliziumoxikarbid (SiOC), Siliziumoxikarbonitrid (SiOCN) oder dergleichen sein. Silizium, Sauerstoff, Kohlenstoff und Stickstoff können in unterschiedlichen Mengen vorhanden sein, vorausgesetzt, dass die obere Oberfläche 111 hydrophob ist. In einigen Ausführungsformen ist das hydrophobe Dielektrikum 116 ein Low-κ-Dielektrikum. In einigen Ausführungsformen ist das hydrophobe Dielektrikum 116 ein SiOC oder dergleichen. In einigen Ausführungsformen ist das hydrophobe Dielektrikum 116 das Produkt eines fließfähigen chemischen Gasphasenabscheidungsprozesses (FCVD).
  • Wie in 2A dargestellt, weist das hydrophobe Dielektrikum 116 einen Wafer-Kontaktwinkel (WCA) 207 von 90° oder mehr auf. 2A zeigt einen Wassertropfen 203 auf einem Substrat 201, über dem eine Schicht aus dem gleichen Material wie das hydrophobe Dielektrikum 116 gebildet worden ist. Der WCA 207 ist ein Winkel, der durch die Wasser-Festkörper-Grenzfläche 205 und die Wasser-Dampf-Grenzfläche 209 gebildet wird. In einigen Ausführungsformen weist das hydrophobe Dielektrikum 116 einen WCA 207 in einem Bereich von 90° bis 120° auf. In einigen Ausführungsformen weist das hydrophobe Dielektrikum 116 einen WCA 207 in einem Bereich von 95° bis 110° auf. In einigen Ausführungsformen beträgt der WCA 207 etwa 1000 bis etwa 105°.
  • Mit Bezug wieder auf 1 ist eine Grenzflächenschicht 115 auf der Oberfläche 111 des hydrophoben Dielektrikums 116 angeordnet. Die Grenzflächenschicht 115 kann eine im Wesentlichen gleichmäßige Dicke über dem hydrophoben Dielektrikum 116 aufweisen. In einigen Ausführungsformen ist die Grenzflächenschicht 115 dünner als die Dicke 133 des hydrophoben Dielektrikums 116 in dem zweiten Bereich 147. In einigen Ausführungsformen weist die Grenzflächenschicht 115 eine Dicke auf, die die Hälfte der Dicke 133 oder weniger ist. In einigen Ausführungsformen weist die Grenzflächenschicht 115 eine Dicke von einem Viertel der Dicke 133 oder weniger auf. In einigen Ausführungsformen weist die Grenzflächenschicht 115 eine Dicke in einem Bereich von 5 nm bis 100 nm (50 Å bis 1000 Å) auf. In einigen Ausführungsformen weist die Grenzflächenschicht 115 eine Dicke in einem Bereich von 10 nm bis 70 nm (100 Å bis 700 Å) auf. In einigen Ausführungsformen weist die Grenzflächenschicht 115 eine Dicke in einem Bereich von 20 nm bis 50 nm (200 Å bis 500 Å) auf.
  • In einigen Ausführungsformen weist die obere Oberfläche 109 der Grenzflächenschicht 115 hohe und niedrige Bereiche entsprechend hohen und niedrigen Bereichen des hydrophoben Dielektrikums 116 auf. Wie die obere Oberfläche 111 des hydrophoben Dielektrikums 116 weist die obere Oberfläche 109 der Grenzflächenschicht 115 eine größere maximale Höhe über dem Halbleitersubstrat 150 in dem ersten Bereich 153 im Vergleich zu dem zweiten Bereich 147 auf. In einigen Ausführungsformen weist die obere Oberfläche 109 eine veränderliche Höhe in dem ersten Bereich 153 auf. In einigen Ausführungsformen weist die obere Oberfläche 109 Täler 105 auf, die sich über den Lücken 163 befinden, und Spitzen 107 auf, die über den Speicherzellen 160 zentriert sind.
  • Die Grenzflächenschicht 115 kann eine dielektrische Schicht oder einen Stapel dielektrischer Schichten aufweisen, die das Zwischenschichtdielektrikum 114 an das hydrophobe Dielektrikum 116 binden. Eine untere Oberfläche der Grenzflächenschicht 115 kontaktiert das hydrophobe Dielektrikum 116 und haftet an diesem. Eine obere Oberfläche 109 der Grenzflächenschicht 115 haftet an dem Zwischenschichtdielektrikum 114. Wie in 2B gezeigt, ist die obere Oberfläche 109 hydrophil. Wenn die Grenzflächenschicht 115 auf das Substrat 201 aufgetragen wird, bildet der Wassertropfen 203 einen WCA 211, der weniger als 90° beträgt. In einigen Ausführungsformen beträgt der WCA 211 50° oder weniger. In einigen Ausführungsformen beträgt der WCA 211 10° oder weniger. In einigen Ausführungsformen beträgt der WCA 211 etwa 5°.
  • Die Grenzflächenschicht 115 haftet an der Oberfläche 111, während sie selbst eine hydrophile obere Oberfläche 109 bereitstellt. In einigen Ausführungsformen ist oder umfasst die Grenzflächenschicht 115 Siliziumnitrid (Si3N4), ein weiteres Dielektrikum, das Silizium und Stickstoff enthält, oder dergleichen. Siliziumnitrid haftet gut an hydrophobem SiOC und kann einen WCA-Wert von 5° oder weniger aufweisen. In einigen Ausführungsformen ist oder enthält die Grenzflächenschicht 115 ein Siliziumoxycarbid (SiOC), das sauerstoffreich im Vergleich zu einem hydrophoben SiOC ist. Ein sauerstoffreiches SiOC kann einen WCA-Wert von 10° oder weniger aufweisen. In einigen Ausführungsformen ist oder enthält die Grenzflächenschicht 115 ein Siliziumoxynitrid (SiON) oder dergleichen. In einigen Ausführungsformen ist oder enthält die Grenzflächenschicht 115 ein siliziumreiches Oxid (SRO) oder dergleichen. Das SRO kann einen WCA von 6° oder weniger aufweisen. In einigen Ausführungsformen ist oder enthält die Grenzflächenschicht 115 eine Siliziumdioxidschicht (SiO2), die sich in der Dichte oder einer anderen Eigenschaft von der dielektrischen Zwischenschicht 114 unterscheidet. Die Siliziumdioxidschicht kann einen WCA in einem Bereich von 5° bis 7° aufweisen.
  • Das Zwischenschichtdielektrikum 114 haftet an der oberen Oberfläche 109 und füllt den Raum zwischen der oberen Oberfläche 109 und einer darüber liegenden Metall-Interconnect-Schicht, wie z.B. der Metall-Interconnect-Schicht 128E. In einigen Ausführungsformen erstreckt sich das Zwischenschichtdielektrikum 114 in die darüber liegende Metall-Interconnect-Schicht 128E und bildet eine dielektrische Matrix für dieselbe. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 eine planare obere Oberfläche auf. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 eine größere Dicke in dem zweiten Bereich 147 auf als in dem ersten Bereich 153.
  • Das Zwischenschichtdielektrikum 114 kann wesentlich dicker sein als das hydrophobe Dielektrikum 116 oder die Grenzflächenschicht 115. In einigen Ausführungsformen ist das Zwischenschichtdielektrikum 114 zweimal, oder mehr, so dick wie die Dicke 133 oder das hydrophobe Dielektrikum 116 in dem zweiten Bereich 147. In einigen Ausführungsformen ist das Zwischenschichtdielektrikum 114 viermal, oder mehr, so dick wie die Dicke 133. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 eine Dicke von 100 nm (1000 Ä) oder mehr in dem zweiten Bereich 147 auf. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 eine Dicke von 200 nm (2000 Å) oder mehr in dem zweiten Bereich 147 auf. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 eine Dicke von 400 nm (4000 Å) oder mehr in dem zweiten Bereich 147 auf.
  • Das Zwischenschichtdielektrikum 114 ist ein hydrophiles Dielektrikum. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 einen kleineren WCA als die Grenzflächenschicht 115 auf. In einigen Ausführungsformen kann das Zwischenschichtdielektrikum 114 einen WCA von 1° bis 10° aufweisen. In einigen Ausführungsformen ist das Zwischenschichtdielektrikum 114 undotiertes Silikatglas (USG), das einen WCA von etwa 4° aufweist. Das Zwischenschichtdielektrikum 114 kann ein hydrophiles Dielektrikum außer einem undotierten Silikatglas sein, wobei allerdings viele Low-κ-Dielektrika hydrophob ausgestaltet sind. In einigen Ausführungsformen weist das Zwischenschichtdielektrikum 114 einen niedrigeren WCA auf als die Grenzflächenschicht 115.
  • Das Halbleitersubstrat 150 kann z.B. ein Bulk-Halbleitersubstrat (z.B. ein Bulk-Siliziumsubstrat), ein SOI-Substrat (silicon-on-insulator substrate) oder dergleichen sein. Der Halbleiter kann Silizium (Si), Geranium (Ge), Siliziumgeranium (SiGe), Oxidhalbleiter wie Indium-Gallium-Zinkoxid (IGZO), Materialien der Gruppe III-V wie Indium-Gallium-Arsenid (InGaAS) oder dergleichen sein oder enthalten. Das Metallmerkmal 136, die Bitleitungen 101, die Durchkontaktierungen 130 und die Durchkontaktierungen 112 der Metall-Interconnect-Struktur 157 können ein Metall wie Aluminium, Kupfer, Wolfram oder dergleichen sein oder enthalten. Ätzstoppschichten 143, die über der Metall-Interconnect-Schicht 128A-128E angeordnet sind, können ein Dielektrikum wie Siliziumnitrid (Si3N4), Siliziumcarbid (SiC), Siliziumoxynitrid (SiON), Siliziumoxycarbid (SiOC) oder dergleichen sein oder enthalten. Das Zwischenschichtdielektrikum 137 kann das gleiche Dielektrikum wie das Zwischenschichtdielektrikum 114 oder ein anderes Dielektrikum sein.
  • Die Speicherzellen 160 können leitfähige CBRAM-Zellen (conductive bridging random access memory), PcRAM-Zellen (phase change random access memory), resistive ReRAM-Zellen (resistive random access memory), OxRAM-Zellen (oxygen displacement memory), magnetoresistive MRAM-Zellen (magnetoresistive random access memory), ferroelektrische Direktzugriffsspeicherzellen (FRAM), Phasenwechsel-Speicherzellen (PCM), Kohlenstoff-Nanoröhren-Direktzugriffsspeicherzellen (NRAM) oder jede andere Art von Speicherzellen sein, die eine obere Elektrode 120, eine Datenspeicherschicht 121 und eine untere Elektrode 122 aufweisen.
  • Jede der oberen Elektrode 120 und der unteren Elektrode 122 kann eine Schicht aus einem leitfähigen Material oder mehrere Schichten aus verschiedenen leitfähigen Materialien aufweisen. Leitfähige Materialien, die für die obere Elektrode 120 oder die untere Elektrode 122 geeignet sind, können z.B. eines oder mehrere aus Titan (Ti), Tantal (Ta), Wolfram (W), Titannitrid (TiN), Tantalnitrid (TaN), Kobalt (Co), Kupfer (Cu) und dergleichen enthalten.
  • Die Datenspeicherschicht 121 kann ebenso eine Schicht oder mehrere Schichten aus verschiedenen Materialien sein. Die Identität des Materials oder der Materialien hängt von dem Speichertyp ab. Die Datenspeicherschicht 121 kann eine amorphe Oxidschicht enthalten, die reversibel leitende Brücken bildet, und kann ferner eine aktive Metallschicht enthalten, die abwechselnd Ionen abgibt und von der amorphen Oxidschicht aufnimmt. Die Datenspeicherschicht 121 kann zwei ferromagnetische Schichten enthalten, die durch eine Isolierschicht voneinander getrennt sind, um einen Metall-Tunnelübergang zu bilden. Die Datenspeicherschicht 121 kann es ein Chalkogenidglas oder ein anderes Material sein, das reversibel einer Phasenänderung unterzogen werden kann, um den Widerstand zu variieren. Die Datenspeicherschicht 121 kann je nach Speichertyp andere Strukturen oder Zusammensetzungen aufweisen. Das Array 159 kann ein Array von Kondensatoren anstelle eines Arrays von Speicherzellen 160 sein.
  • Die Speicherzellen 160 können jede geeignete Kopplungs- und Kontrollstruktur aufweisen. In diesem Beispiel wird über Wortleitungen 124 und Bitleitungen 101 auf die Speicherzellen 160 in dem Array 159 zugegriffen. Zur Veranschaulichung wird eine Bitleitung 101A gezeigt, die sich in der Ebene von 1 erstreckt, aber generell sind Wortleitungen 124 und Bitleitungen 101 orthogonal. In diesem Beispiel ist jede Bitleitung 101 über einen ersten Transistor 145 selektiv mit einer ersten Spannungsschiene 140 gekoppelt und jede Wortleitung 124 ist über einen zweiten Transistor 152 mit einer zweiten Spannungsschiene 141 gekoppelt. Generell kann jede geeignete Art von Zugriffswahlvorrichtung für die Speicherzellen 160 verwendet werden.
  • 3-16 stellen eine Reihe von Querschnittsansichten 300-1600 bereit, die eine integrierte Schaltung gemäß der vorliegenden Offenbarung in verschiedenen Stadien der Herstellung gemäß einem Verfahren der vorliegenden Offenbarung veranschaulichen. Obwohl mit Bezug auf 3-16 eine Reihe von Vorgängen beschrieben wird, ist es zu verstehen, dass die Reihenfolge der Vorgänge in einigen Fällen geändert werden kann und dass diese Reihe von Vorgängen auf andere Strukturen als hierin abgebildet anwendbar ist. In einigen Ausführungsformen können einige dieser Vorgänge ganz oder teilweise entfallen. Ferner ist es zu verstehen, dass die in 3-16 gezeigten Strukturen nicht auf ein Herstellungsverfahren beschränkt sind, sondern als eigenständige Strukturen separat von dem Verfahren stehen können.
  • Wie in der Querschnittsansicht 300 von 3 dargestellt, kann der Prozess beginnen mit Abscheiden einer Ätzstoppschicht 135 und einer dielektrischen Durchkontaktierungsschicht 134 über der Metall-Interconnect-Schicht 128D oder einer anderen Metall-Interconnect-Schicht, die über dem Halbleitersubstrat 150 oder einem anderen Substrat gebildet wird. Die Metall-Interconnect-Schicht 128D kann durch jeden geeigneten Prozess gebildet werden. Beispiele für geeignete Prozesse sind Damaszener- und Doppeldamaszener-Prozesse. Die Ätzstoppschicht 135 kann z.B. Siliziumkarbid (SiC), Siliziumnitrid (Si3N4) oder dergleichen sein. Eine Durchkontaktierung-Dielektrikumschicht 134 kann eine oder mehrere Schichten aus dielektrischen Materialien wie siliziumreichem Oxid (SRO), Siliziumdioxid (SiO2), Siliziumnitrid (Si3N4), Siliziumoxynitrid (SiON), Siliziumoxycarbid (SiOC) oder dergleichen enthalten. Die Ätzstoppschicht 135 und die Durchkontaktierung-Dielektrikumschicht 134 können durch jeden geeigneten Prozess oder Kombinationen von Prozessen, wie z.B. Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD) oder dergleichen, gebildet werden. Wie hierin verwendet ist die plasmagestützte ALD eine Art der ALD und die plasmagestützte CVD eine Art der CVD.
  • Wie in der Querschnittsansicht 400 von 4 dargestellt, kann eine Maske 403 über der Durchkontaktierung-Dielektrikumschicht 134 gebildet werden und verwendet werden, um Öffnungen 401 durch die Durchkontaktierung-Dielektrikumschicht 134 und die Ätzstoppschicht 135 zu bilden. Die Öffnungen 401 können über der Wortleitung 124 oder anderen metallischen Merkmalen der Metall-Interconnect-Schicht 128D positioniert sein. Die Maske 403 kann eine Fotolackmaske sein, die durch Fotolithografie strukturiert wird. Die Öffnungen 401 können durch jeden geeigneten Ätzprozess oder geeignete Ätzprozesse hergestellt werden. Ein geeigneter Ätzprozess kann ein Trockenätzprozess wie das Plasmaätzen sein.
  • Wie in der Querschnittsansicht 500 von 5 dargestellt, kann die Maske 403 abgezogen werden, gefolgt von Abscheidung oder Züchtung von leitfähigem Material, das die Öffnungen 401 ausfüllt und, nach Planarisierung, die Durchkontaktierungen 123 bereitstellt. Das leitende Material kann durch jeden geeigneten Prozess abgeschieden werden, z.B. durch physikalische Gasphasenabscheidung (PVD), Elektroplattieren, stromloses Plattieren oder dergleichen. Das Planarisierungsprozess kann chemisch-mechanisches Polieren (CMP) oder jeder andere geeignete Planarisierungsprozess sein.
  • Wie in der Querschnittsansicht 600 von 6 dargestellt, kann ein Speicherzellenstapel 615 über der Oberfläche der Struktur wie in der Querschnittsansicht 500 von 5 dargestellt gebildet werden. Der Speicherzellenstapel 615 weist eine untere Elektrodenschicht 601, eine Datenspeicherschicht 603 und eine obere Elektrodenschicht 605 auf. Jede dieser Schichten kann eine oder mehrere Subschichten aufweisen. Jede Schicht oder Subschicht kann durch jeden geeigneten Prozess oder jede Kombination von Prozessen gebildet werden. Je nach Zusammensetzung kann ein geeigneter Prozess z.B. physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung (CVD), Varianten hiervon oder dergleichen sein.
  • Wie in der Querschnittsansicht 700 von 7 dargestellt, können eine Hartmaskenschicht 703 und ein Photoresist 701 über dem Speicherzellenstapel 615 gebildet und strukturiert werden. Diese können verwendet werden, um Speicherzellen 160 aus dem Speicherzellenstapel 615 zu strukturieren, wie in der Querschnittsansicht 800 von 8 dargestellt. Die Hartmaskenschicht 703 ist optional. Die Strukturierung bildet die oberen Elektroden 120 aus der oberen Elektrodenschicht 605, die Datenspeicherschichten 121 aus der Datenspeicherschicht 603 und die unteren Elektroden 122 aus der unteren Elektrodenschicht 601. Die Strukturierung kann durch jeden geeigneten Ätzprozess oder jede Kombination von Ätzprozessen erfolgen, z.B. einen Trockenätzprozess wie Plasmaätzen oder dergleichen.
  • Die Strukturierung kann die Ätzstoppschichten 118 aus der Hartmaskenschicht 703 bilden oder die Hartmaskenschicht 703 kann während oder nach der Strukturierung der Speicherzellen 160 vollständig entfernt werden. Die Speicherzellen 160 bilden das Array 159 in dem ersten Bereich 153 (siehe 1). Der Speicherzellenstapel 615 kann vollständig aus dem zweiten Bereich 147 entfernt werden. Die Strukturierung hinterlässt schmale Lücken 163 zwischen den Speicherzellen 160.
  • Wie in der Querschnittsansicht 900 von 9 dargestellt, kann eine Verkapselungsschicht 117 gebildet werden, um die Oberseiten und Seiten der Speicherzellen 160 abzudecken. Die Seiten der Speicherzellen 160 können geschützt werden durch Seitenwandabstandhalter, die durch anisotropes Ätzen gebildet werden. In einigen Ausführungsformen werden die Seiten der Speicherzellen 160 allerdings nur durch die Verkapselungsschicht 117 geschützt, die durch einen konformen Abscheideprozess gebildet wird, um eine übermäßige Verengung der bereits engen Zwischenräume 163 zu vermeiden. Die chemische Gasphasenabscheidung (CVD) oder die physikalische Gasphasenabscheidung (PVD) kann konform gemacht werden. In einigen Ausführungsformen wird die Verkapselungsschicht 117 durch einen hochkonformen Abscheidungsprozess wie Atomlagenabscheidung (ALD) oder dergleichen gebildet. In einigen Ausführungsformen weist die Verkapselungsschicht 117 eine Dicke in einem Bereich von 4 nm bis 40 nm (40 Å bis 400 Å) auf. In einigen Ausführungsformen weist die Verkapselungsschicht 117 eine Dicke in einem Bereich von 7 nm bis 30 nm (70 Å bis 300 Å) auf. In einigen Ausführungsformen weist die Verkapselungsschicht 117 eine Dicke in einem Bereich von 10 nm bis 20 nm (100 Å bis 200 Å) auf. In einigen Ausführungsformen weist die Verkapselungsschicht 117 die gleiche Zusammensetzung wie die Ätzstoppschichten 118 auf.
  • Wie in der Querschnittsansicht 1000 von 10 dargestellt, wird das hydrophobe Dielektrikum 116 durch einen fließfähigen CVD-Prozess über der Verkapselungsschicht 117 gebildet. Der fließfähige CVD-Prozess beginnt mit der Abscheidung eines niedrigviskosen Films. In einigen Ausführungsformen wird der niedrigviskose Film auf der Oberfläche 1001 aus Reaktanten gebildet, die solche umfassen, welche vor der Abscheidung auf der Oberfläche 1001 Polymerisationsreaktionen in der Dampfphase durchlaufen. In einigen Ausführungsformen enthalten die Reaktanten eine oder mehrere Organosilizium-Verbindungen und eine oder mehrere Sauerstoffquellen, die reagieren, um ein fließfähiges Oxid zu bilden, das die Lücken 163 ausfüllt. Nachdem es die Lücken 163 gefüllt hat, wird das fließfähige Oxid einem Härtungsprozess unterzogen, der bewirkt, dass das fließfähige Oxid weiter polymerisiert, vernetzt und verfestigt, um das hydrophobe Dielektrikum 116 zu bilden.
  • Beispiele für Organosilizium-Verbindungen sind Siloxane, Silazoxane, halogenierte Siloxane, Aminosilane, Disilane, zyklische Silane, Organocyclosilane und dergleichen. Beispiele für Siloxane sind Triethoxysiloxan, Tetramethoxysiloxan (Tetramethylorthosilikat, TMOS), Trimethoxysiloxan, Hexamethoxydisiloxan, Octamethoxytrisiloxan, Octamethylcyclotetrasiloxan (OMCTS), Octamethoxydodecasiloxan und dergleichen. Beispiele für Silazoxane sind Hexamethoxydisilazoxan, Methylhexamethoxydisilazoxan, Chlorohexamethoxydisilazoxan, Hexaethoxy-Disilazoxan, Nonamethoxytrisilazoxan, Octamethoxycyclosilazoxan und dergleichen. Beispiele für halogenierte Siloxane sind Tetrachlorsilan, Dichlordiethoxysiloxan, Chlortriethoxysiloxan, Hexachlordisiloxan, Octachlortrisiloxan und dergleichen. Beispiele für Aminosilane sind Trisilylamin, Hexamethyldisilazan, Silatrane, Tetrakis(dimethylamino)silan, Bis(diethylamino)silan, Tris(dimethyl-amino)chlorsilan, Methylsilatrane und dergleichen. Beispiele für Disilane sind Alkoxy-Disilane, Alkoxy-Alkyl-Disilane, Alkoxy-Acetoxy-Disilane und dergleichen. Beispiele für zyklische Silane sind Butasilane, Pentasilane, Hexasilane, Heptasilane, Octasilane und dergleichen. Beispiele für Organocyclosilane sind Cyclobutasilan, Cyclopentasilan, Cyclohexasilan, Cycloheptasilan, Cyclooctasilan und dergleichen.
  • Die Sauerstoffquelle kann z.B. Sauerstoff (O2), Ozon (O3), eine Stickstoff-Sauerstoff-Verbindung wie Stickstoffmonoxid (NO), Stickstoffdioxid (NO2), Distickstoffoxid (N2O) oder dergleichen, eine Wasserstoff-Sauerstoff-Verbindung wie Wasser (H2O), Wasserstoffperoxid (H2O2) oder dergleichen oder eine Kohlenstoff-Sauerstoff-Verbindung wie Kohlenmonoxid (CO), Kohlendioxid (CO2) oder dergleichen sein. Die gasförmigen Reaktanten können mit einem oder mehreren Trägergasen wie Helium (He), Neon (Ne), Argon (Ar), Wasserstoff (H2) oder dergleichen gemischt sein. In einigen Ausführungsformen enthalten die Reaktanten eine Stickstoffquelle wie Ammoniak (NH3) oder dergleichen. Eines oder mehrere der Reaktanten können ionisiert oder unter Verwendung einer Wärmequelle, einer Ultraviolettquelle, einer Radiofrequenz (RF)-Stromquelle, einer Gleichstromquelle (DC), einer Mikrowellenstromquelle oder dergleichen zur Erzeugung freier Radikale hergestellt werden. Die Reaktionstemperatur liegt typischerweise zwischen -10 °C und 150 °C und der Kammerdruck liegt typischerweise in einem Bereich von 66,66 Pa bis 1333,22 Pa (0,5 Torr bis 10 Torr).
  • In einigen Ausführungsformen umfasst das Aushärten das Bestrahlen mit ultraviolettem (UV) Licht. Alternativ oder zusätzlich kann das Aushärten ferner eines oder mehrere aus dem Folgenden umfassen: Erhitzen, Aussetzen an einer Sauerstoffquelle, einem Elektronenstrahl, einer Säure, einer Base oder dergleichen. In einigen Ausführungsformen umfasst das Aushärten das Bestrahlen mit UV-Licht, während das Halbleitersubstrat 150 bei einer Temperatur in einem Bereich von etwa 100 °C bis etwa 500 °C ist. In einigen Ausführungsformen wird das Halbleitersubstrat 150 UV-Licht ausgesetzt, während das Halbleitersubstrat 150 bei einer Temperatur in einem Bereich von etwa 250 °C bis etwa 400 °C ist. In einigen Ausführungsformen umfasst das Aushärten eine „Kondensation“-Reaktion, bei der Silanolgruppen (Si-OH) unter Freisetzung von Wasser (H2O) zu Si-O-Si-Bindungen reagieren. In einigen Ausführungsformen erfolgt die Herstellung der fließfähigen Oxidschicht und das Aushärten der fließfähigen Oxidschicht in einem CVD-System, das eine Kammer aufweist. In einigen Ausführungsformen weist das CVD-System eine Remote-Plasmaquelle (RPS) auf.
  • Wie in der Querschnittsansicht 1100 von 11 dargestellt, wird die Grenzflächenschicht 115 über dem hydrophoben Dielektrikum 116 gebildet. In einigen Ausführungsformen wird die Grenzflächenschicht 115 durch einen konformen Abscheidungsprozess gebildet. In einigen Ausführungsformen wird die Grenzflächenschicht 115 durch CVD gebildet. In einigen Ausführungsformen wird die Grenzflächenschicht 115 in dem gleichen CVD-System gebildet, das auch für die Herstellung des hydrophoben Dielektrikums 116 verwendet wird. In einigen Ausführungsformen enthält die Grenzflächenschicht 115 Siliziumdioxid (SiO2), siliziumreiches Oxid (SRO), Siliziumnitrid (Si3N4) oder dergleichen. Ein SiO2-Film oder ein SRO-Film kann durch CVD unter Verwendung von Silan (SiH4) oder Tetraethylorthosilikat (TEOS), einer Sauerstoffquelle und/oder einer Stickstoffquelle wie Ammoniak (NH3) oder dergleichen gebildet werden. In einigen Ausführungsformen ist der CVD-Prozess ein plasmaunterstützter chemischer Gasphasenabscheidungsprozess (PECVD).
  • In einigen Ausführungsformen umfasst die Herstellung der Grenzflächenschicht 115 das Bilden einer fließfähigen Oxidschicht. Eine Grenzflächenschicht 115, die aus einem fließfähigen Oxidfilm gebildet wird, kann ein sauerstoffreiches Siliziumoxycarbid (SiOC), Siliziumoxynitrid (SiON) oder dergleichen sein. Eine Erhöhung des Sauerstoffgehalts erhöht die Hydrophilie. Der Sauerstoffgehalt kann erhöht werden, indem die fließfähige Oxidschicht während eines Aushärtungsprozesses, unter Verwendung von LTV-Licht, einer Sauerstoffquelle ausgesetzt wird. Die Sauerstoffquelle kann zu einem Plasma gemacht werden.
  • Wie in der Querschnittsansicht 1200 von 12 dargestellt, wird das Zwischenschichtdielektrikum 114 über der Grenzflächenschicht 115 gebildet. Das Zwischenschichtdielektrikum 114 kann durch CVD oder dergleichen oder jeden anderen geeigneten Prozess gebildet werden. In einigen Ausführungsformen ist das Zwischenschichtdielektrikum 114 undotiertes Silikatglas (USG), das aus Silan (SiH4) gebildet wird. In einigen Ausführungsformen ist das Zwischenschichtdielektrikum 114 undotiertes Silikatglas (USG), das aus Tetraethylorthosilikat (TEOS) gebildet wird. Wenn das Zwischenschichtdielektrikum 114 und die Grenzflächenschicht 115 beide aus TEOS gebildet werden, werden sie unter unterschiedlichen Prozessbedingungen gebildet. In einigen Ausführungsformen führt der Unterschied dazu, dass die Grenzflächenschicht 115 mit einer geringeren Rate (Geschwindigkeit) abgeschieden wird als das Zwischenschichtdielektrikum 114.
  • Wie in der Querschnittsansicht 1300 von 13 dargestellt, kann das Zwischenschichtdielektrikum 114 planarisiert werden. Der Planarisierungsprozess kann chemisch-mechanisches Polieren (CVD) sein. In einigen Ausführungsformen verhindert die Grenzflächenschicht 115 eine Delaminierung, die während des CVD-Prozesses auftreten würde, wenn das Zwischenschichtdielektrikum 114 direkt über dem hydrophoben Dielektrikum 116 gebildet würde.
  • Wie in der Querschnittsansicht 1400 von 14 dargestellt, kann ein Resist 1403 über dem Zwischenschichtdielektrikum 114 gebildet und als eine Maske verwendet werden, um Öffnungen 1401 in das Zwischenschichtdielektrikum 114 zu ätzen. Wie in der Querschnittsansicht 1500 von 15 dargestellt, kann nach dem Abziehen des Resists 1403 ein Resist 1503 über dem Zwischenschichtdielektrikum 114 gebildet und als eine Maske verwendet werden, um die Öffnungen 1501 unter den Öffnungen 1401 in das Zwischenschichtdielektrikum 114 zu ätzen.
  • Wie in der Querschnittsansicht 1600 von 16 dargestellt, kann das Resist 1503 abgezogen werden, ein Metall kann abgeschieden oder gezüchtet werden, um die Öffnungen 1401 und 1501 zu füllen, und Planarisierung kann verwendet werden, um jegliches Metall außerhalb der Öffnungen 1401 und 1501 zu entfernen. Das Metall, das die Öffnungen 1501 füllt, stellt Durchkontaktierungen 112 und 130 bereit. Das Metall, das die Öffnungen 1401 füllt, stellt Bitleitungen 101 bereit. In diesem Beispiel erstreckt sich das Zwischenschichtdielektrikum 114 zu der oberen Oberfläche der Metall-Interconnect-Schicht 128E. In einigen Ausführungsformen wird eine Ätzstoppschicht bei der Grundmetall-Interconnect-Schicht 128E gebildet und das Zwischenschichtdielektrikum 114 erstreckt sich nur zu dieser Ätzstoppschicht.
  • 17 stellt ein Flussdiagramm einiger Ausführungsformen des Verfahrens 1700 zur Herstellung einer integrierten Schaltungsvorrichtung bereit, die eine Grenzflächenschicht zwischen einem hydrophoben Dielektrikum und einer dielektrischen Zwischenschicht aufweist. Während das Verfahren 1700 im Folgenden als eine Reihe von Vorgängen oder Ereignissen dargestellt und beschrieben wird, ist es zu verstehen, dass die dargestellte Reihenfolge solcher Vorgänge oder Ereignisse nicht in einem einschränkenden Sinne zu interpretieren ist. Beispielsweise können einige Vorgänge in unterschiedlicher Reihenfolge und/oder gleichzeitig mit anderen Vorgängen oder Ereignissen als den hierin dargestellten und/oder beschriebenen auftreten. Ferner kann es sein, dass nicht alle hierin dargestellten Vorgänge erforderlich sind, um einen oder mehrere Aspekte oder Ausführungsformen der hierin enthaltenen Beschreibung umzusetzen. Ferner können eine oder mehrere der hierin dargestellten Vorgänge in einer oder mehreren separaten Vorgänge und/oder Phasen ausgeführt werden.
  • Vorgang 1701 ist eine FEOL-Verarbeitung (front-end-of-line processing). Das Halbleitersubstrat 150 kann nach dieser Verarbeitung in Form eines Wafers vorliegen. Die FEOL-Verarbeitung kann das Bilden verschiedener Vorrichtungen wie Dioden, Transistoren und Kondensatoren in und/oder auf dem Halbleitersubstrat 150 umfassen. Der von der FEOL-Verarbeitung erhaltene Wafer wird einer BEOL-Verarbeitung (back-end-of-line processing) unterzogen.
  • Vorgang 1703 ist die BEOL-Verarbeitung und kann das Bilden mehrerer Metall-Interconnect-Schichten 128 umfassen. Diese können die erste Metall-Interconnect-Schicht 128A bi zu der vierten Metall-Interconnect-Schicht 128D, oder mehr oder weniger Metall-Interconnect-Schichten, umfassen.
  • Vorgang 1705 ist das Abscheiden der Ätzstoppschicht 135 und der Durchkontaktierung-Dielektrikumschicht 134, wie in der Querschnittsansicht 300 von 3 dargestellt. Vorgang 1709 ist das Ätzen von Öffnungen 401 durch die Ätzstoppschicht 135 und die Durchkontaktierung-Dielektrikumschicht 134, wie in der Querschnittsansicht 400 von 4 dargestellt. Vorgang 1711 ist das Füllen der Öffnungen 401 mit Metall und Vorgang 1713 ist das Planarisieren, um die Durchkontaktierungen 123 zu bilden, wie in der Querschnittsansicht 500 von 5 dargestellt.
  • Vorgang 1715 ist das Abscheiden des Speicherzellenstapels 615 wie in der Querschnittsansicht 600 von 6 dargestellt. Der Speicherzellenstapel 615 kann die untere Elektrodenschicht 601, die Datenspeicherschicht 603 und die obere Elektrodenschicht 605 aufweisen. Alternativ könnte der Speicherzellenstapel 615 ein Kondensatorzellenstapel oder eine beliebige Schicht oder Schichten sein, die zur Bildung eng beieinander liegender, durch schmale Lücken voneinander getrennter Merkmale verwendet werden.
  • Vorgang 1717 ist das Bilden einer Maske zur Definition der Speicherzellen 160 aus dem Speicherzellenstapel 615. Wie in der Querschnittsansicht 700 von 7 dargestellt, kann dies das Bilden einer Hartmaskenschicht 703 über dem Speicherzellenstapel 615 und das Bilden eines Photoresists 701 über der Hartmaskenschicht 703 zur Strukturierung der Hartmaskenschicht 703 umfassen. Das Strukturieren der Hartmaskenschicht 703 bildet die Ätzstoppschichten 118 (siehe 8).
  • Vorgang 1719 ist das Ätzen mit einer Maske, die die Ätzstoppschichten 118 aufweist, um die Speicherzellen 160 aus dem Speicherzellenstapel 615 zu definieren, wie in der Querschnittsansicht 800 von 8 dargestellt.
  • Vorgang 1721 ist das Bilden der Verkapselungsschicht 117 über den Speicherzellen 160 wie in der Querschnittsansicht 900 von 9 dargestellt. Die Verkapselungsschicht 117 kann durch einen hochkonformen Abscheidungsprozess gebildet werden, um eine zu starke Verengung oder ein Abklemmen der Oberseiten der Lücken 163 zu verhindern.
  • Vorgang 1723 ist das Bilden des hydrophoben Dielektrikums 116. Wie in der Querschnittsansicht 1000 von 10 dargestellt, füllt das hydrophobe Dielektrikum 116 die Lücken 163 und erstreckt sich über den Speicherzellen 160, kann allerdings eine unebene obere Oberfläche 111 aufweisen. Das hydrophobe Dielektrikum 116 kann durch einen FCVD-Prozess gebildet werden. Vorgang 1723 kann das Aushärten des hydrophoben Dielektrikums 116 umfassen.
  • Vorgang 1725 ist das Bilden der Grenzflächenschicht 115 über dem hydrophoben Dielektrikum 116 wie in der Querschnittsansicht 1100 von 11 dargestellt. Das Bilden der Grenzflächenschicht 115 kann einen FCVD-Prozess umfassen. In diesem Fall kann die Grenzflächenschicht 115 ausgehärtet werden, bevor mit dem nächsten Schritt fortgefahren wird.
  • Vorgang 1727 ist das Bilden des Zwischenschichtdielektrikums 114 über der Grenzflächenschicht 115 wie in der Querschnittsansicht 1200 von 12 dargestellt. Vorgang 1729 ist ein CMP-Prozess, der das Zwischenschichtdielektrikum 114 planarisiert, wie in der Querschnittsansicht 1300 von 13 dargestellt. Die Grenzflächenschicht 115 ist das Binden des Zwischenschichtdielektrikums 114 an das hydrophobe Dielektrikum 116, was die Delaminierung während des CMP-Prozesses verhindert.
  • Vorgänge 1735 bis 1739 stellen einen Doppel-Damaszenerprozess dar, durch den die Durchkontaktierungen 112, die Durchkontaktierungen 130 und die Bitleitungen 101 gebildet werden können. Alternativ können zwei Einfach-Damaszener oder eine andere Art von Doppel-Damaszenerprozess verwendet werden. In einigen Doppel-Damaszenerprozessen wird eine Ätzstoppschicht knapp unterhalb einer Höhe gebildet, auf der die Bitleitungen 101 angeordnet sind. In diesen Prozessen begrenzt der CMP des Vorgangs 1727 die Höhe des Zwischenschichtdielektrikums 114 auf knapp unterhalb dieser Ätzstoppschicht, was nahe den Oberseiten der Durchkontaktierungen 112 und 130 liegen werden. In anderen Doppel-Damaszenerprozessen steigt das Zwischenschichtdielektrikum 114 über die Ebene an, in der die Bitleitungen 101 gebildet werden.
  • Vorgang 1735 ist das Bilden von Öffnungen durch das Zwischenschichtdielektrikum 114. Diese können die Öffnungen 1401 wie in der Querschnittsansicht 1400 von 14 dargestellt sein und/oder die Öffnungen 1501 wie in der Querschnittsansicht 1500 von 15 dargestellt sein. Die Verkapselungsschicht 117 kann beim Ätzen der Öffnungen 1501 die gleiche Funktion wie eine Ätzstoppschicht erfüllen und gilt dementsprechend als eine Ätzstoppschicht.
  • Vorgang 1737 ist das Abscheiden oder Züchten von Metall, um diese Öffnungen zu füllen. Vorgang 1739 ist ein CMP-Prozess, bei dem überschüssiges Metall entfernt wird, um eine Struktur wie in der Querschnittsansicht 1600 von 16 dargestellt bereitzustellen. Die Grenzflächenschicht 115 verhindert eine Delaminierung des Zwischenschichtdielektrikums 114 auch während dieses CMP-Prozesses.
  • Vorgang 1741 ist das Fertigstellen der BEOL-Verarbeitung. Dies kann das Bilden zusätzlicher Metall-Interconnect-Schichten über denjenigen umfassen, die in den Zeichnungen dargestellt sind. Eine Passivierungsschicht kann über der Metallverbindungsstruktur 157 gebildet werden und der Wafer kann in eine große Anzahl von Dies geschnitten werden, um mehrere integrierte Schaltungsvorrichtungen bereitzustellen.
  • Einige Aspekte der vorliegenden Lehre stellen eine integrierte Schaltungsvorrichtung bereit, aufweisend: ein Halbleitersubstrat, eine Metall-Interconnect-Struktur über dem Halbleitersubstrat und ein Array von Vorrichtungsstrukturen, die zwischen zwei Metall-Interconnect-Schichten der Metall-Interconnect-Struktur angeordnet sind. Jede der Vorrichtungsstrukturen weist eine obere Elektrode auf. Ein hydrophobes Dielektrikum füllt Lücken zwischen den Vorrichtungsstrukturen und erstreckt sich über den Vorrichtungen. Ein Zwischenschichtdielektrikum liegt über dem hydrophoben Dielektrikum und eine Grenzflächenschicht ist zwischen dem Zwischenschichtdielektrikum und dem hydrophoben Dielektrikum angeordnet. Obere Elektroden-Durchkontaktierungen verbinden die oberen Elektroden mit Leitern innerhalb einer oberen der zwei Metall-Interconnect-Schichten. Die oberen Elektroden-Durchkontaktierungen erheben sich jeweils von den jeweiligen oberen Elektroden und verlaufen nacheinander durch das hydrophobe Dielektrikum, die Grenzflächenschicht und das Zwischenschichtdielektrikum.
  • Einige Aspekte der vorliegenden Lehre stellen eine integrierte Schaltungsvorrichtung bereit, aufweisend: ein Halbleitersubstrat mit einem ersten Bereich und einem zweiten Bereich. Ein hydrophobes Dielektrikum, das über dem Halbleitersubstrat gebildet wird, weist eine obere Oberfläche auf, die sich über dem ersten Bereich und dem zweiten Bereich erstreckt. Eine Grenzflächenschicht ist auf dem hydrophoben Dielektrikum angeordnet und weist eine hydrophile obere Oberfläche auf. Die hydrophile obere Oberfläche weist in dem ersten Bereich eine größere maximale Höhe über dem Halbleitersubstrat auf als in dem zweiten Bereich. Ein Zwischenschichtdielektrikum liegt über der hydrophilen oberen Oberfläche und haftet an derselben.
  • Einige Aspekte der vorliegenden Lehre stellen ein Verfahren bereit, umfassend das Nehmen eines Halbleitersubstrats, das einen ersten Bereich und einen zweiten Bereich aufweist, das Bilden eines hydrophoben Dielektrikums über dem Halbleitersubstrat durch einen fließfähigen chemischen Gasphasenabscheidungsprozess und das Bilden einer Grenzflächenschicht auf dem hydrophoben Dielektrikum. Die Grenzflächenschicht weist eine hydrophile obere Oberfläche auf, die sich über dem ersten Bereich und dem zweiten Bereich erstreckt. Die hydrophile obere Oberfläche weist in dem ersten Bereich eine größere maximale Höhe über dem Halbleitersubstrat auf als in dem zweiten Bereich. Ein Zwischenschichtdielektrikum wird auf der hydrophilen oberen Oberfläche gebildet und haftet an derselben.

Claims (20)

  1. Integrierte Schaltungsvorrichtung aufweisend: ein Halbleitersubstrat (150); eine Metall-Interconnect-Struktur (157), die mehrere Metall-Interconnect-Schichten (128) über dem Halbleitersubstrat (150) umfasst; ein Array (159) von Vorrichtungsstrukturen (160), die zwischen zwei (128D, 128E) der mehreren Metall-Interconnect-Schichten (128) angeordnet sind, wobei jede der Vorrichtungsstrukturen (160) eine obere Elektrode (120) aufweist; ein Dielektrikum mit einer hydrophoben Oberfläche (116), das Lücken (163) zwischen den Vorrichtungsstrukturen (160) füllt; ein Zwischenschichtdielektrikum (114), das über dem Dielektrikum mit einer hydrophoben Oberfläche (116) angeordnet ist; und eine Grenzflächenschicht (115) aus Dielektrikum mit einer hydrophilen Oberfläche zwischen dem Zwischenschichtdielektrikum (114) und dem Dielektrikum mit einer hydrophoben Oberfläche (116); und obere Elektroden-Durchkontaktierungen (112), die die oberen Elektroden (120) mit Leitern innerhalb einer oberen der beiden Metall-Interconnect-Schichten (128E) verbinden; wobei die oberen Elektroden-Durchkontaktierungen (112) sich jeweils von den jeweiligen oberen Elektroden (120) nach oben erstrecken und nacheinander durch das Dielektrikum mit einer hydrophoben Oberfläche (116), die Grenzflächenschicht (115) und das Zwischenschichtdielektrikum (114) verlaufen.
  2. Vorrichtung nach Anspruch 1, wobei sich die oberen Elektroden-Durchkontaktierungen (112) durch eine Ätzstoppschicht (118) nach oben erstrecken, bevor sie das Dielektrikum mit einer hydrophoben Oberfläche (116) erreichen.
  3. Vorrichtung nach Anspruch 2, wobei die Grenzflächenschicht (115) eine Dicke aufweist, die kleiner als oder gleich wie eine Dicke der Ätzstoppschicht (118) ist.
  4. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei die Grenzflächenschicht (115) einen Wafer-Kontaktwinkel, WCA, aufweist, der zwischen dem des Dielektrikums mit einer hydrophoben Oberfläche (116) und dem des Zwischenschichtdielektrikums (114) liegt.
  5. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei eine obere Oberfläche (111) des Dielektrikums mit einer hydrophoben Oberfläche (116) hohe und niedrige Bereiche aufweist; und eine obere Oberfläche (109) der Grenzflächenschicht (115) entsprechende hohe und niedrige Bereiche aufweist.
  6. Integrierte Schaltungsvorrichtung aufweisend: ein Halbleitersubstrat (150), das einen ersten Bereich (153) und einen zweiten Bereich (147) aufweist; ein Dielektrikum mit einer hydrophoben Oberfläche (116) über dem Halbleitersubstrat (150), wobei das Dielektrikum mit einer hydrophoben Oberfläche (116) eine obere Oberfläche (111) aufweist, die sich über dem ersten Bereich (153) und dem zweiten Bereich (147) erstreckt; eine Grenzflächenschicht (115) über dem Dielektrikum mit einer hydrophoben Oberfläche (116), wobei die Grenzflächenschicht (115) eine hydrophile obere Oberfläche (109) aufweist, welche in dem ersten Bereich (153) eine größere maximale Höhe über dem Halbleitersubstrat (150) aufweist als in dem zweiten Bereich (147); und ein Zwischenschichtdielektrikum (114), das an der hydrophilen oberen Oberfläche (109) haftet.
  7. Vorrichtung nach Anspruch 6, wobei das Dielektrikum mit einer hydrophoben Oberfläche (116) Lücken (163) zwischen Vorrichtungsstrukturen (160) füllt.
  8. Vorrichtung nach Anspruch 7, wobei sich das Dielektrikum mit einer hydrophoben Oberfläche (116) über den Vorrichtungsstrukturen (160) erstreckt.
  9. Vorrichtung nach Anspruch 8, wobei das Dielektrikum mit einer hydrophoben Oberfläche (116) Siliziumoxycarbid, SiOC, enthält.
  10. Vorrichtung nach Anspruch 8 oder 9, wobei: die obere Oberfläche (111) des Dielektrikums mit einer hydrophoben Oberfläche (116) Hügel und Täler in dem ersten Bereich (153) aufweist; und die hydrophile obere Oberfläche (109) entsprechende Hügel und Täler aufweist.
  11. Vorrichtung nach einem der Ansprüche 8 bis 10, wobei die Grenzflächenschicht (115) eine Verbindung ist, die Silizium und Stickstoff enthält.
  12. Vorrichtung nach einem der Ansprüche 8 bis 11, wobei die Grenzflächenschicht (115) dünner als das Dielektrikum mit einer hydrophoben Oberfläche (116) und dünner als das Zwischenschichtdielektrikum (114) ist.
  13. Vorrichtung nach einem der Ansprüche 8 bis 12, wobei die Grenzflächenschicht (115) stärker an dem Dielektrikum mit einer hydrophoben Oberfläche (116) haftet, als dass das Zwischenschichtdielektrikum (114) an dem Dielektrikum mit einer hydrophoben Oberfläche (116) haften kann.
  14. Verfahren umfassend: Erhalten eines Halbleitersubstrats (150), das einen ersten Bereich (153) und einen zweiten Bereich (147) aufweist; Bilden eines Dielektrikums mit einer hydrophoben Oberfläche (116) über dem Halbleitersubstrat (150) durch einen fließfähigen chemischen Gasphasenabscheidungsprozess; Bilden einer Grenzflächenschicht (115) auf dem Dielektrikum mit einer hydrophoben Oberfläche (116), wobei die Grenzflächenschicht (115) eine hydrophile obere Oberfläche (109) aufweist; und Bilden eines Zwischenschichtdielektrikums (114) auf der hydrophilen oberen Oberfläche (109); wobei sich die hydrophile obere Oberfläche (109) über dem ersten Bereich (153) und dem zweiten Bereich (147) erstreckt; und wobei die hydrophile obere Oberfläche (109) in dem ersten Bereich (153) eine größere maximale Höhe über dem Halbleitersubstrat (150) aufweist als in dem zweiten Bereich (147).
  15. Verfahren nach Anspruch 14, wobei die hydrophile obere Oberfläche (109) einen Wafer-Kontaktwinkel, WCA, aufweist, der zwischen dem des Dielektrikums mit einer hydrophoben Oberfläche (116) und dem des Zwischenschichtdielektrikums (114) liegt.
  16. Verfahren nach Anspruch 14 oder 15, wobei sich das Dielektrikum mit einer hydrophoben Oberfläche (116) über und zwischen Vorrichtungen (160) in einem Array (159) erstreckt.
  17. Verfahren nach Anspruch 16, ferner umfassend: Ätzen von Öffnungen (1501), die sich durch das Zwischenschichtdielektrikum (114), die Grenzflächenschicht (115) und das Dielektrikum mit einer hydrophoben Oberfläche (116) erstrecken; und Füllen der Öffnungen (1501) mit leitfähigem Material, um Durchkontaktierungen (112) zu bilden, die obere Elektroden (120) von Vorrichtungen (160) in dem Array (159) kontaktieren.
  18. Verfahren nach Anspruch 17, wobei sich die Öffnungen (1501) durch eine Ätzstoppschicht (118) unterhalb des Dielektrikums mit einer hydrophoben Oberfläche (116) erstrecken.
  19. Verfahren nach einem der Ansprüche 14 bis 18, wobei das Bilden der Grenzflächenschicht (115) einen zweiten fließfähigen chemischen Gasphasenabscheidungsprozess umfasst.
  20. Verfahren nach Anspruch 19, wobei der erste fließfähige chemische Gasphasenabscheidungsprozess und der zweite fließfähige chemische Gasphasenabscheidungsprozess beide in einer einzigen Kammer durchgeführt werden.
DE102020128189.7A 2020-02-27 2020-10-27 Techniken zur Verhinderung der Delaminierung von fliessfähigem lückenfüllendem Dielektrikum Active DE102020128189B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062982466P 2020-02-27 2020-02-27
US62/982,466 2020-02-27
US17/078,538 US11495532B2 (en) 2020-02-27 2020-10-23 Techniques to inhibit delamination from flowable gap-fill dielectric
US17/078,538 2020-10-23

Publications (2)

Publication Number Publication Date
DE102020128189A1 DE102020128189A1 (de) 2021-09-02
DE102020128189B4 true DE102020128189B4 (de) 2023-03-09

Family

ID=77464236

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020128189.7A Active DE102020128189B4 (de) 2020-02-27 2020-10-27 Techniken zur Verhinderung der Delaminierung von fliessfähigem lückenfüllendem Dielektrikum

Country Status (5)

Country Link
US (2) US11495532B2 (de)
KR (1) KR102651279B1 (de)
CN (1) CN113314502A (de)
DE (1) DE102020128189B4 (de)
TW (1) TWI763324B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11751405B2 (en) 2020-09-25 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
US11894267B2 (en) * 2021-01-05 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating integrated circuit device
CN114792702A (zh) * 2021-01-25 2022-07-26 联华电子股份有限公司 一种制作半导体元件的方法
US11937514B2 (en) * 2021-05-06 2024-03-19 International Business Machines Corporation High-density memory devices using oxide gap fill
US11942133B2 (en) * 2021-09-02 2024-03-26 Kepler Computing Inc. Pedestal-based pocket integration process for embedded memory

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773741B1 (en) 2016-08-17 2017-09-26 Qualcomm Incorporated Bondable device including a hydrophilic layer
DE102018107997A1 (de) 2017-11-28 2019-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive abdeckprozesse und dadurch ausgebildete strukturen

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
KR19980087552A (ko) * 1997-05-28 1998-12-05 윌리엄 버. 켐플러 집적 회로 유전체 및 그 방법
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6784121B1 (en) * 1998-10-23 2004-08-31 Texas Instruments Incorporated Integrated circuit dielectric and method
US7446058B2 (en) 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US8987085B2 (en) * 2006-08-01 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for improving uniformity of cap layers
JP5013167B2 (ja) * 2006-09-08 2012-08-29 ソニー株式会社 絶縁膜の表面改質方法および半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
KR102205853B1 (ko) 2013-06-27 2021-01-21 인텔 코포레이션 논-리소그래피식으로 패터닝된 지향성 자가 조립 정렬 촉진 층들
KR102110247B1 (ko) 2013-11-29 2020-05-13 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9793268B2 (en) 2014-01-24 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for gap filling improvement
US9613852B2 (en) 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US10008382B2 (en) * 2015-07-30 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a porous low-k structure
US9865539B2 (en) * 2016-03-09 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10534273B2 (en) * 2016-12-13 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-aligned patterning and dielectric with voids
US10158072B1 (en) * 2017-05-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Step height reduction of memory element
US10361364B2 (en) * 2017-06-14 2019-07-23 International Business Machines Corporation Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
US10818544B2 (en) * 2017-09-27 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method to enhance electrode adhesion stability
US20190378915A1 (en) 2018-06-12 2019-12-12 International Business Machines Corporation Forming nanosheet transistor with inner spacers at highly scaled gate pitch
US10770345B2 (en) * 2018-08-27 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and fabrication method thereof
US11114606B2 (en) * 2019-09-23 2021-09-07 International Business Machines Corporation MRAM devices containing a harden gap fill dielectric material
US11282744B2 (en) * 2019-09-30 2022-03-22 Systems On Silicon Manufacturing Co. Pte. Ltd. Enhanced intermetal dielectric adhesion
US11380580B2 (en) * 2019-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer for memory device formation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9773741B1 (en) 2016-08-17 2017-09-26 Qualcomm Incorporated Bondable device including a hydrophilic layer
DE102018107997A1 (de) 2017-11-28 2019-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selektive abdeckprozesse und dadurch ausgebildete strukturen

Also Published As

Publication number Publication date
TW202139409A (zh) 2021-10-16
US11495532B2 (en) 2022-11-08
CN113314502A (zh) 2021-08-27
US20210272896A1 (en) 2021-09-02
TWI763324B (zh) 2022-05-01
KR20210110172A (ko) 2021-09-07
US20220367342A1 (en) 2022-11-17
DE102020128189A1 (de) 2021-09-02
KR102651279B1 (ko) 2024-03-25
US11887929B2 (en) 2024-01-30

Similar Documents

Publication Publication Date Title
DE102020128189B4 (de) Techniken zur Verhinderung der Delaminierung von fliessfähigem lückenfüllendem Dielektrikum
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102019217879B4 (de) Verbindungsstruktur für obere Elektrode und Herstellungsverfahren
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102020133751A1 (de) Speicherarray-isolationsstrukturen
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102021101880A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung
DE102016119019B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102020122109A1 (de) Phasenänderungsspeicherbauelement und verfahren
DE102020133522A1 (de) Speicherarray-source-/drain-elektrodenstrukturen
DE10302377B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung mit Metall-Isolator-Metall-Kondensatoren
DE102020110480A1 (de) Middle-of-line-interconnect-struktur und herstellungsverfahren
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE10046915A1 (de) Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE10347428A1 (de) DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich und Herstellungsverfahren
DE102019103725A1 (de) Verfahren zum Herstellen eines Halbleiter-Bauelements mit reduzierter Durchbiegung und besserer Grabenfüllleistung
DE102021100639A1 (de) Verschaltungsstruktur einer halbleitervorrichtung
DE102020127319A1 (de) Zweischichtauskleidung für metallisierung
DE102017127364B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE102017126997A1 (de) Halbleiter-Bauelement mit einer dielektrischen Extra-Low-k-Schicht und Verfahren zu dessen Herstellung
DE102020132373A1 (de) Ferroelektrische speichervorrichtung und deren ausbildungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final