DE102020102814A1 - Selbstausgerichtete lokale Zwischenverbindungen - Google Patents

Selbstausgerichtete lokale Zwischenverbindungen Download PDF

Info

Publication number
DE102020102814A1
DE102020102814A1 DE102020102814.8A DE102020102814A DE102020102814A1 DE 102020102814 A1 DE102020102814 A1 DE 102020102814A1 DE 102020102814 A DE102020102814 A DE 102020102814A DE 102020102814 A1 DE102020102814 A1 DE 102020102814A1
Authority
DE
Germany
Prior art keywords
integrated circuit
contact
jogged
conductive
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020102814.8A
Other languages
English (en)
Inventor
Aaron D. Lilak
Ehren Mannebach
Anh Phan
Richard Schenker
Stephanie A. BOJARSKI
Willy Rachmady
Patrick Morrow
Jeffery Bielefeld
Gilbert Dewey
Hui Jae Yoo
Nafees KABIR
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020102814A1 publication Critical patent/DE102020102814A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Bei einigen Ausführungsbeispielen wird eine Halbleiterbauelementstruktur durch Verwendung eines winkligen Ätzens gebildet, um Material zu entfernen, um einen Abschnitt eines benachbarten Leiters freizulegen. Der beim Entfernen des Materials gebildete Raum kann dann während der Bildung eines Kontaktes oder einer anderen leitfähigen Struktur (z.B. und Zwischenverbindung) mit einem leitfähigen Material gefüllt werden. Auf diese Weise füllt die Kontaktbildung auch den Raum, um einen winkligen lokalen Zwischenverbindungs-Abschnitt zu bilden, der benachbarte Strukturen verbindet (z.B. einen Source-/Drain-Kontakt mit einem benachbarten Source-/Drain-Kontakt, einen Source-/Drain-Kontakt mit einem benachbarten Gate-Kontakt, einen Source-/Drain-Kontakt mit einem benachbarten Leiter auf Bauelementebene, der ebenfalls mit einem Gate-/Source-/Drain-Kontakt verbunden ist). Bei anderen Ausführungsbeispielen stellt eine Zwischenverbindungsstruktur, die hierin als ein „Jogged-Via“ bezeichnet wird, eine elektrische Verbindung von lateral benachbarten peripheren Oberflächen leitfähiger Strukturen her, die nicht koaxial oder konzentrisch zueinander ausgerichtet sind.

Description

  • Hintergrund
  • Integrierte Schaltungen umfassen im Allgemeinen Transistoren, die elektrisch verbunden oder angeordnet sind, um funktionale Schaltungen zu bilden. Obwohl es effektiv eine unbegrenzte Anzahl von Schaltungskonfigurationen gibt, kann eine bestimmte Transistorschaltung in einigen Fällen z.B. einen Transistor umfassen, bei dem einer oder beide seiner Source- und Drain-Kontakte mit dem Gate-Kontakt desselben Transistors verbunden sind. Ebenso kann bei einem Transistor einer seiner Source-, Drain- und/oder Gate-Kontakte mit einem Source-, Drain- und/oder Gate-Kontakt eines benachbarten Transistors verbunden sein. Solche Verbindungen beinhalten üblicherweise die Verwendung von Zwischenverbindungen (z.B. Vias (Durchkontaktierungen) und Metallleitungen). Insbesondere kann sich ein Via von einer ersten Halbleiterstruktur (z.B. einem Source-Kontakt, einem Drain-Kontakt, einem Gate-Kontakt) innerhalb der Bauelementschicht in eine oder mehrere Zwischenverbindungsschichten über der Bauelementschicht aufwärts erstrecken und mit einer Metallleitung verbinden. Die Metallleitung kann sich ihrerseits mit einem anderen Via verbinden, das sich abwärts zu einer zweiten Halbleiterstruktur (z.B. einem benachbarten Source-Kontakt oder Drain-Kontakt eines benachbarten Halbleiterbauelements, einer Gate-Region eines gleichen Halbleiterbauelements) in der Bauelementschicht erstreckt. Abhängig von der Konfiguration der Vias und Metallleitungen können diese Arten von Verbindungen als „Hinauf-und-Darüber“- („Up-and-over“-) oder „Umwickel“- („Wrap-around“-) Zwischenverbindungen beschrieben werden und umfassen im Allgemeinen einen lateralen Metallverlauf in einer unterschiedlichen Schicht von den Merkmalen, die verbunden werden.
  • Figurenliste
    • 1a stellt eine Querschnittansicht, genommen durch die Source-/Drain-Regionen und parallel zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine winklige lokale Zwischenverbindung umfasst, die sich lateral von einer Source- oder Drain-Kontaktstruktur zu einem Leiter in einer Isolationswandstruktur gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung erstreckt.
    • 1b stellt eine Querschnittansicht, genommen durch die Source-/Drain-Regionen und parallel zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine winklige lokale Zwischenverbindung umfasst, die sich lateral von einer Source- oder Drain-Kontaktstruktur zu einem Leiter in einer Isolationswand gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung erstreckt.
    • 1c stellt eine Draufsicht-Querschnittansicht einer integrierten Schaltung dar, umfassend winklige lokale Zwischenverbindungen, die sich lateral von Source- und/oder Drain-Kontaktstrukturen zu Leitern innerhalb von Isolationswandstrukturen erstrecken, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung, wie die in 1a-1b gezeigten.
    • 2a stellt eine Querschnittansicht, genommen durch die Kanalregion und senkrecht zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine winklige lokale Zwischenverbindung umfasst, die sich lateral von einer Drain-Kontaktstruktur zu der Gate-Struktur gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung erstreckt.
    • 2b stellt ein beispielhaftes schematisches Schaltungsdiagramm einer integrierten Schaltungsstruktur dar, wie diejenige von 2a, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
    • 2c stellt eine Querschnittansicht, genommen durch die Kanalregion und senkrecht zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine winklige lokale Zwischenverbindung umfasst, die sich lateral von einer Source-Kontaktstruktur zu der Gate-Struktur gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung erstreckt.
    • 2d stellt eine Querschnittansicht dar, genommen durch die Kanalregion und senkrecht zu einer Gate-Struktur, die eine winklige lokale Zwischenverbindung umfasst, die sich lateral von einer Gate-Struktur zu einer Drain-Kontaktstruktur gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung erstreckt.
    • 2e stellt eine Querschnittansicht, genommen durch die Kanalregion und senkrecht zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine interne winklige lokale Zwischenverbindung umfasst, die sich lateral von einer Drain-Kontaktstruktur zu einer Gate-Struktur gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung erstreckt.
    • 2f stellen eine Draufsicht-Querschnittansicht einer integrierten Schaltung dar, umfassend winklige lokale Zwischenverbindungen, die sich lateral zwischen Gate-Strukturen und Source- oder Drain-Kontaktstrukturen erstrecken, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung, wie die in 2a-2e gezeigten.
    • 3 stellt eine Draufsicht-Querschnittansicht einer integrierten Schaltung dar, umfassend winklige lokale Zwischenverbindungen, die sich lateral sowohl zwischen Gate-Strukturen und Source-/Drain-Kontaktstrukturen als auch zwischen Source-/Drain-/Gate-Strukturen und Leitern innerhalb von Isolationswandstrukturen erstrecken, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung, wie die in 1a-c und 2a-2f gezeigten.
    • 4a-4c stellen einen beispielhaften Prozess zum Bilden einer winkligen lokalen Zwischenverbindung dar, um eine Source-/Drain-Kontaktstruktur mit einem Leiter innerhalb einer Isolationswandstruktur gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung zu verbinden.
    • 5a-5d stellen einen beispielhaften Prozess zum Bilden einer winkligen lokalen Zwischenverbindung dar, um eine Gate-Kontaktstruktur mit einer Source-/Drain-Kontaktstruktur gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung zu verbinden.
    • 6 zeigt eine gestapelte Transistorstruktur, die winklige lokale Zwischenverbindungen umfasst, die sich lateral zwischen Gate-Strukturen und Source- oder Drain-Kontaktstrukturen gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung erstrecken.
    • 7a stellt eine Querschnittansicht, genommen durch die Source-/Drain-Regionen und parallel zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine Jogged-Via-Struktur zum Verbinden einer Source-/Drain-Kontaktstruktur mit einem benachbarten Metallleiter gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung umfasst.
    • 7b stellt eine Querschnittansicht, genommen durch die Source-/Drain-Regionen und parallel zu einer Gate-Struktur, einer integrierten Schaltungsstruktur dar, die eine Jogged-Via-Struktur zum Verbinden einer Source-/Drain-Kontaktstruktur mit einem benachbarten Metallleiter gemäß einem anderen Ausführungsbeispiel der vorliegenden Offenbarung umfasst.
    • 8a-8k stellen einen beispielhaften Prozess zum Bilden einer Jogged-Via-Struktur zum Verbinden einer Source-/Drain-Kontaktstruktur mit einem benachbarten Metallleiter gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung dar.
    • 9 stellt ein Rechensystem dar, das eine oder mehrere der integrierten Schaltungsstrukturen umfasst, die winklige lokale Zwischenverbindungen wie hierin verschiedenartig beschrieben gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung umfassen.
  • Es wird darauf hingewiesen, dass die Figuren weder notwendigerweise maßstabsgetreu gezeichnet sind noch die vorliegende Offenbarung auf die gezeigten spezifischen Konfigurationen begrenzen sollen. Während einige Figuren beispielsweise im Allgemeinen vollkommen gerade Linien, rechte Winkel und glatte Oberflächen anzeigen, kann eine tatsächliche Implementierung einer integrierten Schaltungsstruktur nicht ganz gerade Linien, rechte Winkel aufweisen, und einige Merkmale können eine Oberflächentopologie aufweisen oder anderweitig nicht glatt sein, angesichts der realen Beschränkungen der verwendeten Verarbeitungsausrüstung und -techniken.
  • Detaillierte Beschreibung
  • Halbleiterbauelemente und entsprechende Herstellungsverfahren werden offenbart. Bei einigen Ausführungsbeispielen wird eine Halbleiterbauelementstruktur durch Verwendung eines winkligen Ätzens gebildet, um Material zu entfernen, um einen Abschnitt eines benachbarten Leiters freizulegen. Der beim Entfernen des Materials gebildete Raum kann dann während der Bildung eines Kontaktes oder einer anderen leitfähigen Struktur (z.B. und Zwischenverbindung) mit einem oder mehreren leitfähigen Materialien gefüllt werden. Auf diese Weise füllt die Kontaktbildung auch den Raum, um einen winkligen lokalen Zwischenverbindungs-Abschnitt zu bilden, der benachbarte Strukturen verbindet (z.B. einen Source-/Drain-Kontakt mit einem benachbarten Source-/Drain-Kontakt, oder einen Source-/Drain-Kontakt mit einem benachbarten Gate-Kontakt, oder einen Source-/Drain-Kontakt mit einem benachbarten Leiter auf Bauelementebene). Diese Verbindungen auf Bauelementebene können bequemer und mit größerer Zuverlässigkeit hergestellt werden als Hinauf-und-Darüber- oder Umwickel-Zwischenverbindungen, die sich von der Bauelementebene auf eine Zwischenverbindungs-Ebene über der Bauelementebene erstrecken. Viele Variationen für laterale lokale Zwischenverbindungen, die einen winkligen Abschnitt aufweisen, werden begrüßt. Noch andere hierin beschriebene Ausführungsbeispiele umfassen eine Zwischenverbindungsstruktur, der hierin als ein „Jogged-Via“ bezeichnet wird. Jogged-Vias stellen eine elektrische Verbindung von lateral benachbarten peripheren Oberflächen leitfähiger Strukturen her, die nicht koaxial, kollinear oder konzentrisch zueinander ausgerichtet sind. Dies kann die Zuverlässigkeit und den Herstellungskomfort zum Einrichten von Zwischenverbindungen verbessern, insbesondere für eng beabstandete Strukturen, die eventuell aufgrund ihrer Abmessungen möglicherweise schwierig auszurichten sind. Selektive Ätzschemata ermöglichen es, die Jogged-Vias auf eine selbstausgerichtete Weise zu bilden. Viele Variationen werden begrüßt.
  • Allgemeiner Überblick
  • Eine Reihe nicht trivialer Probleme ist mit der Zwischenverbindung benachbarter Halbleiterstrukturen einer bestimmten Bauelementschicht unter Verwendung von Vias und Metallleitungen verbunden, die sich von der Bauelementschicht in eine oder mehrere Zwischenverbindungsschichten (z.B. Schichten oberhalb oder unterhalb der Bauelementschicht, die Vias und Metallleitungen zugeordnet sind, z.B. „V0, V1, M0, M1“) und zurück nach unten (oder gegebenenfalls nach oben) zu der Bauelementschicht erstrecken. Diese Art der Zwischenverbindung wird allgemein als eine „Hinauf-und-Darüber“-Zwischenverbindung bezeichnet. Ähnlich ausgebildete „Umwickel“-Zwischenverbindungen verwenden ebenfalls zusätzliche Schichten, um die Verbindung zwischen benachbarten Bauelementen herzustellen. Diese Lösungen sind anfällig für lithographische Beschränkungen sowie Platzierungs- und Strukturierungsfehler und eignen sich nicht gut für die Bildung von Verbindungen mit engem Abstand/skalierter Abmessung.
  • Somit werden Techniken zur Bildung von Bauelementebenen- oder lokalen leitfähigen Zwischenverbindungsstrukturen offenbart. Die Techniken eignen sich besonders gut zum Zwischenverbinden von Source-, Drain- und/oder Gate-Kontaktstrukturen einer bestimmten Bauelementschicht, sei es zueinander oder mit einem lateral benachbarten Leiter. Bei einem Ausführungsbeispiel umfasst die Zwischenverbindungsstruktur einen winkligen lokalen Zwischenverbindungs-Abschnitt, der sich lateral zwischen den Merkmalen erstreckt, die verbunden werden. Bei einigen Ausführungsbeispielen können diese Techniken verwendet werden, um lokale Zwischenverbindungen innerhalb einer Bauelementschicht selbst zu bilden. Bei einigen dieser Ausführungsbeispiele kann ein winkliger lokaler Zwischenverbindungs-Abschnitt, der leitfähigen Strukturen auf Bauelementebene zugeordnet ist, beispielsweise Gate-, Source- und/oder Drain-Regionen eines bestimmten Halbleiterbauelements verbinden oder kann benachbarte Bauelemente entweder direkt oder indirekt über einen in eine Isolationswand eingebetteten Leiter verbinden (der seinerseits beispielsweise mit einer Source-/Drain-/Gate-Elektrode, oder einer anderen Kontaktstruktur, oder einer Signalquelle, oder einer Leistungsquelle verbunden ist). Bei einigen Ausführungsbeispielen wird der winklige lokale Zwischenverbindungs-Abschnitt unter Verwendung eines direktionalen Ätzens gebildet, das in einem Einfallswinkel von mehr als 0° und weniger als 90° bereitgestellt wird, um einen Abschnitt einer lateral benachbarten leitfähigen Struktur auf Bauelementebene freizulegen. Der lateral zu dem freiliegenden Abschnitt benachbarte Graben kann dann während der Bildung einer Kontaktstruktur auf oder über einem Element eines Halbleiterbauelements gefüllt werden, wodurch ein lokaler Zwischenverbindungs-Abschnitt zwischen der Gate-, Source- und/oder Drain-Region und einer benachbarten Struktur gebildet wird. Aufgrund der selektiven Natur des Bildungsprozesses ist die Seitenwand des winkligen Abschnitts selbstausgerichtet zu der Seitenwand des lateral benachbarten Merkmals, mit dem sie in Kontakt kommt.
  • Bei noch anderen Ausführungsbeispielen wird eine Art der Zwischenverbindung beschrieben, die hierin als „Jogged-Via“ bezeichnet wird. Diese Art der Zwischenverbindung stellt eine elektrische Verbindung zwischen leitfähigen Strukturen her, die lateral benachbart zueinander sind, aber nicht koaxial, kollinear oder konzentrisch zueinander ausgerichtet sind. Aufgrund der selektiven Natur des Bildungsprozesses ist die Seitenwand des Jogged-Via wiederum selbstausgerichtet zu der Seitenwand des lateral benachbarten Merkmals, mit dem sie in Kontakt kommt. Dies kann bequemer sein als der Versuch, parallele Anschlussflächen von koaxialen, konzentrischen Vias und/oder Metallleitungen auszurichten. Diese Art der Anordnung kann die Qualität, Zuverlässigkeit und den Herstellungskomfort zum Einrichten von Zwischenverbindungen mit Nanometer-skalierten Abständen und Merkmalsgrößen verbessern. Es wird darauf hingewiesen, dass verschiedene Bauelemente sowohl lokale winklige Zwischenverbindungs-Abschnitte als auch Jogged-Vias umfassen können.
  • Verschiedene Vorteile der hierin bereitgestellten Techniken werden begrüßt, insbesondere im Hinblick auf eine verbesserte Transistor-Dichte, Leistung und Zuverlässigkeit. Darüber hinaus können die Techniken, die zum Herstellen einiger der hierin beschriebenen Ausführungsbeispiele verwendet werden, lokale Zwischenverbindungen herstellen, die weniger Verarbeitungsschritte und niedrigere Produktionskosten erfordern als Umwickel- oder Hinauf-und-Darüber-Zwischenverbindungen, neben anderen Vorteilen. Die Vermeidung oder anderweitige Reduzierung des Auftretens von Hinauf-und-Darüber- oder Umwickel-Verbindungen kann beispielsweise die Anzahl der Zwischenverbindungsstrukturen oberhalb der Bauelementebene reduzieren und/oder die Wahrscheinlichkeit der Herstellung defekter ICs verringern. Einige der Ausführungsbeispiele hierin, die winklige lokale Zwischenverbindungs-Abschnitte umfassen, ermöglichen möglicherweise kürzere, direktere (laterale Verbindung anstatt Hinauf-und-Darüber-Verbindung) lokale Zwischenverbindungen, die die Z-dimensionale Dicke, die räumliche Grundfläche, die Kapazität und/oder den Widerstand des Routing reduzieren können. Es wird ferner darauf hingewiesen, dass einige der Ausführungsbeispiele, die winklige lokale Zwischenverbindungs-Abschnitte und/oder Jogged-Vias umfassen, die Bequemlichkeit und Genauigkeit der IC-Bildung verbessern, weil diese Strukturen einen „selbstausrichtenden“ Aspekt haben, der die Notwendigkeit einer präzisen lithographischen Verarbeitung reduziert, auf die man sich bei Umwickel- und/oder Hinauf-und-Darüber-Zwischenverbindungen von der Bauelementebene oft verlässt. Darüber hinaus kann die Verwendung von winkligen lokalen Zwischenverbindungen und Jogged-Vias in einigen Fällen die Menge des Metalls in einer Zwischenverbindung relativ zu Hinauf-und-Darüber- und Umwickel-Techniken reduzieren. Dies wiederum kann die Kapazität einer IC reduzieren. Ferner wird darauf hingewiesen, dass eine Struktur, die gemäß einigen Ausführungsbeispielen ausgebildet ist, die Komplexität und Dichte der Zwischenverbindungen relativ zu anderen Designs reduzieren kann, wodurch der Bedarf an komplexen und fehleranfälligen Strukturierungstechniken verringert wird.
  • Zahlreiche Ausbildungen und Permutationen sind angesichts dieser Offenbarung ersichtlich.
  • Architekturen
  • 1a stellt eine Querschnittansicht einer Struktur einer integrierten Schaltung (IC) 100 dar, genommen durch die Source-/Drain-Regionen und parallel zu einer Gate-Struktur. Wie gezeigt, umfasst die IC-Struktur 100 Source-/Drain-Regionen 102, eine erste Kontaktstruktur 104 und eine zweite Kontaktstruktur 106, die ferner einen winkligen lokalen Zwischenverbindungs-Abschnitt umfasst. Die IC-Struktur 100 umfasst auch eine Isolationswandstruktur 110, die bei diesem Ausführungsbeispiel ferner einen ersten Isolator 110a, einen zweiten Isolator 110b und einen Leiter 110c umfasst.
  • Eine Vielzahl unterschiedlicher Transistorbauelemente kann von den hierin beschriebenen Techniken profitieren, welche verschiedene Feldeffekttransistoren (FETs), wie z.B. Metall-Oxid-Halbleiter-FETs (MOSFETs; metal-oxide-semiconductor FETs), Tunnel-FETs (TFETs) und Fermi-Filter-FETs (FFFETs) (auch als Tunnel-Source-MOSFETs bekannt) umfassen, aber nicht darauf beschränkt sind, um nur einige Beispiele zu nennen. Die Techniken können z.B. zum Nutzen eines n-Kanal-MOSFET- (NMOS-) Bauelements verwendet werden, das ein Source-Kanal-Drain-Schema von n-p-n oder n-i-n umfassen kann, wobei „n“ n-Typ-dotiertes Halbleitermaterial anzeigt, „p“ p-Typ-dotiertes Halbleitermaterial anzeigt und „i“ intrinsisches/undotiertes Halbleitermaterial anzeigt (das auch nominell undotiertes Halbleitermaterial umfassen kann, umfassend z.B. Dotierstoffkonzentrationen von weniger als 1E16 Atomen pro Kubikzentimeter (cm)), gemäß einigen Ausführungsbeispielen. Bei einem anderen Beispiel können die Techniken zum Nutzen eines p-Kanal-MOSFET- (PMOS-) Bauelements eingesetzt werden, das gemäß einigen Ausführungsbeispielen ein Source-Kanal-Drain-Schema von p-n-p oder p-i-p umfassen kann. Bei noch einem anderen Beispiel können die Techniken zum Nutzen eines TFET-Bauelements eingesetzt werden, das gemäß einigen Ausführungsbeispielen ein Source-Kanal-Drain-Schema von p-i-n oder n-i-p umfassen kann. Bei einem wiederum anderen Beispiel können die Techniken zum Nutzen eines FFFET-Bauelements eingesetzt werden, das ein Source-Kanal-Drain-Schema von np-i-p (oder np-n-p) oder pn-i-n (oder pn-p-n) gemäß einigen Ausführungsbeispielen umfassen kann.
  • Zusätzlich können die Techniken bei einigen Ausführungsbeispielen zum Nutzen von Transistoren eingesetzt werden, umfassend eine Vielzahl von Konfigurationen, wie z.B. planare und/oder nicht-planare Konfigurationen, wobei die nicht-planaren Konfigurationen gefinnte (finned) oder FinFET-Konfigurationen (z.B. Dual-Gate- oder Tri-Gate), Gate-Rundum- (Gate-All-Around-) (GAA-) Konfigurationen (z.B. Nanodraht oder Nanoband) oder irgendeine Kombination derselben (z.B. aufgereihte Finnen-Konfigurationen) umfassen können, um nur einige Beispiele bereitzustellen. Viele der hierin beschriebenen Beispiele zeigen FinFETS, die aus Gründen der Einfachheit der Darstellung ausgewählt wurden.
  • Ferner können die Techniken zum Nutzen von komplementären Transistorschaltungen, wie z.B. komplementäre MOS- (CMOS-) Schaltungen, eingesetzt werden, wobei die Techniken zum Nutzen eines oder mehrerer der umfassten n-Kanal- und/oder p-Kanal-Transistoren verwendet werden können, die die CMOS-Schaltung bilden. Andere beispielhafte Transistorbauelemente, die von den hierin beschriebenen Techniken profitieren können, umfassen gemäß einigen Ausführungsbeispielen wenige bis einzelne Elektronen-Quanten-Transistorbauelemente. Ferner können wiederum irgendwelche solche Bauelemente Halbleitermaterialien verwenden, die zum Beispiel sowohl dreidimensionale Kristalle als auch zweidimensionale Kristalle oder Nanoröhrchen sind. Bei einigen Ausführungsbeispielen können die Techniken zum Nutzen von Bauelementen unterschiedlicher Größenordnungen eingesetzt werden, wie z.B. IC-Bauelemente mit kritischen Abmessungen im Mikrometer- und/oder im Nanometerbereich (nm) (z.B. gebildet an den 22, 14, 10, 7, 5 oder 3 nm Prozessknoten, oder darüber hinaus).
  • Obwohl nicht gezeigt, wird darauf hingewiesen, dass die IC-Struktur 100 (und andere beispielhafte Ausführungsbeispielstrukturen, die in der vorliegenden Offenbarung durchgehend beschrieben werden) auf einem Halbleitersubstrat hergestellt werden kann. Ein Halbleitersubstrat kann bei einigen Ausführungsbeispielen ein Bulk-Si-Substrat (z.B. ein Bulk-Si-Wafer), eine Siauf-Isolator-Struktur (SOI; Si-on-insulator), wobei ein Isolator/dielektrisches Material (z.B. ein Oxidmaterial, wie z.B. Siliziumdioxid) zwischen zwei Si-Schichten (z.B. in einer vergrabenen Oxid- (BOX-) Struktur (BOX; buried oxide)) sandwichartig angeordnet ist, oder irgendein anderes geeignetes Ausgangssubstrat umfassen, wobei die obere Schicht Si umfasst. Bei einigen Ausführungsbeispielen kann das Substrat mit irgendeinem geeigneten n-Typ- und/oder p-Typ-Dotierstoff mit einer Dotierstoffkonzentration im Bereich von z.B. 1E16 bis 1E22 Atomen pro Kubikzentimeter dotiert werden. Zum Beispiel kann das Si des Substrats unter Verwendung eines geeigneten Akzeptors (z.B. Bor) p-Typ-dotiert werden oder unter Verwendung eines geeigneten Donators (z.B. Phosphor, Arsen) n-Typ-dotiert werden mit einer Dotierstoffkonzentration von zumindest 1E16 Atomen pro Kubikzentimeter. Bei einigen Ausführungsbeispielen kann das Substrat jedoch z.B. undotiert/intrinsisch oder relativ minimal dotiert sein (z.B. umfassend eine Dotierstoffkonzentration von weniger als 1E16 Atomen pro Kubikzentimeter). Obwohl das Substrat hierin im Allgemeinen als ein Si-Substrat bezeichnet wird, kann es bei einigen Ausführungsbeispielen im Wesentlichen aus Si bestehen, während das Substrat bei anderen Ausführungsbeispielen hauptsächlich Si, aber auch anderes Material (z.B. Dotierstoff in einer bestimmten Konzentration) umfassen kann. Ferner wird darauf hingewiesen, dass das Substrat monokristallines Si von relativ hoher Qualität oder Bauelementqualität umfassen kann, das eine geeignete Template-Bekeimungsoberfläche bereitstellt, aus der andere monokristalline Halbleitermaterialmerkmale und -schichten gebildet werden können. Daher soll, sofern nicht ausdrücklich anders angegeben, ein Si-Substrat, wie hierin beschrieben, nicht auf ein Substrat beschränkt sein, das nur Si umfasst.
  • Bei einigen Ausführungsbeispielen kann das Substrat eine kristalline Oberflächenorientierung aufweisen, die durch einen Miller-Index von (100), (110) oder (111) oder seine Äquivalente beschrieben ist, wie angesichts dieser Offenbarung offensichtlich ist. Obwohl das Substrat 200 bei diesem Ausführungsbeispiel zur Vereinfachung der Darstellung derart gezeigt ist, dass es eine Dicke (Dimension in Richtung der Y-Achse) aufweist, die anderen Schichten in den Figuren ähnlich ist, kann das Substrat in einigen Fällen relativ viel dicker sein als die anderen Schichten, z.B. eine Dicke im Bereich von 1 bis 950 Mikrometern (oder im Teilbereich von 20 bis 800 Mikrometern) aufweisen, oder irgendeinen anderen geeigneten Dickenwert oder -bereich, wie angesichts dieser Offenbarung offensichtlich ist. Bei einigen Ausführungsbeispielen kann das Substrat eine Mehrschichtstruktur umfassend zwei oder mehrere individuelle Schichten (die von ihrer Zusammensetzung her unterschiedlich sein können oder nicht) aufweisen. Bei einigen Ausführungsbeispielen kann das Substrat eine Graduierung (z.B. Erhöhung und/oder Verringerung) einer oder mehrerer Materialkonzentrationen durchgehend in zumindest einem Abschnitt des Substrats umfassen. Bei einigen Ausführungsbeispielen kann das Substrat für ein oder mehrere andere IC-Bauelemente verwendet werden, wie z.B. verschiedene Dioden (z.B. lichtemittierende Dioden (LEDs) oder Laserdioden), verschiedene Transistoren (z.B. MOSFETs oder TFETs), verschiedene Kondensatoren (z.B. MOSCAPs), verschiedene mikroelektromechanische Systeme (MEMS), verschiedene nanoelektromechanische Systeme (NEMS), verschiedene Radiofrequenz- (RF-) Bauelemente, verschiedene Sensoren oder irgendwelche anderen geeigneten Halbleiter- oder IC-Bauelemente, abhängig von der Endverwendung oder Zielanwendung. Dementsprechend können die hierin beschriebenen Strukturen bei einigen Ausführungsbeispielen in einer System-auf-einem-Chip (SoC-; system-onchip) Anwendung umfasst sein, wie angesichts dieser Offenbarung offensichtlich ist.
  • Die Source-/Drain-Regionen 102 können bei einigen Ausführungsbeispielen unter Verwendung von irgendwelchen geeigneten Techniken gebildet werden, wie z.B. Maskierung von Regionen außerhalb der zu bearbeitenden S/D-Regionen, Ätzen zumindest eines Abschnitts der aus dem Substrat gebildeten Finnen (d.h. Entfernen der „nativen Finnen“) und Bilden/Abscheiden/Wachsen von epitaxialen S/D-Regionen (z.B. unter Verwendung von irgendwelchen geeigneten Techniken wie z.B. CVD, PVD, ALD, VPE, MBE, LPE). In einigen Fällen sind diese als „Austauschfinnen“ bekannt. Bei einigen Ausführungsbeispielen ist es nicht erforderlich, dass die aus dem Material des Substrats gebildeten nativen Finnen vollständig entfernt werden, sondern sie können (zumindest teilweise) in den finalen S/D-Regionen verbleiben und mit finalem S/D-Material dotiert, implantiert und/oder ummantelt werden und/oder an ihnen kann irgendeine andere geeignete Bearbeitung ausgeführt werden, um sie z.B. in geeignete finale S/D-Regionen umzuwandeln. Bei einigen Ausführungsbeispielen können eine oder mehrere der S/D-Regionen eine Mehrschichtstruktur aufweisen, die z.B. zwei oder mehrere individuelle Schichten umfasst. Bei FFFET-Konfigurationen hat die Source-Region beispielsweise eine Doppelschichtstruktur, die zwei entgegengesetzt dotierte Schichten umfasst (z.B. eine ist n-Typ-dotiert und die andere ist p-Typ-dotiert). Bei einigen Ausführungsbeispielen können eine oder mehrere der S/D-Regionen eine Graduierung (z.B. Erhöhung und/oder Verringerung) des Inhalts/der Konzentration eines oder mehrerer Materialien in einigen oder allen der Region(en) umfassen. Bei einigen Ausführungsbeispielen kann es z.B. gewünscht sein, bei Bildung einer bestimmten S/D-Region die Graduierung zu erhöhen, um eine relativ niedrigere Dotierungskonzentration in der Nähe der Kanalregion und eine relativ höhere Dotierungskonzentration in der Nähe des entsprechenden S/D-Kontakts zu haben.
  • Bei einigen Ausführungsbeispielen können die S/D-Regionen bei einer Polarität auf einmal gebildet werden, z.B. Ausführen der Bearbeitung für eine der n-Typ- und p-Typ-S/D-Regionen, und dann Ausführen der Bearbeitung für die andere der n-Typ- und p-Typ-S/D-Regionen. Bei einigen Ausführungsbeispielen können die S/D-Regionen irgendein geeignetes Material umfassen, wie z.B. monokristallines Gruppe-IV- und/oder Gruppe-III-V-Halbleitermaterial und/oder irgendein anderes geeignetes Halbleitermaterial, wie angesichts dieser Offenbarung offensichtlich ist. Bei einigen Ausführungsbeispielen können die S/D-Regionen, die einer bestimmten Kanalregion entsprechen, dieselbe Gruppe von Halbleitermaterial umfassen wie das, was in der bestimmten Kanalregion umfasst ist derart, dass, wenn die bestimmte Kanalregion Gruppe-IV-Halbleitermaterial umfasst, die entsprechenden S/D-Regionen auch Gruppe-IV-Halbleitermaterial umfassen können (sei es das gleiche oder unterschiedliches Gruppe-IV-Material); die vorliegende Offenbarung soll jedoch nicht so beschränkt sein. Bei einigen Ausführungsbeispielen können die S/D-Regionen irgendein geeignetes Dotierungsschema umfassen, wie beispielsweise umfassend einen geeigneten n-Typ- und/oder p-Typ-Dotierstoff (z.B. in einer Konzentration im Bereich von 1E16 bis 1E22 Atomen pro Kubikzentimeter). Bei einigen Ausführungsbeispielen kann jedoch zumindest eine S/D-Region undotiert/intrinsisch oder relativ minimal dotiert sein, wie z.B. umfassend eine Dotierstoffkonzentration von weniger als 1E16 Atomen pro Kubikzentimeter.
  • Um einige Beispielkonfigurationen bereitzustellen, können bei Ausführungsbeispielen, wo entsprechende S/D-Regionen auf beiden Seiten einer bestimmten Kanalregion für ein MOSFET-Bauelement verwendet werden sollen, die S/D-Regionen den gleichen Typ von Dotierstoffen umfassen (z.B. wo beide p-Typ-dotiert oder beide n-Typ-dotiert sind). Insbesondere bei einem n-MOS-Bauelement umfassen bei einigen Ausführungsbeispielen die umfassten S/D-Regionen Halbleitermaterial, das n-Typ-dotiert ist, und bei einem p-MOS-Bauelement umfassen die umfassten S/D-Regionen Halbleitermaterial, das p-Typ-dotiert ist. Bei einem TFET-Bauelement hingegen können die S/D-Regionen für eine bestimmte Kanalregion entgegengesetzt dotiert sein derart, dass bei einigen Ausführungsbeispielen eine p-Typ-dotiert und die andere n-Typ-dotiert ist.
  • Eine Gate-Struktur ist aus Gründen der Einfachheit der Darstellung nicht in 1a gezeigt. Gate-Strukturen kommen jedoch bei anderen unten beschriebenen Ausführungsbeispielen vor, und es wird darauf hingewiesen, dass bei diesem Ausführungsbeispiel und einigen anderen hierin beschriebenen Ausführungsbeispielen eine Gate-Struktur vorhanden ist und unter Verwendung einer „Gate-Zuletzt“- oder einer „Gate-Zuerst“-Technik hergestellt werden kann. Unabhängig von der verwendeten Technik, mit der sie gebildet wird, kann eine Beispiel-Gate-Struktur eine Gate-Dielektrikum-Schicht (z.B. umfassend eine oder mehrere Oxidmaterialschichten) und eine Gate-Elektrode (z.B. umfassend ein Polysilizium-Material oder ein anderes leitfähiges Material) umfassen.
  • Bei einigen Ausführungsbeispielen können Seitenwandabstandhalter, die allgemein als Gate-Abstandhalter (oder einfach nur Abstandhalter) bezeichnet werden, auf beiden Seiten der Gate-Struktur vorhanden sein. Diese Abstandhalter können z.B. bei der Bestimmung der Kanallänge und/oder bei der Gate-Austausch- („Gate-Zuletzt“-) Verarbeitung helfen. Abstandhalter können irgendein geeignetes Material umfassen, wie z.B. irgendeinen geeigneten elektrischen Isolator, Dielektrikum, Oxid (z.B. Siliziumoxid), kohlenstoffdotiertes Oxid und/oder Nitrid- (z.B. Siliziumnitrid-) Material, wie angesichts dieser Offenbarung offensichtlich ist. Es ist zu beachten, dass bei einigen Ausführungsbeispielen eine Hardmaske (nicht abgebildet) über dem Dummy-Gate-Stapel (der auch über Abstandhaltern gebildet werden kann oder nicht) gebildet werden kann, um den Dummy-Gate-Stapel z.B. während der nachfolgenden Verarbeitung zu schützen.
  • Bei einigen Ausführungsbeispielen kann eine Gate-Struktur eine Gate-Dielektrikum-Schicht und/oder eine Gate-Elektrodenschicht umfassen, von denen jede eine Mehrschichtstruktur aus z.B. zwei oder mehr Materialschichten aufweisen kann. Bei einigen Ausführungsbeispielen kann beispielsweise ein Mehrschicht-Gate-Dielektrikum verwendet werden, um zum Beispiel einen allmählicheren elektrischen Übergang von der Kanalregion zur Gate-Elektrode bereitzustellen. Bei einigen Ausführungsbeispielen können das Gate-Dielektrikum und/oder die Gate-Elektrode eine Graduierung (z.B. Erhöhung und/oder Verringerung) des Inhalts/der Konzentration eines oder mehrerer Materialien in zumindest einem Abschnitt des Merkmals/der Merkmale umfassen.
  • Das Gate-Dielektrikum kann beispielsweise irgendein/irgendwelche geeignete(s) Gate-Dielektrikum-Material(ien), wie z.B. Siliziumdioxid oder High-k-Gate-Dielektrikum-Materialien sein. Beispiele von High-k-Gate-Dielektrikum-Materialien umfassen zum Beispiel Hafniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirkoniumoxid, Zirkoniumsiliziumoxid, Tantaloxid, Titanoxid, Barium-Strontium-Titanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid und Blei-Zink-Niobat. Bei einigen Ausführungsbeispielen kann ein Ausheilungsprozess am Gate-Dielektrikum ausgeführt werden, um dessen Qualität zu verbessern, wenn ein High-k-Material verwendet wird. Ferner können die Gate-Elektroden einen großen Bereich von geeigneten Metallen oder Metalllegierungen umfassen, wie z.B. Aluminium, Wolfram, Titan, Tantal, Kupfer, Titannitrid oder Tantalnitrid, oder andere geeignete Materialien angesichts der vorliegenden Offenbarung. Eine oder mehrere zusätzliche Schichten können auch in dem finalen Gate-Stapel vorhanden sein bei einigen Ausführungsbeispielen, wie z.B. eine oder mehrere relativ hohe oder niedrige Arbeitsfunktionsschichten und/oder andere geeignete Schichten. Die Gate-Struktur (umfassend die Gate-Dielektrikum-Schicht(en) und die Gate-Elektrodenschicht(en) kann durch irgendeinen geeigneten Prozess gebildet werden, unter anderem umfassend, aber nicht beschränkt auf Sputtern, physikalische Gasphasenabscheidung (PVD; physical vapor deposition), plasmaunterstützte chemische Gasphasenabscheidung (CVD; chemical vapor deposition), chemische Gasphasenabscheidung (CVD), Atomschichtabscheidung (ALD; atomic layer deposition), MOCVD, MBE. Es ist zu beachten, dass, obwohl ein Gate-Dielektrikum nur unter der Gate-Elektrode gezeigt ist, bei anderen Ausführungsbeispielen auch ein Gate-Dielektrikum auf einer oder beiden Seiten der Gate-Elektrode vorhanden sein kann derart, dass das Gate-Dielektrikum z.B. zwischen der Gate-Elektrode und einem oder beiden Abstandhaltern ist. Zahlreiche unterschiedliche Gate-Stapel-Konfigurationen sind angesichts dieser Offenbarung ersichtlich.
  • Die hierin beschriebenen Isolierschichten können aus Materialien gebildet werden, die auch für Zwischenschicht-Dielektrikums- und/oder flache Grabenisolations-Schichten verwendet werden. Beispielhafte Isolatormaterialien, die hier für die verschiedenen Isolatorschichten verwendet werden können (z.B. Isolator 110a, Isolator 110b, Isolator 124, und solche, die in nachfolgenden Beispielen erscheinen, wie z.B. Elemente der Isolationswandstruktur 310, Isolatoren 410a, 410b, Isolator 524, Isolator 607, u.a.) und z.B. Nitride (z.B. Si3N4), Oxide (z.B. SiO2, Al2O3), Oxynitride (z.B. SiOxNy), Carbide (z.B. SiC), Oxycarbide, Polymere, Silane, Siloxane oder andere geeignete Isolatormaterialien umfassen. Bei einigen Ausführungsbeispielen können die Isolatorschichten bei diesem Beispiel und den nachfolgend beschriebenen Beispielen mit Ultra-low-k-Isolatormaterialien, Low-k-Dielektrikums-Materialien oder High-k-Dielektrikums-Materialien implementiert werden, abhängig von der Anwendung. Beispielhafte Low-k- und Ultra-low-k-Dielektrikums-Materialien umfassen poröses Siliziumdioxid, kohlenstoffdotiertes Oxid (CDO; carbon doped oxide), organische Polymere, wie beispielsweise Perfluorcyclobutan oder Polytetrafluorethylen, Fluorsilikatglas (FSG; fluorosilicate glass) und Organosilikate, wie beispielsweise Silsesquioxan, Siloxan oder Organosilikatglas. Beispiele von High-k-Dielektrikums-Materialien umfassen zum Beispiel Hafniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirkoniumoxid, Zirkoniumsiliziumoxid, Tantaloxid, Titanoxid, Barium-Strontium-Titanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid und Blei-Zink-Niobat.
  • Techniken zum Bilden dieser verschiedenen Isolatorschichten können irgendwelche aus einem breiten Bereich von geeigneten Abscheidungstechniken sein, umfassend, aber nicht notwendigerweise beschränkt auf: physikalische Gasphasenabscheidung (PVD); chemische Gasphasenabscheidung (CVD); Aufschleuder-/Rotationsbeschichtung (SOD; spin coating/spinon deposition); Atomschichtabscheidung (ALD) und/oder eine Kombination aus irgendwelchen der vorstehend Genannten. Andere geeignete Konfigurationen, Materialien, Abscheidungstechniken und/oder Dicken für diese Schichten sind angesichts dieser Offenbarung ersichtlich.
  • Wieder Bezug nehmend auf 1a, stellen die erste Kontaktstruktur 104 und die zweite Kontaktstruktur 106 einen elektrischen Kontakt zwischen den Source-/Drain-Regionen 102 und anderen Zwischenverbindungsstrukturen oberhalb der Bauelementebene her, wie beispielsweise Vias oder Metallleitungen. Die erste Kontaktstruktur 104 und die zweite Kontaktstruktur 106 können ein Silizid, ein Germanid, eine III-V-Verbindung umfassen, die jeweils aus der teilweisen Umwandlung der darunterliegenden Source-/Drain-Region 102 gebildet werden. Bei einigen Ausführungsbeispielen können die erste Kontaktstruktur 104 und die zweite Kontaktstruktur 106 Aluminium oder Wolfram umfassen, obwohl irgendein geeignetes leitfähiges Metall oder irgendeine geeignete leitfähige Legierung verwendet werden kann, wie z.B. Silber, Nickel-Platin oder Nickel-Aluminium. Bei einigen Ausführungsbeispielen können die erste Kontaktstruktur 104 und die zweite Kontaktstruktur 106 beispielsweise ein Widerstand-reduzierendes Metall und ein Kontaktplug-Metall oder nur einen Kontaktplug umfassen. Beispielhafte Kontaktwiderstand-reduzierende Metalle umfassen z.B. Nickel, Aluminium, Titan, Gold, Gold-Germanium, Nickel-Platin, Nickel-Aluminium und/oder andere derartige Widerstand-reduzierende Metalle oder Legierungen. Beispielhafte Kontaktplug-Metalle umfassen z.B. Aluminium, Kupfer, Nickel, Platin, Titan oder Wolfram oder deren Legierungen, obwohl irgendein geeignet leitfähiges Kontaktmetall oder irgendeine geeignet leitfähige Legierung verwendet werden kann. Bei einigen Ausführungsbeispielen können in der ersten Kontaktstruktur 104 und der zweiten Kontaktstruktur 106 zusätzliche Schichten vorhanden sein, wie z.B. Adhäsionsschichten (z.B. Titannitrid) und/oder Liner- oder Barriereschichten (z.B. Tantalnitrid), falls gewünscht. Bei einigen Ausführungsbeispielen kann eine Kontaktwiderstand-reduzierende Schicht zwischen einer bestimmten S/D-Region 102 und ihrer entsprechenden einen von einer ersten Kontaktstruktur 104 oder einer zweiten Kontaktstruktur 106 vorhanden sein, wie z.B. eine relativ hoch dotierte (z.B. mit Dotierstoffkonzentrationen von mehr als 1E18, 1E19, 1E20, 1E21 oder 1E22 Atomen pro Kubikzentimeter) dazwischenliegende Halbleitermaterialschicht. Bei einigen dieser Ausführungsbeispiele kann die Kontaktwiderstand-reduzierende Schicht z.B. Halbleitermaterial und/oder Verunreinigungsdotierstoffe basierend auf dem umfassten Material und/oder der Dotierstoffkonzentration der entsprechenden S/D-Region 102 umfassen. Die Komponenten der Kontaktstrukturen (sowie andere Metallschichten, die zum Herstellen eines elektrischen Kontakts verwendet werden) können unter anderem unter Verwendung von Sputtern, physikalischer Gasphasenabscheidung (PVD), plasmaunterstützter chemischer Gasphasenabscheidung, chemischer Gasphasenabscheidung (CVD), Atomschichtabscheidung (ALD), MOCVD und MBE gebildet werden.
  • Bei einigen Ausführungsbeispielen, wie in 1a gezeigt, sind die Source-/Drain-Regionen 102 durch Isolationswandstrukturen 110 getrennt. Wie oben angegeben, können die Isolationswandstrukturen 110 ferner den ersten Isolator 110a, den zweiten Isolator 110b und den Leiter 110c umfassen. Bei einigen Ausführungsbeispielen können die Materialien, die zur Bildung des ersten Isolators 110a und des zweiten Isolators 110b der Isolationswandstrukturen 110 verwendet werden, ein Oxynitrid oder kohlenstoffdotiertes Oxynitrid, Siliziumoxid oder ein anderes geeignetes Material umfassen. Bei einigen Beispielen können die Materialien, die zur Bildung des ersten Isolators 110a und des zweiten Isolators 110b der Isolationswandstrukturen 110 verwendet werden, irgendwelche Materialien umfassen, die zur Bildung von Zwischenschicht-Dielektrikums-Schichten (nicht abgebildet) oder flachen Grabenisolations-Schichten (nicht abgebildet) verwendet werden. Es wird darauf hingewiesen, dass die Isolationswandstrukturen 110 in unterschiedlichen Konfigurationen auf einem einzelnen Die vorhanden sein können, wobei einige Isolationswandstrukturen 110 einen Leiter 110c wie oben beschrieben umfassen, während andere Isolationswandstrukturen einen Isolator anstelle eines Leiters umfassen.
  • Bei einigen Beispielen können der erste Isolator 110a und der zweite Isolator 110b eine einzige Struktur sein, die in ihrer Zusammensetzung gleichmäßig ist und den Leiter 110c konform beschichtet. Bei einigen Beispielen kann der erste Isolator 110a einen oberen Abschnitt (z.B. benachbart zu den Kontaktstrukturen 104/106) oder Seitenabschnitte (z.B. zweite Isolatoren 110b) haben, die in ihrer Zusammensetzung unterschiedlich voneinander sind und/oder unterschiedliche Dicken haben und/oder getrennt gebildet sein können. Bei einem Beispiel kann einer von einem oberen Abschnitt des ersten Isolators 110a oder der zweiten Isolatoren 110b ein High-k-Dielektrikums-Material (z.B. Hafniumoxid) oder ein High-k-Material mit einer Übergangsschicht (z.B. Hafniumoxid und Siliziumdioxid) oder ein anderes geeignetes Material umfassen, das eine gewünschte Ätzselektivität bei der Durchführung des winkligen Ätzens bereitstellt, wie angesichts dieser Offenbarung ersichtlich ist. Während sich viele der hierin beschriebenen Beispiele auf Strukturen konzentrieren, die individuelle Schichten des ersten Isolators 110a und des zweiten Isolators 110b umfassen, wird darauf hingewiesen, dass die Techniken und die Beschreibung hier gleichermaßen auf einen in der Zusammensetzung gleichmäßigen, einzigen ersten Isolator 110a anwendbar sind.
  • Die zweite Kontaktstruktur 106 umfasst im Gegensatz zur ersten Kontaktstruktur 104 einen winkligen lokalen Zwischenverbindungs-Abschnitt (in den Figuren durch ein gestricheltes Oval gekennzeichnet), der die entsprechende Source-/Drain-Region 102 mit einem benachbarten Leiter 110c verbindet. Wie erkennbar ist, ist der Leiter 110c auf der Bauelementebene (z.B. auf der gleichen Ebene wie die Source-/Drain-Regionen 102) und nicht oberhalb der Bauelementebene (z.B. auf einer Ebene oberhalb der Source-/Drain-Regionen 102, die auch Vias und/oder Metallleitungen umfasst). Auf diese Weise fungiert die zweite Kontaktstruktur 106 als eine „lokale Zwischenverbindung“ mit den oben genannten Vorteilen und Nutzen.
  • Der winklige lokale Zwischenverbindungs-Abschnitt der zweiten Kontaktstruktur 106 wird gebildet, indem vor Bildung der Kontaktstruktur 106 zunächst die Isolationswandstruktur 110 (und jegliche umgebenden Schichten) einem direktionalen Ätzen ausgesetzt werden. Dieses direktionale Ätzen wird parallel zum Gate (nicht abgebildet) in einer Region durchgeführt, die von (oder in der Nähe von) der Source- oder Drain-Region 102 ausgeht und sich zur Isolationswandstruktur 110 erstreckt. In einigen Fällen kann diese „Abwärts“-Ätzung auch gerichtet sein, um eine diagonale Komponente aufzuweisen, und somit die diagonale Vorderkante des ersten Isolators 110a und des zweiten Isolators 110b bilden (im Querschnittsprofil von 1a dargestellt). Das direktionale Ätzen legt einen Abschnitt des Leiters 110c frei und ermöglicht somit lokale zwischen dem Leiter 110c und der zweiten Kontaktstruktur 106 auf der Bauelementebene.
  • Bei Beispielen, in denen ein diagonales oder winkliges Ätzen verwendet wird, umfassen die Techniken zur Durchführung dieses diagonalen oder winkligen direktionalen Ätzens selektives chemisches Ätzen, Ionenfräsen oder Implantations-Sputtern, oder Trocken-/Plasma-Ätzen, sind aber nicht darauf beschränkt. Bei einem beispielhaften Ausführungsbeispiel wird eine photolithographische Maske strukturiert, um den zu ätzenden Abschnitt der Isolationswandstruktur (oder einer anderen Struktur) freizulegen. Bei einigen Beispielen kann der Sputter-Implantationsstrahl über die gesamte Oberfläche eines Substrats gerastert werden oder das direktional aufgebrachte Ätzmittel kann über eine gesamte Oberfläche des Substrats aufgebracht werden, um Abschnitte von Strukturen zu ätzen oder zu sputtern, die nicht durch eine strukturierte Maske abgedeckt sind. Bei anderen Beispielen wird das Ätzmittel gleichmäßig und gleichzeitig auf die gesamte Oberfläche eines Substrats aufgebracht, um Abschnitte von Strukturen zu ätzen, die nicht durch eine strukturierte Maske abgedeckt sind. Eine Ionenquelle erzeugt einen Ionenstrahl, der in einem Einfallswinkel A innerhalb irgendeines der folgenden Bereiche gerichtet ist und gemäß dem in der Figur angegebenen Schema gemessen wird: von 15° bis 75°; von 25° bis 65°; von 30° bis 60°; von 40° bis 50°. Es wird darauf hingewiesen, dass ähnliche, auf analoge Weise gemessene Einfallswinkel auf irgendeines der folgenden Beispiele angewandt werden können. Ein Magnetfeld kann verwendet werden, um irgendwelche geladenen Partikel und/oder Ladungsträger, die als Teil dieses Prozesses erzeugt werden, zu fokussieren. Der Strahl-Strom und die -Spannung können unabhängig gesteuert werden, um die gewünschte Ionenenergie (ausgedrückt in Elektronenvolt) und/oder die Strahlstromdichte (ausgedrückt in Ampere/cm2) zu erhalten. Irgendeine geeignete Ionenenergie und Strahlstromdichte kann unter Berücksichtigung der bei der Herstellung verwendeten Materialien verwendet werden. Zum Beispiel können in einem Sputterstrahl gemäß einigen bestimmten Ausführungsbeispielen e15/cm2 bis e17/cm2 Dosen verwendet werden, um den oben angegebenen Abschnitt des ersten Isolators 110a und/oder des zweiten Isolators 110b zu entfernen, der durch die Maske freiliegend bleibt. Es können auch andere Techniken verwendet werden, um Material wie oben beschrieben zu entfernen, um den winkligen lokalen Zwischenverbindungs-Abschnitt zu erzeugen. Beispielsweise können beschleunigte Ionen die Oberfläche des ersten Isolators 110a bombardieren, die durch eine entsprechend strukturierte photolithographische Maske freiliegend gelassen wurde, und durch diesen Beschuss Atome und/oder Moleküle des ersten Isolators 110a entfernen, bis der Leiter 110c freigelegt ist. Bei irgendeiner dieser Beispieltechniken kann die Rate und das Ausmaß, in dem Material entfernt wird, über die Beschleunigungsspannung und die Durchflussrate eines Gases, das zur Bildung von Ionen verwendet wird (auch als Strom gemessen), genau gesteuert werden. Diese Faktoren steuern auch das Moment der auftreffenden ionisierten Partikel, das die Selektivität der entfernten Spezies steuert. Bei einigen Ausführungsbeispielen kann der winklige Ätzprozess auch einen Abschnitt der Leiterregion 110c entfernen.
  • Verschiedene andere Techniken können auch in Kombination mit den oben beschriebenen subtraktiven Techniken verwendet werden, um den Winkel zu steuern, der beim Ionenfräsen, Sputtern, Trockenätzen (oder einer anderen Technik) während der Entfernung eines Abschnitts des ersten Isolators 110a und/oder des zweiten Isolators 110b gebildet wird. Beispielsweise kann die integrierte Schaltungsstruktur, die geätzt wird, auf einer Drehtischanordnung befestigt werden, um eine Rotationsachsensteuerung zu ermöglichen, die verwendet werden kann, um einen Einfallswinkel eines Ionenstrahls teilweise zu steuern. Alternativ können ein oder mehrere artikulierbare Spiegel eingesetzt werden, um den gewünschten Einfallswinkel eines Ätzmittels (z.B. eines Ionenstrahls) zu erreichen.
  • Während der Winkel des in 1a (und anderen Figuren) gezeigten winkligen Abschnitts 45° ist, wird darauf hingewiesen, dass der gebildete Winkel irgendein geeigneter Winkel im Bereich von 10° bis 80° oder von 15° bis 75° sein kann. Der Wert des gewählten Winkels kann durch Faktoren beeinflusst werden, umfassend, aber nicht beschränkt sind auf einen Winkel, der verwendet wird, um einen gewünschten Bereich des Leiters 110c freizulegen, der ausreichend ist, um die gewünschten elektrischen Charakteristika (z. B. Widerstand, Signalintegrität) bereitzustellen. Die winklige Seitenwand kann sowohl in vertikaler als auch in horizontaler Richtung sein und Abmessungen innerhalb irgendeines der folgenden Bereiche aufweisen: von 0,5 nm bis 1 nm; von 0,75 nm bis 1,5 nm; von 1 nm bis 3 nm; größer als 2 nm.
  • Sobald der Leiter 110c freigelegt ist, kann die Abscheidung des Materials, das zur Bildung der Kontaktstruktur 106 verwendet wird, unter Verwendung irgendeiner der oben beschriebenen Techniken und Materialien durchgeführt werden. Das Material der Kontaktstruktur 106 wird in der Region abgeschieden, die zuvor durch einen oder mehrere von dem ersten Isolator 110a und dem zweiten Isolator 110b belegt war, die durch das direktionale Ätzen entfernt wurden. Dies bildet den in 1a angezeigten lokalen Zwischenverbindungs-Abschnitt und stellt einen lokalen elektrischen Kontakt zwischen der Kontaktstruktur 106 und dem Leiter 110c her.
  • 1b stellt eine Querschnittansicht einer Struktur einer integrierten Schaltung (IC) 108 dar, genommen durch die Source-/Drain-Regionen und parallel zu einer Gate-Struktur. Viele der in der IC-Struktur 108 vorhandenen Elemente sind oben im Kontext mit der IC-Struktur 100 beschrieben worden und bedürfen keiner weiteren Erläuterung.
  • Zum Teil wird 1b bereitgestellt, um darzustellen, dass der winklige lokale Zwischenverbindungs-Abschnitt in irgendeiner Orientierung relativ zu umgebenden Strukturen (z.B. relativ zur ersten Kontaktstruktur 104, zweiten Kontaktstruktur 106 und Isolationswandstruktur 110) sein kann. Wie gezeigt, ist 1b auf einer Seite der zweiten Kontaktstruktur 106 gegenüber der in 1a dargestellten Seite. Ferner umfasst die IC-Struktur 108 eine zweite Kontaktstruktur 106, die dünner ist (z.B. gemessen von einer nahen Oberfläche der Source/Drain-Region 102) relativ zu dem in 1a gezeigten beispielhaften zweiten Kontakt. Diese dünnere zweite Kontaktstruktur 106 kann eine Schicht darauf unterbringen, wie z.B. den dritten Isolator 124, wie in 1b gezeigt ist.
  • 1c stellt einen Draufsicht-Querschnitt der beispielhaften IC 100 von der in 1a angezeigten Perspektive dar. 1c stellt die Verbindung zwischen zweiten Kontakten 106 und dem Leiter 110c dar, um eine lokale Zwischenverbindung von Source/Drain-Regionen zu benachbarten leitfähigen Strukturen bereitzustellen, ohne sich über die Bauelementebene hinaus zu erstrecken, wie vorangehend beschrieben. Wie ersichtlich ist, erstreckt sich ein oberer Abschnitt der Kontaktstruktur 106 über einen äußeren Umfang des Gate-Abstandhalters 112a und der Gate-Elektrode 112c hinaus und erstreckt sich über einen äußeren Umfang der Isolationswandstruktur 110. In Bezug auf 1a, 1b wird darauf hingewiesen, dass die laterale Erstreckung der zweiten Kontaktstruktur 106 in die Isolationswandstruktur 110 zu einem Kontakt zwischen dem winkligen lokalen Zwischenverbindungs-Abschnitt der zweiten Kontaktstruktur 106 und dem Leiter 110c innerhalb der Isolationswandstruktur 110 führt (wie vorangehend beschrieben).
  • 2a stellt eine Querschnittansicht, genommen durch den Kanal und senkrecht zu dem Gate, einer Struktur einer integrierten Schaltung (IC) 200 dar. Die beispielhafte IC-Struktur 200 umfasst einen Halbleiterkörper (z.B. eine Finne, einen Nanodraht, ein Nanoband) 201, Source- und Drain-Regionen 202, eine erste Kontaktstruktur 204, eine zweite Kontaktstruktur 206, und eine Gate-Struktur 212, die einen Gate-Abstandhalter 212a, ein Gate-Dielektrikum 212b, eine Gate-Elektrode 212c und ein Gate-Kontaktmetall 212d umfasst. Die Zusammensetzungen und Techniken, die zur Bildung dieser verschiedenen Elemente der IC-Struktur 200 verwendet werden, wurden oben beschrieben.
  • Die beispielhafte IC-Struktur 200 wird bereitgestellt, um darzustellen, dass ein winkliger lokaler Zwischenverbindungs-Abschnitt unterschiedlichen leitfähigen Strukturen zugeordnet sein kann und eine breite Vielzahl von Komponenten eines Halbleiterbauelements auf Bauelementebene verbinden kann. Die beispielhafte IC-Struktur 200 umfasst einen winkligen lokalen Zwischenverbindungs-Abschnitt als eine Komponente der zweiten Kontaktstruktur 206, der eine lokale Verbindung zwischen, in diesem Beispiel, der Drain-Region 202 und dem Gate-Kontaktmetall 212d bereitstellt. Wie bei den vorhergehenden Beispielen verbindet der winklige lokale Zwischenverbindungs-Abschnitt diese Elemente der IC-Struktur 200 auf der Bauelementschicht und nicht oberhalb der Bauelementschicht.
  • Im Gegensatz zu den oben beschriebenen Beispielen, die einen winkligen lokalen Zwischenverbindungs-Abschnitt zwischen einer Source-/Drain-Region-Kontaktstruktur 106 und einem Leiter 110c innerhalb einer Isolationswandstruktur 110 umfassen, wird die beispielhafte IC-Struktur 200 gezeigt, die einen Metallverbinder 206b über der Kontaktmetallschicht 206a aufweist, der die Drain-Region 202 und das Gate-Kontaktmetall 212d über den winkligen lokalen Zwischenverbindungs-Abschnitt verbindet. Anders ausgedrückt, wird der winklige lokale Zwischenverbindungs-Abschnitt des Metallverbinders 206b durch Entfernen eines Abschnitts des Gate-Abstandhalters 212a und des Gate-Dielektrikums 212b gebildet, um das Gate-Kontaktmetall 212d freizulegen, bevor der Metallverbinder 206b gebildet wird. Bei dieser Konfiguration verläuft ein winkliger lokaler Zwischenverbindungs-Abschnitt über die Abschnitte des Gate-Abstandhalters 212a und des Gate-Dielektrikums 212b, die nach Aufbringen des winkligen Ätzens verbleiben.
  • 2b ist ein Schaltungsdiagramm 222 der beispielhaften IC-Struktur gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung. Wie gezeigt, ist die Drain-Region 202 eines der Transistorbauelemente mit der Gate-Struktur 212 unter Verwendung einer internen winkligen lokalen Zwischenverbindung des Metallverbinders 206b verbunden, gemäß einem Ausführungsbeispiel der vorliegenden Offenbarung.
  • 2c stellt eine Querschnittansicht, genommen durch den Kanal und senkrecht zu dem Gate, einer Struktur einer integrierten Schaltung (IC) 207 dar. Die beispielhafte IC-Struktur 207 umfasst einen Halbleiterkörper (z.B. eine Finne, einen Nanodraht, ein Nanoband) 201, Source- und Drain-Regionen 202, eine erste Kontaktstruktur 204, eine zweite Kontaktstruktur 206, und eine Gate-Struktur 212, die einen Gate-Abstandhalter 212a, ein Gate-Dielektrikum 212b, eine Gate-Elektrode 212c und ein Gate-Kontaktmetall 212d umfasst. Die Zusammensetzungen und Techniken, die zur Bildung dieser verschiedenen Elemente der IC-Struktur 207 verwendet werden, wurden oben beschrieben.
  • Ganz ähnlich wie 2b wird 2c bereitgestellt, um die Diversität der Konfigurationen darzustellen, die beim Herstellen von Verbindungen zwischen benachbarten Strukturen auf der Bauelementebene unter Verwendung eines winkligen lokalen Zwischenverbindungs-Abschnitts geeignet sind. Bei der beispielhaften IC-Struktur 207 ist ein lokaler winkliger Zwischenverbindungs-Abschnitt einer Metallverbinderschicht 206b über dem Kontaktmetall 206a zugeordnet (und in einigen Fällen integral mit dieser), zugeordnet zu der Source-Region 202. Dieser lokale winklige Zwischenverbindungs-Abschnitt stellt einen Kontakt mit dem Gate-Kontaktmetall 212d über dem Halbleiterkörper 201 her.
  • 2d wird auch bereitgestellt, um die Diversität der Konfigurationen darzustellen, die bei verschiedenen Ausführungsbeispielen der vorliegenden Offenbarung möglich sind. Bei der beispielhaften IC-Struktur 211 ist ein lokaler winkliger Zwischenverbindungs-Abschnitt einer Gate-Kontaktmetallschicht 226d über der Gate-Elektrode 226c zugeordnet (und in einigen Fällen integral mit dieser). Dieser lokale winklige Zwischenverbindungs-Abschnitt stellt einen Kontakt sowohl mit dem Kontaktmetall 204a als auch mit dem Metallverbinder 204b über der Drain-Region 202 her. Ferner ist ähnlich zur in 1b gezeigten Konfiguration das Gate-Kontaktmetall 226d zwischen einer Gate-Isolatorschicht 226e und einer Gate-Elektrodenschicht 226c angeordnet.
  • 2e ist noch eine weitere Ausführungsbeispiel-Darstellung der Variation bei Konfigurationen, die bei verschiedenen Ausführungsbeispielen der vorliegenden Offenbarung möglich sind. Wie gezeigt, ist der winklige lokale Zwischenverbindungs-Abschnitt der zweiten Kontaktstruktur 206, genauer gesagt dem Kontaktmetall 206a, zugeordnet und erstreckt sich im Bezugsrahmen der Figur nach links, um die Gate-Elektrode 212c zu kontaktieren. Auf diese Weise erstreckt sich der winklige lokale Zwischenverbindungs-Abschnitt über einen verbleibenden Abschnitt eines Gate-Abstandhalters 212a und einer Gate-Dielektrikum-Schicht 212b.
  • 2f stellt einen Draufsicht-Querschnitt der beispielhaften IC 213 von der in 2e angezeigten Perspektive dar. 2f stellt die Verbindung zwischen zweiten Kontaktstrukturen 206 und Gate-Strukturen 212, 226c dar, um eine lokale Zwischenverbindung von Drain-Regionen (und/oder optional Source-Regionen) zu benachbarten Transistoren bereitzustellen, ohne sich über die Bauelementebene hinaus zu erstrecken, wie vorangehend beschrieben. Wie ersichtlich ist, erstreckt sich ein oberer Abschnitt der Kontaktstrukturen 206 eine Distanz d (ebenfalls in 2e angegeben) über einen äußeren Umfang einer Drain-Region 202 (in 2e gezeigt) hinaus, um sich über Gate-Abstandshalter 212a und Gate-Dielektrikum 212b zu erstrecken. Es wird darauf hingewiesen, dass diese Konfiguration zu einem Kontakt zwischen dem winkligen lokalen Zwischenverbindungs-Abschnitt der zweiten Kontaktstruktur 206 und der Gate-Elektrode 212c führt, die zuvor durch eine Ätzung (wie oben beschrieben) freigelegt wurde. Eine Gate-Struktur 226 ist in dieser Ansicht ebenfalls dargestellt, wie einschließlich eines winkligen lokalen Zwischenverbindungs-Abschnitts, der mit einer benachbarten Kontaktstruktur 204 verbunden ist. Isolationswandstrukturen 210 sind auch in dieser Ansicht dargestellt.
  • 3 stellt einen Draufsicht-Querschnitt einer beispielhaften IC 300 dar, die mehrfache winklige Zwischenverbindungs-Abschnitte umfasst, die Verbindungen zwischen unterschiedlichen Arten von leitfähigen Strukturen innerhalb der beispielhaften IC 300 einrichten. Bei diesem Beispiel sind die eingerichteten Zwischenverbindungen auf Bauelementebene zwischen Source/Drain und Gate sowie zwischen Source/Drain/Gate und einem Leiter innerhalb einer Isolationswand. Wie gezeigt, umfasst die Gate-Struktur 326 (die Gate-Abstandhalter 326a umfassen kann) zwei winklige lokale Zwischenverbindungs-Abschnitte - einen, der der Gate-Struktur 326 selbst entspricht und eine Verbindung zum Leiter 310 innerhalb der Isolationswandstruktur 310 einrichtet, und einen anderen, der der Kontaktstruktur 306 entspricht und einen Kontakt zwischen der Gate-Struktur 326 und einer Source-/Drain-Region 306 einrichtet.
  • Methodik
  • 4a-5d stellen verschiedene beispielhafte Strukturen dar, wie sie gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung schrittweise hergestellt werden. Bezugnehmend nun auf 4a und 4b ist eine IC-Struktur 400 dargestellt, die ähnlich zu den in 1a undlb gezeigten ist. In dieser Stufe der Herstellung umfasst die IC-Struktur 400 die Source-/Drain-Region 402 und die Isolationswandstrukturen 410, die die Isolatorschichten 410a, 410b und den Leiter 410c umfassen. Diese Elemente wurden oben im Kontext mit anderen Figuren beschrieben.
  • Wie in dieser Figur gezeigt und wie oben beschrieben, wird die IC-Struktur 400 irgendeiner Form eines winkligen, direktionalen Ätzprozesses ausgesetzt, sei es durch Sputtern, Ionenfräsen, Plasma-/Trocken-Ätzen oder nasschemisches Ätzen. Bei dem gezeigten Beispiel ist der winklige, direktionale Ätzprozess der eines Strahls, der irgendeinem von Sputtern, Ionenfräsen oder Plasma-/Trocken-Ätzen zugeordnet ist. Dieses direktionale Ätzen entfernt Abschnitte der Source-/Drain-Region 402 und einen Abschnitt einer Seitenwand der Isolationswandstruktur 410.
  • 4b stellt die Entfernung eines Abschnitts der Isolationswandstruktur 410, genauer gesagt von Abschnitten des Isolators 410a und des Isolators 410b dar, um einen entsprechenden Abschnitt des Leiters 410c freizulegen. Wie oben beschrieben, kann ein Winkel A (dargestellt in 4b) innerhalb irgendeinem der folgenden Bereiche sein: von 30° bis 60°; von 30° bis 50°; von 40° bis 50°; von 45° bis 60°. Auch in 4b angezeigt sind die Dicken der Isolatorschichten 410b (Dicke W1) und 410c (Dicke W2), sowie die Seitenwand-Dicke des Isolators 410a, die ein ungefährer Wert der individuellen Schichten 410b und 410c ist (Dicke W3). Die Dicke W1 kann innerhalb irgendeinem der folgenden Bereiche sein: von 0,5 nm bis 1 nm; von 0,75 nm bis 1,5 nm; von 1 nm bis 3 nm; größer als 2 nm. Die Dicke W2 kann innerhalb irgendeinem der folgenden Bereiche sein: von 0,5 nm bis 1 nm; von 0,75 nm bis 1,5 nm; von 1 nm bis 3 nm; größer als 2 nm. Die Dicke W3 kann innerhalb irgendeinem der folgenden Bereiche sein: von 1,5 nm bis 3 nm; von 0,75 nm bis 5 nm; von 1 nm bis 5 nm; größer als 3 nm.
  • 4c stellt die beispielhafte IC-Struktur 400 nach Bildung der Kontaktstruktur 406 dar. Wie oben beschrieben, bildet die Bildung der Kontaktstruktur 406 gleichzeitig den winkligen lokalen Zwischenverbindungs-Abschnitt der Kontaktstruktur 406, der den Leiter 410c in elektrischen Kontakt mit der Kontaktstruktur 406 und somit der Source-/Drain-Region 402 bringt.
  • 5a und 5b veranschaulichen eine Situation, die der in 4a dargestellten ähnlich ist, mit dem grundlegenden Unterschied, dass eine unterschiedliche Struktur innerhalb eines beispielhaften IC-Bauelements 500 dem Ätzmittel ausgesetzt wird. Bezugnehmend nun auf 5a umfasst das beispielhafte IC-Bauelement 500 eine Source-/Drain-Region 502 zwischen Halbleiterkörpern 506. Über der Source-/Drain-Region 502 ist ein Metallkontakt 504a. Über den Halbleiterkörpern 506 sind entsprechende Gate-Strukturen 512, wobei letztere die Gate-Elektrode 512c, ein Gate-Kontaktmetall 512d und den Gate-Isolator 512e umfassen.
  • Analog zu der Beschreibung der 4a und 4b wird eine Seitenwand der Gate-Struktur 512, genauer gesagt eine Seitenwand, die einen Abschnitt des Gate-Abstandhalters 512a und der Gate-Dielektrikum-Schicht 512b umfasst, einem direktionalen Ätzen ausgesetzt. 5b veranschaulicht die Entfernung eines Abschnitts der Gate-Struktur 512, genauer gesagt von Abschnitten des Gate-Abstandhalters 512a und des Gate-Dielektrikums 512b, um Abschnitte des Gate-Kontaktmetalls 512d und des Gate-Isolators 512e freizulegen. Wie bei irgendeinem der vorhergehenden Beispiele wird eine Maske verwendet, um Strukturen zu schützen, die nicht geätzt werden sollen. Die Maske wird in den Figuren aus Gründen der Klarheit der Darstellung weggelassen, aber es wird darauf hingewiesen, dass irgendeine Schutzmaske die dargestellten Strukturen abdeckt, abgesehen von denen, die geätzt werden sollen. Der Ätzmittelstrahl kann in einem Einfallswinkel A (gezeigt in 5b) bereitgestellt werden und kann innerhalb irgendeinem der folgenden Bereiche sein: von 30° bis 60°; von 30° bis 50°; von 40° bis 50°; von 45° bis 60°. Auch in 5b angezeigt sind die Dicken des Gate-Dielektrikums 512b (Dicke W1), des Gate-Abstandhalters 512a (Dicke W3), sowie die Seitenwand-Dicke dieser beiden zusammen (Dicke W4). In einigen Fällen kann nach dem Ätzen ein Überrest-Abschnitt der Gate-Struktur 512 - Seitenwandisolierschichten vorhanden sein. Dieser „Überrest-Abschnitt“ (oder einfach „Überrest“) hat eine Dicke, die durch W3 angegeben ist. Es wird darauf hingewiesen, dass bei einigen Beispielen der Überrest-Abschnitt aufgrund einer natürlichen Prozessvariation, einer Abweichung in den Verarbeitungsbedingungen (z.B. eine Variation in der Zusammensetzung der zu entfernenden Materialien, ein Maskenfehler, eine Schwankung in der Ätzleistung) oder einer Neubildung von zuvor geätztem Material vorhanden sein kann. Unabhängig von dem Mechanismus, durch den der Überrest-Abschnitt gebildet wird, bedeutet seine Bildung, dass nach Bildung der winkligen lokalen Zwischenverbindung Abschnitte des Isolators sowohl oberhalb als auch unterhalb des winkligen lokalen Zwischenverbindungs-Abschnitts sind.
  • Die Dicke W1 kann innerhalb irgendeinem der folgenden Bereiche sein: von 0,5 nm bis 1 nm; von 0,75 nm bis 1,5 nm; von 1 nm bis 3 nm; größer als 2 nm. Die Dicke W2 kann innerhalb irgendeinem der folgenden Bereiche sein: von 0,5 nm bis 1 nm; von 0,75 nm bis 1,5 nm; von 1 nm bis 3 nm; größer als 2 nm. Die Dicke W3 kann innerhalb irgendeinem der folgenden Bereiche sein: von 0,5 nm bis 1 nm; von 0,75 nm bis 1,5 nm; von 1 nm bis 3 nm; größer als 2 nm. Die Dicke W4 kann innerhalb irgendeinem der folgenden Bereiche sein: von 1,5 nm bis 3 nm; von 0,75 nm bis 5 nm; von 1 nm bis 5 nm; größer als 3 nm.
  • 5c stellt die Abscheidung eines Metallverbinders 506b dar, der einen winkligen lokalen Zwischenverbindungs-Abschnitt aufweist, der einen Kontakt zwischen dem Kontaktmetall 506a und dem Gate-Metallkontakt 512d herstellt. Der winklige lokale Zwischenverbindungs-Abschnitt kann bei diesem Beispiel auch einen (direkten oder indirekten) physischen Kontakt mit dem Gate-Isolator 512e über dem Gate-Kontaktmetall 512d sowie einen (direkten oder indirekten) physischen Kontakt mit den oberen Oberflächen des Gate-Abstandhalters 512a und der Gate-Dielektrikum-Schicht 512b herstellen, die durch das Ätzmittel freigelegt wurden. Ferner ist zu beachten, dass der oben beschriebene Überrest in dieser Stufe der Verarbeitung vorhanden bleibt.
  • 5d stellt die beispielhafte IC-Struktur 500 dar, an der eine Isolatorschicht 524 über oder auf dem Metallverbinder 506b gebildet wird. Wie in der Isolatorschicht 524 gezeigt, entspricht die Form dem Raum über dem Metallverbinder 506B, der ein Anpassen an den Überrest-Abschnitt umfasst, der vom Gate-Isolator 512e hervorstehend ist.
  • 6 ist bei einem anderen Ausführungsbeispiel, das die oben beschriebenen winkligen lokalen Zwischenverbindungs-Abschnitte umfassen kann. Die beispielhafte IC 600 ist eine gestapelte integrierte Schaltung, bei der ein oberes Bauelement 620 über unteren Bauelementen 630 gestapelt ist, mit einer zwischen denselben angeordneten Isolatorregion 607. Die beispielhafte IC 600 veranschaulicht auch unterschiedliche Bauelementtypen, die von dem Einschluss eines winkligen lokalen Zwischenverbindungs-Abschnitts profitieren können. Insbesondere sind die unteren Bauelemente 630 als „Gate-Rundum-“ („gate all around-“) oder GAA-Bauelemente ausgebildet (die z.B. einen Halbleiterkörper aufweisen, der ein Nanoband oder Nanodraht ist und der von einer Gate-Elektrodenstruktur umgeben ist). Trotz dieser Konfiguration, die sich von den zuvor dargestellten Beispielen unterscheidet, können GAA-Bauelemente, neben anderen Typen und Konfigurationen von Halbleiterbauelementen, dennoch winklige lokale Zwischenverbindungs-Abschnitte umfassen, wie hierin beschrieben.
  • Die unteren Bauelemente 630 umfassen eine Source-Region 601, eine Drain-Region 602, Kontaktstrukturen 606, Nanodrähte 603a, 603b und 603c und Gate-Strukturen 612, die ferner Gate-Abstandhalter 612a, Gate-Dielektrikum-Schichten 612b und Gate-Elektroden 612c umfassen. Auf einer gegenüberliegenden Seite der Isolatorregion 607 (die aus irgendeinem der oben beschriebenen Materialien zur Verwendung als Isolatorschichten hergestellt werden kann) umfasst das obere Bauelement 620 eine Source-Region 621, eine Drain-Region 622, einen zwischen denselben angeordneten Halbleiterkörper 626, Source-/Drain-Kontaktstrukturen 626, und eine Gate-Struktur 632, die ferner einen Gate-Abstandhalter 632a, eine Gate-Dielektrikum-Schicht 632b und die Gate-Elektrode 632c umfasst.
  • Wie ersichtlich ist, umfassen die Kontaktstruktur 606 und das untere Bauelement einen winkligen lokalen Zwischenverbindungs-Abschnitt, der einen Kontakt zwischen der Kontaktstruktur 606 und der Gate-Elektrode 612 C herstellt, die den Nanodrähten 603a zugeordnet ist.
  • Ähnlich umfasst das obere Bauelement 620 einen winkligen lokalen Zwischenverbindungs-Abschnitt, der einen Kontakt zwischen der Kontaktstruktur 626 und der Gate-Elektrode herstellt.
  • Jogged-Via
  • Eine andere Technik und Architektur zur Zwischenverbindung innerhalb einer integrierten Schaltung ist die eines „Jogged-Via“, wobei Beispiele derselben in den 7a und 7b dargestellt sind. Es wird darauf hingewiesen, dass Ausführungsbeispiele, die ein Jogged-Via umfassen, verwendet werden können, um eine elektrische Verbindung innerhalb einer integrierten Schaltung herzustellen, in der traditionellere Zwischenverbindungsanordnungen eine Herausforderung darstellen könnten. Zum Beispiel kann, wie oben angedeutet, die Ausrichtung einer Zwischenverbindungsstruktur (z.B. zwischen gestapelten Vias, zwischen einem gestapelten Via und einer Metallleitung) unter bestimmten Umständen ein hohes Maß an Präzision - innerhalb nur weniger Nanometer - aufweisen, um eine elektrische Verbindung herzustellen. Die Verwendung eines Jogged-Via, wie es in 7a und 7b dargestellt ist, stellt elektrische Verbindungen auf gegenüberstehenden peripheren Oberflächen für Strukturen her, die nicht notwendigerweise koaxial oder konzentrisch sind. Eine Anschlussoberfläche der Jogged-Via-Struktur, die annähernd orthogonal (z. B. in einem Winkel von 45° bis 90°) zu der peripheren Oberfläche ist, kann mit einem Source-/Drain-Kontakt oder einer anderen leitfähigen Struktur in Kontakt sein oder sich anderweitig mit diesen verbinden. Bei einigen Beispielen können diese Strukturen „selbstausgerichtet“ sein (wodurch die Präzision verringert wird, die sonst erforderlich wäre, um das Via erfolgreich zu strukturieren). Dadurch wird das Risiko einer schlechten elektrischen Verbindung (und einer durch Elektromigration verursachten schlechten langfristigen Zuverlässigkeit) durch eine Fehlausrichtung verringert.
  • Es wird darauf hingewiesen, dass die in 7a und 7b gezeigten Beispiele, die in dem Kontext von 7a und 7b ausführlicher beschrieben werden, als von der „Rückseite“ des Bauelements verarbeitet gezeigt sind. Das heißt, eine Source-/Drain-Region (zusammen mit anderen Strukturen) wird auf einem Substrat gewachsen oder gebildet, das später entfernt wird. Es wird darauf hingewiesen, dass die im Folgenden beschriebenen Architekturen und Methoden für die „Vorderseiten“-Verarbeitung angepasst werden können.
  • Bezugnehmend nun auf 7a ist ein beispielhaftes Bauelement 700 dargestellt. Das beispielhafte Bauelement umfasst die Isolationswand 703, in der Isolationswand 707, die einen internen Leiter 707b innerhalb der Isolatorschichten 707a umfasst, eine Source-/Drain-Region 701, zwischen der Isolationswand 703 und der Isolationswand 707, einen Source-/Drain-Kontakt 705 auf der Source-/Drain-Region 701, Ätzstoppschichten (ESL; etch stop layers) 711, 713, Isolatorschicht 715 und leitfähige Abschnitte 717, und durchsetzt mit dielektrischem Material 719. Viele dieser Merkmale, die oben in den vorangegangenen Beschreibungen beschrieben wurden, sind ebenso auf das beispielhafte Bauelement 700 anwendbar. Darüber hinaus werden im Folgenden zusätzliche Beschreibungen für viele dieser Elemente in dem Kontext von 8a-8k vorgestellt, die eine beispielhafte Herstellungsmethodik darstellen.
  • Wie in 7a ersichtlich ist, ist eine periphere Oberfläche der Jogged-Via-Struktur 709 benachbart zu, und in elektrischem Kontakt mit einer gegenüberstehenden peripheren Oberfläche der Metallstruktur 717. Diese „Seite-an-Seite“-Konfiguration kann die Fähigkeit verbessern, elektrische Verbindungen zwischen kleinen Zwischenverbindungmerkmalen (z.B. einem Durchmesser oder einer charakteristischen Merkmalsgröße von weniger als 10 nm), eng beabstandeten Merkmalen (z.B. mit Mitte-zu-Mitte-Abständen von 10 nm oder weniger) herzustellen und/oder Strukturen in dicht besetzten Regionen einer IC auszurichten, die alle schwierig photolithographisch zu strukturieren sein können.
  • 7b stellt ein alternatives Ausführungsbeispiel eines Halbleiterbauelements 702 dar, das ein Jogged-Via umfasst. Das Bauelement 702 umfasst eine elektrische Verbindung zwischen einem Leiter 707b innerhalb einer Isolationswandstruktur 707 und eine Jogged-Via-Struktur 721. Wie bei dem Beispiel von 7a, wird eine elektrische Verbindung zwischen benachbarten peripheren Oberflächen, nicht koaxial oder konzentrisch ausgerichteten Strukturen hergestellt.
  • 8a-8k stellen verschiedenen Stufen einer Herstellungsmethodik des beispielhaften Bauelements 700 dar. Bezugnehmend nun auf 8a wird ein(e) epitaxiale(r) Source/Drain 701 auf einem Substrat (nicht gezeigt) gebildet. Die Bildung des epitaxialen Source/Drain 701 kann irgendeine der oben beschriebenen Techniken umfassen (z.B. epitaxiales Wachstum von „Austausch“-Source-/Drain-Schichten, Ätzen/Dotierung zur Erzeugung „nativer“ Source/Drains). Der epitaxiale Source-Drain 701 ist zwischen der ersten Isolationswand 703 und der zweiten Isolationswandstruktur 707 angeordnet, die derart gebildet ist, um eine Metallschicht 707b innerhalb der Isolatorschichten 707a zu umfassen. Diese Strukturen können unter Verwendung der oben beschriebenen lithographischen Strukturierungstechniken und Abscheidungstechniken gebildet werden. Ein Source-/Drain-Kontakt 705 wird wie oben beschrieben über dem epitaxialen Source/Drain 701 gebildet. Wie ebenfalls oben beschrieben, kann der Source-/Drain-Kontakt 705 aus einem leitfähigen Metall, einem Germanid, einem Silizid, Kombinationen davon hergestellt werden und kann eine oder mehrere Schichten umfassen. Auf dem Source-/Drain-Kontakt 705 wird dann eine Ätzstoppschicht 711 gebildet. Diese Ätzstoppschicht 711 kann aus einem Nitrid, einem Carbid oder einem anderen Material gebildet werden, das gegen subtraktive Herstellungsprozesse wie z.B. Nass- oder Trockenätzen beständig ist.
  • 8b stellt die Bildung von mehreren zusätzlichen Schichten über der in 8a dargestellten Architektur dar. Diese zusätzlichen Schichten umfassen die Bildung einer weiteren Ätzstoppschicht 713 über der freiliegenden Ätzstoppschicht 711, und über freiliegenden Oberflächen der Isolationswand 703 und der Isolationswand 707 (einschließlich der freiliegenden Oberflächen der Isolatorschichten 707a und des Leiters 707b). Eine Isolatorschicht 715 wird dann auf der Ätzstoppschicht 713 gebildet. Die Isolatorschicht 715 kann aus einem Nitrid, Silizid oder Oxid wie viele andere hierin beschriebene Isolatorschichten gebildet werden. Eine „Hartmaske“ 823 wird auf dem Isolator 715 geformt. Diese Hartmaske 823, die oft aus einer Oxid- oder Nitridzusammensetzung gebildet wird, stellt den darunterliegenden Schichten (in diesem Fall z.B. Isolatorschicht 715) während subtraktiver Herstellungsprozesse wie z.B. Trockenätzen einen zusätzlichen Schutz bereit.
  • 8c stellt die Bildung eines strukturierten „Backbone“ 825 auf der Hartmaske dar. Dieses Backbone 825 kann gebildet werden, indem eine Schicht amorphen Siliziums (z.B. durch Gasphasenabscheidung, Sputtern, eBeam (Elektronenstrahl) oder andere Abscheidungstechnik) auf die Hartmaske 823 abgeschieden wird und die Schicht strukturiert wird, um die Strukturen des Backbone 825 wie abgebildet zu erzeugen. Es wird darauf hingewiesen, dass das Backbone 825 ein Material ist, das aufgrund seiner Ätzselektivität relativ zu benachbarten Materialien ausgewählt wurde, das zur Verbesserung der Herstellung von Strukturen mit einem gewünschten Abstand verwendet werden kann.
  • 8d zeigt das Aufbringen einer Schicht eines Photoresist 806 über dem Backbone 825. Es wird darauf hingewiesen, dass das Photoresist 806 eine Schicht aus photoaktivem Material umfassen kann, das bei einigen Beispielen bei Aussetzung einer ausgewählten Wellenlänge der Strahlung entweder polymerisiert oder depolymerisiert. Ob das Photoresist zusammengesetzt ist, um ansprechend auf die Aussetzung der Strahlung zu polymerisieren oder depolymerisieren, hängt davon ab, ob das Photoresist ein „negatives“ Bild oder ein „positives“ Bild der Struktur (pattern) bilden soll, die durch die Aussetzung der Strahlung durch eine dazwischenliegende photolithographische Maske (oder „Retikel“) gebildet wird. In diesem Fall ist jede Art der Zusammensetzung geeignet, wenn sie mit einem entsprechenden Retikel gepaart ist.
  • Wie in 8e gezeigt, wird das Photoresist 806 in diesem Fall strukturiert, um einen Abschnitt der Hartmaske 823 und eines Abschnitts einer Komponente des Backbone 825 freizulegen. Die Hartmaske 823, der Isolator 715, die Ätzstoppschicht 713 und die Ätzstoppschicht 711 werden alle teilweise geätzt (wobei die Hartmaske 823 die Entfernung der verbleibenden Abschnitte dieser Schichten verhindert oder minimiert), um einen Abschnitt des Source-Drain-Kontakts 705 freizulegen. Diese Konfiguration ist in 8f gezeigt. Es wird darauf hingewiesen, dass unter einigen Umständen ein direktionales Ätzen (z.B. ein „Trocken“-Ätzen, das einen fokussierten Ionenstrahl oder andere beschleunigte Partikel umfasst) verwendet werden kann, um die Entfernung von nur den Abschnitten dieser Schichten zu ermöglichen, die mit einem Zwischenraum in dem in 8e gezeigten Photoresist 806 ausgerichtet sind. Es ist zu beachten, dass die verwendete Ätzung selektiv gegenüber dem Backbone ist, wodurch das Photoresist 806 bevorzugt schneller entfernt wird als das Material in den Backbone-Strukturen 825.
  • Wie in 8g gezeigt, werden eine oder mehrere Materialschichten in elektrischem Kontakt mit dem Source-/Drain-Kontakt 705 gebildet, wodurch ein erster Abschnitt der Jogged-Via-Struktur 709 gebildet wird. Bei einigen Beispielen kann eine Deckmaterialschicht auf den freiliegenden Oberflächen von 8f gebildet werden, die dann selektiv geätzt werden, um die in 8g gezeigte Jogged-Via-Struktur 709 herzustellen. Es wird darauf hingewiesen, dass die Bildung der Jogged-Via-Struktur 709 einen „selbstausrichtenden“ Aspekt hat insofern, als die umgebenden Schichten natürlicherweise eine Aufnahmeeinrichtung für das abgeschiedene Material bilden. Die Zusammensetzung der Jogged-Via-Struktur 709 kann irgendeine(s) der oben beschriebenen Materialien und Schichten zur Verwendung in Kontaktschichten umfassen (z.B. leitfähige Barriereschichten wie z.B. Nitride, Carbide und Kohlenstoffnitride, leitfähige Metalle wie z.B. Titan, Aluminium, Kupfer und leitfähige intermetallische Verbindungen wie z.B. Tantalnitrid und Titannitrid). Die Jogged-Via-Struktur 709 kann unter Verwendung von irgendeiner der oben beschriebenen Techniken gebildet werden, unter anderem umfassend Sputtern, chemische Gasphasenabscheidung.
  • Bezugnehmend nun auf 8h werden die verbleibenden Abschnitte der Schicht des Photoresist 806 entfernt und eine Isolatorschicht 829 (z.B. Aluminiumdioxid) wird über der Jogged-Via-Struktur 709 unter Verwendung irgendwelcher der Materialien und Techniken gebildet, die für die Bildung der Isolatorschichten oben beschrieben wurden. Die Isolatorschicht 829 kann mit Ätzselektivität zu amorphem Silizium gewählt werden. Wie in 8i gezeigt, können die verbleibenden Abschnitte des Backbone 825 unter Verwendung eines Ätzens entfernt werden, das selektiv gegenüber Polysilizium (oder dem für die Bildung des Backbone 825 verwendeten Material) ist, wodurch die entsprechenden Abschnitte des Isolators 715 freigelegt werden. Ferner wird ein Abschnitt der Hartmaske 823 entfernt, um einen Abschnitt des Isolators 715 in Kontakt mit der Jogged-Via-Struktur 709 freizulegen. Für den Fall, dass etwas von dem Isolator 715 entfernt wird, wird die Entfernung gestoppt, bevor die Jogged-Via-Struktur 709 freigelegt wird.
  • 8j zeigt die Bildung der photolithographischen Maske 831, die die freiliegenden Abschnitte des Isolators 829, einige Abschnitte des Isolators 715 und freiliegende periphere Oberflächen der Schicht 823 abdeckt. Was die obigen Beschreibungen der photolithographischen Masken betrifft, so kann die Maske 831 beispielsweise ein photoaktives Material oder „Hartmasken“-Material sein, das durch eine Ätz-Zusammensetzung entfernt werden kann, die vorzugsweise das Maskenmaterial entfernt.
  • Wie in 8k gezeigt, kann der freiliegende Abschnitt des Isolators 715 entfernt werden, um die Jogged-Via-Struktur 709 freizulegen. Die Maske 831 kann dann entfernt werden. Eine Schicht aus leitfähigem Material 717 kann dann in den Bereichen gebildet werden, die bei der Entfernung der Schichten, wie in dem Kontext von 8j beschrieben, frei bleiben. Damit ist die Bildung der Jogged-Via-Struktur 709 abgeschlossen, bei der eine periphere Oberfläche des Jogged-Via 709 in (direktem oder indirektem) Kontakt mit einer peripheren Oberfläche der Metallschicht 717 ist, die an dieser Stelle als eine Zwischenverbindung, sei es ein Via oder eine Metallleitung, betrachtet werden kann. Es ist zu beachten, wie oben angegeben, dass diese Strukturen nicht koaxial, kollinear oder konzentrisch ausgerichtet sind. Zusätzliche Schichten, die Halbleiterbauelementen zugeordnet sind (z.B. „Back-End-of-Line“-Zwischenverbindungsschichten, gestapelte Halbleiterbauelemente), können auf einer oder beiden Seiten des in 8k dargestellten Bauelements (z.B. auf einer oder beiden der freiliegenden Oberfläche(n) von dem Source/Drain 701 und/oder der Metallschicht 717) gebildet werden. Optional kann der Isolator 829 selektiv durch eine Ätzung entfernt und durch ein dielektrisches Material (z.B. ein Low-k-Dielektrikum) ersetzt werden.
  • Obwohl nicht gezeigt, wird darauf hingewiesen, dass der Zwischenverbindungs-Abschnitt der Metallschicht 717, der mit der Jogged-Via-Struktur 709 in Kontakt ist, mit anderen Zwischenverbindungsstrukturen verbunden sein kann. Ferner wird darauf hingewiesen, dass die Jogged-Via-Struktur 709 und die damit in Kontakt stehende Metallschicht 717 als eine Leitung verwendet werden kann, um Leistung an das Halbleiterbauelement mit dem Source/Drain 701 als eine Komponente zu liefern. Bei anderen Beispielen können diese Strukturen als ein Pfad für Signale verwendet werden. Obwohl nicht gezeigt, wird darauf hingewiesen, dass die Jogged-Via-Struktur nicht auf die gezeigten Beispiele beschränkt ist, sondern angepasst werden kann, um eine elektrische Verbindung mit „Back-End-of-Line“-Zwischenverbindungen (z.B. Metallleitungen und Vias), Gate-Elektrodenstrukturen, neben anderen leitfähigen Strukturen innerhalb von IC-Bauelementen herzustellen.
  • Beispielsystem
  • 9 stellt ein beispielhaftes Rechensystem dar, das mit einer oder mehreren der integrierten Schaltungsstrukturen implementiert ist, wie hierin offenbart, gemäß einigen Ausführungsbeispielen der vorliegenden Offenbarung. Wie ersichtlich ist, häust das Rechensystem 900 eine Hauptplatine 902. Die Hauptplatine 902 kann eine Anzahl von Komponenten umfassen, umfassend, aber nicht beschränkt auf einen Prozessor 904 und zumindest einen Kommunikationschip 906, von denen jeder physisch und elektrisch mit der Hauptplatine 902 gekoppelt oder anderweitig in diese integriert sein kann. Es wird darauf hingewiesen, dass die Hauptplatine 902 beispielsweise irgendeine gedruckte Schaltungsplatine sein kann, ob eine Hauptplatine, eine auf einer Hauptplatine befestigte Tochterplatine oder die einzige Platine des Systems 900 etc.
  • Abhängig von seinen Anwendungen kann das Rechensystem 900 eine oder mehrere andere Komponenten umfassen, die physisch und elektrisch mit der Hauptplatine 902 gekoppelt sein können oder nicht. Diese anderen Komponenten umfassen, sind aber nicht beschränkt auf einen flüchtigen Speicher (z.B. DRAM), einen nichtflüchtigen Speicher (z.B. ROM), einen Graphikprozessor, einen digitalen Signalprozessor, einen Krypto-Prozessor, einen Chipsatz, eine Antenne, eine Anzeige, eine Touchscreen-Anzeige, eine Touchscreen-Steuerung, eine Batterie, einen Audio-Codec, einen Video-Codec, einen Leistungsverstärker, ein GPS-Bauelement (GPS; global positioning system; globales Positionierungssystem), einen Kompass, ein Akzelerometer, ein Gyroskop, einen Lautsprecher, eine Kamera, und eine Massenspeichervorrichtung (wie beispielsweise Festplattenlaufwerk, CD (compact disk), DVD (digital versatile disk) und so weiter). Irgendwelche der Komponenten, die in dem Rechensystem 900 umfasst sind, können eine oder mehrere integrierte Schaltungsstrukturen oder -bauelemente umfassen, die gemäß einem Ausführungsbeispiel ausgebildet sind (z. B. um winklige lokale Zwischenverbindungs-Abschnitte und/oder Jogged-Vias zu umfassen, wie hierin verschiedenartig bereitgestellt). Bei einigen Ausführungsbeispielen können mehrere Funktionen in einen oder mehreren Chips integriert sein (z. B. wird darauf hingewiesen, dass der Kommunikationschip 906 ein Teil des Prozessors 904 sein kann oder anderweitig in diesen integriert sein kann).
  • Der Kommunikationschip 906 ermöglicht eine drahtlose Kommunikation für die Übertragung von Daten zu und von dem Rechensystem 900. Der Ausdruck „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Bauelemente, Systeme, Verfahren, Techniken, Kommunikationskanäle etc. zu beschreiben, die Daten durch die Verwendung modulierter, elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Ausdruck impliziert nicht, dass die zugeordneten Bauelemente nicht irgendwelche Drähte enthalten, obwohl sie dies bei einigen Ausführungsbeispielen möglicherweise nicht tun. Der Kommunikationschip kann irgendeine von einer Anzahl von drahtlosen Standards oder Protokollen implementieren, einschließlich aber nicht beschränkt auf Wi-Fi (IEEE 802.11-Familie), WiMAX (IEEE 802.16-Familie), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, und Ableitungen davon, sowie irgendwelche anderen drahtlosen Protokolle, die bezeichnet werden als 3G, 4G, 5G und darüber hinaus. Das Rechensystem 900 kann eine Mehrzahl von Kommunikationschips 906 umfassen. Zum Beispiel kann ein erster Kommunikationschip 906 zweckgebunden sein für drahtlose Kommunikation mit kürzerer Reichweite, wie beispielsweise Wi-Fi und Bluetooth, und ein zweiter Kommunikationschip 906 kann zweckgebunden sein für drahtlose Kommunikation mit größerer Reichweite, wie beispielsweise GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, und andere. Bei einigen Ausführungsbeispielen kann ein Kommunikationschip 906 eine oder mehrere Transistorstrukturen umfassen, umfassend einen Gate-Stapel, eine Zugriffsregion-Polarisationsschicht, wie hierin verschiedenartig beschrieben.
  • Der Prozessor 904 des Rechensystems 900 umfasst einen integrierten Schaltungs-Die, der innerhalb des Prozessors 904 gehäust ist. Bei einigen Ausführungsbeispielen umfasst der integrierte Schaltungs-Die des Prozessors eine Onboard-Schaltungsanordnung, die mit einer oder mehreren integrierten Schaltungsstrukturen oder -bauelementen implementiert ist, wie hierin verschiedenartig beschrieben ist. Der Ausdruck „Prozessor“ kann sich auf irgendein Bauelement oder irgendeinen Abschnitt eines Bauelements beziehen, das/der zum Beispiel elektronische Daten aus Registern und/oder Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder Speicher gespeichert werden können.
  • Der Kommunikationschip 906 kann auch einen integrierten Schaltungs-Die umfassen, der innerhalb des Kommunikationschips 906 gehäust ist. Gemäß einigen solchen Ausführungsbeispielen umfasst der integrierte Schaltungs-Die des Kommunikationschips eine oder mehrere integrierte Schaltungsstrukturen oder -bauelemente, wie hierin verschiedenartig beschrieben ist. Angesichts dieser Offenbarung wird darauf hingewiesen, dass eine Multi-Standard-Drahtlosfähigkeit direkt in den Prozessor 904 integriert sein kann (z. B. wo die Funktionalität von irgendwelchen Chips 906 in den Prozessor 904 integriert ist, anstatt separate Kommunikationschips zu umfassen). Ferner wird darauf hingewiesen, dass der Prozessor 904 ein Chipsatz sein kann, der eine solche Drahtlosfähigkeit aufweist. Kurz gesagt, kann irgendeine Anzahl von Prozessoren 904 und/oder Kommunikationschips 906 verwendet werden. Auf ähnliche Weise kann irgendein Chip oder Chipsatz mehrere darin integrierte Funktionen aufweisen.
  • Bei verschiedenen Implementierungen kann das Rechensystem 900 ein Laptop, ein Netbook, ein Notebook, ein Smartphone, ein Tablet, ein PDA (persönlicher digitaler Assistent), ein ultramobiler PC, ein Mobiltelefon, ein Desktop-Computer, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungs-Steuereinheit (entertainment control unit), eine Digitalkamera, ein tragbarer Musikspieler, ein digitaler Videorecorder oder irgendein anderes elektronisches Bauelement sein, das Daten verarbeitet oder eine oder mehrere integrierte Schaltungsstrukturen oder -bauelemente einsetzt, die unter Verwendung der offenbarten Techniken gebildet sind, wie hierin verschiedenartig beschrieben.
  • Weitere Ausführungsbeispiele
  • Die folgenden Beispiele beziehen sich auf weitere Ausführungsbeispiele, aus denen zahlreiche Permutationen und Konfigurationen offensichtlich werden.
  • Beispiel 1 ist eine integrierte Schaltungsstruktur, umfassend: eine Source-Region und eine Drain-Region; eine erste leitfähige Struktur über der Source-Region und eine zweite leitfähige Struktur über der Drain-Region, wobei die erste leitfähige Struktur und die zweite leitfähige Struktur ein erstes leitfähiges Material umfassen; eine dritte leitfähige Struktur, die ein zweites leitfähiges Material umfasst; und eine dielektrische Struktur, die ein dielektrisches Material lateral zwischen der dritten leitfähigen Struktur und einer von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur umfasst, wobei sich ein Abschnitt der einen von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur lateral durch die dielektrische Struktur erstreckt und eine Seitenwand der dritten leitfähigen Struktur kontaktiert, wobei der Abschnitt 1 nm oder länger ist und eine Oberfläche in einem Winkel zwischen 15° und 75°, gemessen relativ zu einer vertikalen Ebene, aufweist.
  • Beispiel 2 umfasst den Gegenstand von Beispiel 1, wobei die erste leitfähige Struktur, die zweite leitfähige Struktur und die dritte leitfähige Struktur innerhalb einer Bauelementschicht der integrierten Schaltungsstruktur sind.
  • Beispiel 3 umfasst den Gegenstand von Beispiel 1 oder 2, wobei der Abschnitt über einem ersten Abschnitt der dielektrischen Struktur und unter einem zweiten Abschnitt der dielektrischen Struktur ist.
  • Beispiel 4 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei die eine von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur ein Plug ist, der ein Metall aufweist.
  • Beispiel 5 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei die eine von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur einen ersten Abschnitt und einen zweiten Abschnitt umfasst, wobei der erste Abschnitt ein erstes Metall umfasst und der zweite Abschnitt ein zweites Metall umfasst, das von dem ersten Metall unterschiedlich ist.
  • Beispiel 6 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei die dritte leitfähige Struktur ein Leiter ist, der zumindest teilweise innerhalb einer Isolationswandstruktur ist.
  • Beispiel 7 umfasst den Gegenstand von einem der Beispiele 1-5, wobei die dritte leitfähige Struktur eine Gate-Elektrode ist.
  • Beispiel 8 umfasst den Gegenstand von einem der Beispiele 1-5, wobei die dritte leitfähige Struktur ein Gate-Kontakt über einer Gate-Elektrode ist.
  • Beispiel 9 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei der Abschnitt eine Länge von 1 nm bis 10 nm hat.
  • Beispiel 10 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei die dielektrische Struktur eine oder beide von einer Gate-Dielektrikum-Schicht und einem Gate-Abstandhalter umfasst.
  • Beispiel 11 umfasst den Gegenstand von einem der Beispiele 1-9, wobei die dielektrische Struktur zumindest eine Schicht einer Isolationswandstruktur umfasst.
  • Beispiel 12 umfasst den Gegenstand von einem der vorangehenden Beispiele, ferner umfassend einen Halbleiterkörper zwischen der Source-Region und der Drain-Region, wobei der Halbleiterkörper unter zumindest einem Abschnitt der dritten leitfähigen Struktur ist.
  • Beispiel 13 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei der Halbleiterkörper eine Finne ist.
  • Beispiel 14 umfasst den Gegenstand gemäß einem der Beispiele 1-12, wobei der Halbleiterkörper ein oder mehrere Nanodrähte und/oder Nanobänder ist.
  • Beispiel 15 umfasst den Gegenstand von einem der vorangehenden Beispiele, wobei das erste leitfähige Material und das zweite leitfähige Material das gleiche Material sind.
  • Beispiel 16 ist ein integriertes Schaltungsbauelement, das die integrierte Schaltungsstruktur von einem der vorangehenden Beispiele umfasst.
  • Beispiel 17 ist eine gedruckte Schaltungsplatine, die die integrierte Schaltungsstruktur von einem der vorangehenden Beispiele umfasst.
  • Beispiel 18 ist ein elektronisches System, das die integrierte Schaltungsstruktur von einem der vorangehenden Beispiele umfasst.
  • Beispiel 19 ist eine integrierte Schaltungsstruktur umfassend: eine Source- oder Drain-Region; eine Gate-Struktur umfassend ein Gate-Dielektrikum, einen Gate-Abstandhalter, und ein oder mehrere Metalle; und eine Kontaktstruktur über der Source- oder Drain-Region, wobei sich ein Abschnitt der Gate-Struktur lateral durch eines oder beide von dem Gate-Dielektrikum und dem Gate-Abstandhalter erstreckt und eine Seitenwand der Kontaktstruktur kontaktiert, wobei der Abschnitt 1 nm oder länger ist und eine Oberfläche in einem Winkel zwischen 15° und 75°, gemessen relativ zu einer vertikalen Ebene, aufweist.
  • Beispiel 20 umfasst den Gegenstand von Beispiel 19, wobei die Kontaktstruktur und die Gate-Struktur innerhalb einer Bauelementschicht der integrierten Schaltungsstruktur sind.
  • Beispiel 21 umfasst den Gegenstand von einem der Beispiele 19 oder 20, wobei der Abschnitt über einem ersten Abschnitt umfassend eines oder beide von dem Gate-Dielektrikum und dem Gate-Abstandhalter und unter einem zweiten Abschnitt umfassend eines oder beide von dem Gate-Dielektrikum und dem Gate-Abstandhalter ist.
  • Beispiel 22 umfasst den Gegenstand von einem der Beispiele 19-21, wobei die Kontaktstruktur ein Plug ist, der ein Metall aufweist.
  • Beispiel 23 umfasst den Gegenstand von einem Beispiele 19-22, wobei die Kontaktstruktur einen ersten Abschnitt und einen zweiten Abschnitt umfasst, wobei der erste Abschnitt ein erstes Metall umfasst und der zweite Abschnitt ein zweites Metall umfasst, das von dem ersten Metall unterschiedlich ist.
  • Beispiel 24 umfasst den Gegenstand von einem der Beispiele 19-23, wobei der Abschnitt der Gate-Struktur, der sich lateral erstreckt, eine Gate-Elektrode ist.
  • Beispiel 25 umfasst den Gegenstand von einem der Beispiele 19-23, wobei der Abschnitt der Gate-Struktur, der sich lateral erstreckt, ein Gate-Kontakt über einer Gate-Elektrode ist.
  • Beispiel 26 umfasst den Gegenstand von einem Beispiele 19-25, wobei der Abschnitt eine Länge von 1 nm bis 10 nm hat.
  • Beispiel 27 umfasst den Gegenstand von einem der Beispiele 19-26, wobei sich der Abschnitt der Gate-Struktur lateral durch beide von dem Gate-Dielektrikum und dem Gate-Abstandhalter erstreckt.
  • Beispiel 28 umfasst den Gegenstand von einem Beispiele 19-27, ferner umfassend einen Halbleiterkörper benachbart zu der Source- oder Drain-Region, wobei der Halbleiterkörper unter zumindest einem Abschnitt der Gate-Struktur ist.
  • Beispiel 29 umfasst den Gegenstand von einem der Beispiele 19-28, wobei der Halbleiterkörper eine Finne ist.
  • Beispiel 30 umfasst den Gegenstand von einem der Beispiele 19-28, wobei der Halbleiterkörper ein oder mehrere Nanodrähte und/oder Nanobänder ist.
  • Beispiel 31 umfasst den Gegenstand von einem der Beispiele 19-30, wobei die Kontaktstruktur und der Abschnitt der Gate-Struktur, der sich lateral erstreckt, das gleiche Material sind.
  • Beispiel 32 ist ein integriertes Schaltungsbauelement, das die integrierte Schaltungsstruktur von einem der Beispiele 19-31 umfasst.
  • Beispiel 33 ist eine gedruckte Schaltungsplatine, die die integrierte Schaltungsstruktur von einem der Beispiele 19-32 umfasst.
  • Beispiel 34 ist ein elektronisches System, das die integrierte Schaltungsstruktur von einem der Beispiele 19-33 umfasst.
  • Beispiel 35 ist eine integrierte Schaltungs-Bauelementstruktur, umfassend: eine Source-Region und eine Drain-Region; eine erste Kontaktstruktur über der Source-Region und eine zweite Kontaktstruktur über der Drain-Region; eine Jogged-Via-Struktur, die eine erste Oberfläche in Kontakt mit einer von der ersten Kontaktstruktur oder der zweiten Kontaktstruktur aufweist, wobei das Jogged-Via eine zweite Oberfläche in einem Winkel von 45° bis 90° relativ zu der ersten Oberfläche aufweist; und einen Leiter, der eine periphere Oberfläche in Kontakt mit der zweiten Oberfläche der Jogged-Via-Struktur aufweist.
  • Beispiel 36 umfasst den Gegenstand von Beispiel 35, wobei der Leiter nicht konzentrisch ausgerichtet mit der Jogged-Via-Struktur ist.
  • Beispiel 37 umfasst den Gegenstand von einem der Beispiele 35 oder 36, ferner umfassend eine Leistungsversorgungsschaltung, die mit dem Leiter verbunden ist.
  • Beispiel 38 umfasst den Gegenstand von einem der Beispiele 35 oder 36, ferner umfassend eine Signalschaltung, die mit dem Leiter verbunden ist.
  • Beispiel 39 umfasst den Gegenstand von einem der Beispiele 35-38, wobei die erste Oberfläche der Jogged-Via-Struktur eine obere oder untere Oberfläche der Jogged-Via-Struktur ist, und die zweite Oberfläche der Jogged-Via-Struktur eine Seitenwandoberfläche der Jogged-Via-Struktur ist.
  • Beispiel 40 umfasst den Gegenstand von einem der Beispiele 35-39, wobei die Jogged-Via-Struktur auf einer Rückseite einer Bauelementschicht ist, wobei die Bauelementschicht die Source-Region und die Drain-Region umfasst, wobei die integrierte Schaltungs-Bauelementstruktur ferner eine oder mehrere Zwischenverbindungsschichten über der Bauelementschicht umfasst.
  • Beispiel 41 ist ein integriertes Schaltungsbauelement, umfassend: eine Gate-Struktur; eine Jogged-Via-Struktur, die eine erste Oberfläche in Kontakt mit der Gate-Struktur aufweist, wobei das Jogged-Via eine zweite Oberfläche in einem Winkel von 45° bis 90° relativ zu der ersten Oberfläche aufweist; und einen Leiter, der eine periphere Oberfläche in Kontakt mit der zweiten Oberfläche der Jogged-Via-Struktur aufweist.
  • Beispiel 42 umfasst den Gegenstand von Beispiel 41, wobei der Leiter nicht konzentrisch ausgerichtet mit der Jogged-Via-Struktur ist.
  • Beispiel 43 umfasst den Gegenstand von einem der Beispiele 41 oder 42, ferner umfassend eine Leistungsversorgungsschaltung, die mit dem Leiter verbunden ist.
  • Beispiel 44 umfasst den Gegenstand von Beispiel 41 oder 42, ferner umfassend eine Signalschaltung, die mit dem Leiter verbunden ist.
  • Beispiel 45 umfasst den Gegenstand von einem der Beispiele 41-44, wobei die erste Oberfläche der Jogged-Via-Struktur eine obere oder untere Oberfläche der Jogged-Via-Struktur ist, und die zweite Oberfläche der Jogged-Via-Struktur eine Seitenwandoberfläche der Jogged-Via-Struktur ist.
  • Beispiel 46 umfasst den Gegenstand von einem der Beispiele 41-45, wobei die Jogged-Via-Struktur auf einer Rückseite einer Bauelementschicht ist, wobei die Bauelementschicht eine Source-Region und eine Drain-Region umfasst, wobei die integrierte Schaltungsstruktur ferner eine oder mehrere Zwischenverbindungsschichten über der Bauelementschicht umfasst.
  • Beispiel 47 ist ein Verfahren zur Herstellung einer integrierten Schaltungsstruktur, das Verfahren umfassend: Bilden einer Source-Region und einer Drain-Region; Bilden einer leitfähigen Struktur lateral benachbart zu der Source-Region oder der Drain-Region; Bilden einer dielektrischen Struktur lateral zwischen der leitfähigen Struktur und einer von der Source-Region und der Drain-Region; Freilegen eines Abschnitts der dielektrischen Struktur über einer von der Source-Region oder der Drain-Region; Entfernen von zumindest einem Teil der freigelegten dielektrischen Struktur, wobei das Entfernen einen Seitenwandabschnitt der leitfähigen Struktur freilegt; und Abscheiden von leitfähigem Material über der einen von der Source-Region oder der Drain-Region, wobei das leitfähige Material den freigelegten Seitenwandabschnitt der leitfähigen Struktur mit der einen von der Source-Region oder der Drain-Region verbindet.
  • Beispiel 48 umfasst den Gegenstand von Beispiel 47, wobei das Entfernen von zumindest einem Teil der freigelegten dielektrischen Struktur das Aussetzen von zumindest einem Teil der freigelegten dielektrischen Struktur einem Strahl energetischer Partikel umfasst.
  • Beispiel 49 umfasst den Gegenstand von Beispiel 48, wobei der Strahl energetischer Partikel in einem Winkel zwischen 15° und 75° relativ zu einer vertikalen Ebene bereitgestellt wird.
  • Beispiel 50 umfasst den Gegenstand von Beispiel 48, wobei ein Strahldurchmesser kleiner ist als eine Höhe des freiliegenden Abschnitts der dielektrischen Struktur, und somit das Entfernen einen Überrest-Abschnitt zurücklässt.
  • Beispiel 51 ist ein Verfahren zur Herstellung einer integrierten Schaltungsstruktur, das Verfahren umfassend: Bilden einer Source-Region und einer Drain-Region; Bilden einer Kontaktstruktur über der Source-Region oder der Drain-Region; Bilden einer dielektrischen Struktur lateral benachbart zu der Source-Region und der Drain-Region; Freilegen eines Abschnitts der dielektrischen Struktur über einer Kanalregion, wobei die Kanalregion zwischen der Source-Region und der Drain-Region ist; Entfernen von zumindest einem Teil der freigelegten dielektrischen Struktur, wobei das Entfernen einen Seitenwandabschnitt der Kontaktstruktur freilegt; und Abscheiden von leitfähigem Material über der Kanalregion, wobei das leitfähige Material den freigelegten Seitenwandabschnitt der Kontaktstruktur verbindet.
  • Beispiel 52 umfasst den Gegenstand von Beispiel 51, wobei das Entfernen von zumindest einem Teil der freigelegten dielektrischen Struktur das Aussetzen von zumindest einem Teil der freigelegten dielektrischen Struktur einem Strahl energetischer Partikel umfasst.
  • Beispiel 53 umfasst den Gegenstand von Beispiel 52, wobei der Strahl energetischer Partikel in einem Winkel zwischen 15° und 75° relativ zu einer vertikalen Ebene bereitgestellt wird.
  • Beispiel 54 umfasst den Gegenstand von Beispiel 52, wobei ein Strahldurchmesser kleiner ist als eine Höhe des freiliegenden Abschnitts der dielektrischen Struktur, und somit das Entfernen einen Überrest-Abschnitt zurücklässt.
  • Beispiel 55 ist ein Verfahren zur Herstellung einer integrierten Schaltungsstruktur, das Verfahren umfassend: Bilden einer ersten leitfähigen Struktur; Bilden einer Jogged-Via-Struktur, die eine erste Oberfläche und eine zweite Oberfläche aufweist, wobei die erste Oberfläche auf zumindest einem Abschnitt der ersten leitfähigen Struktur ist, wobei die erste Oberfläche und die zweite Oberfläche in einem Winkel von 45° bis 90° zueinander sind; und Bilden einer zweiten leitfähigen Struktur in elektrischem Kontakt mit der zweiten Oberfläche der Jogged-Via-Struktur.
  • Beispiel 56 umfasst den Gegenstand von Beispiel 55, wobei die Jogged-Via-Struktur und die zweite leitfähige Struktur nicht kollinear oder konzentrisch ausgerichtet sind.
  • Beispiel 57 umfasst den Gegenstand von einem der Beispiele 55 oder 56, wobei die erste leitfähige Struktur eine von einer Source oder einem Drain ist.
  • Beispiel 58 umfasst den Gegenstand von einem der Beispiele 55 oder 56, wobei die erste leitfähige Struktur eine Gate-Elektrodenstruktur ist.
  • Beispiel 59 umfasst den Gegenstand von einem der Beispiele 55-58, wobei die zweite leitfähige Struktur eine von einer Metallleitung oder einem Leiter ist.
  • Beispiel 60 umfasst den Gegenstand von einem der Beispiele 55-59, ferner umfassend einen Kontakt zwischen der ersten leitfähigen Struktur und der Jogged-Via-Struktur.

Claims (25)

  1. Eine integrierte Schaltungsstruktur, umfassend: eine Source-Region und eine Drain-Region; eine erste leitfähige Struktur über der Source-Region und eine zweite leitfähige Struktur über der Drain-Region, wobei die erste leitfähige Struktur und die zweite leitfähige Struktur ein erstes leitfähiges Material umfassen; eine dritte leitfähige Struktur, die ein zweites leitfähiges Material umfasst; und eine dielektrische Struktur, die ein dielektrisches Material lateral zwischen der dritten leitfähigen Struktur und einer von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur umfasst, wobei sich ein Abschnitt der einen von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur lateral durch die dielektrische Struktur erstreckt und eine Seitenwand der dritten leitfähigen Struktur kontaktiert, wobei der Abschnitt 1 nm oder länger ist und eine Oberfläche in einem Winkel zwischen 15° und 75°, gemessen relativ zu einer vertikalen Ebene, aufweist.
  2. Die integrierte Schaltungsstruktur gemäß Anspruch 1, wobei die erste leitfähige Struktur, die zweite leitfähige Struktur und die dritte leitfähige Struktur innerhalb einer Bauelementschicht der integrierten Schaltungsstruktur sind.
  3. Die integrierte Schaltungsstruktur gemäß Anspruch 1 oder 2, wobei der Abschnitt über einem ersten Abschnitt der dielektrischen Struktur und unter einem zweiten Abschnitt der dielektrischen Struktur ist.
  4. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-3, wobei die eine von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur ein Plug ist, der ein Metall aufweist.
  5. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-4, wobei die eine von der ersten leitfähigen Struktur oder der zweiten leitfähigen Struktur einen ersten Abschnitt und einen zweiten Abschnitt umfasst, wobei der erste Abschnitt ein erstes Metall umfasst und der zweite Abschnitt ein zweites Metall umfasst, das von dem ersten Metall unterschiedlich ist.
  6. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-5, wobei die dritte leitfähige Struktur ein Leiter ist, der zumindest teilweise innerhalb einer Isolationswandstruktur ist.
  7. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-5, wobei die dritte leitfähige Struktur eine Gate-Elektrode ist.
  8. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-5, wobei die dritte leitfähige Struktur ein Gate-Kontakt über einer Gate-Elektrode ist.
  9. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-8, wobei der Abschnitt eine Länge von 1 nm bis 10 nm hat.
  10. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-9, wobei die dielektrische Struktur eine oder beide von einer Gate-Dielektrikum-Schicht und einem Gate-Abstandhalter umfasst.
  11. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-9, wobei die dielektrische Struktur zumindest eine Schicht einer Isolationswandstruktur umfasst.
  12. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-11, ferner umfassend einen Halbleiterkörper zwischen der Source-Region und der Drain-Region, wobei der Halbleiterkörper unter zumindest einem Abschnitt der dritten leitfähigen Struktur ist.
  13. Die integrierte Schaltungsstruktur gemäß Anspruch 12, wobei der Halbleiterkörper eine Finne ist.
  14. Die integrierte Schaltungsstruktur gemäß Anspruch 12, wobei der Halbleiterkörper ein oder mehrere Nanodrähte und/oder Nanobänder ist.
  15. Die integrierte Schaltungsstruktur gemäß einem der Ansprüche 1-14, wobei das erste leitfähige Material und das zweite leitfähige Material das gleiche Material sind.
  16. Eine integrierte Schaltungs-Bauelementstruktur, umfassend: eine Source-Region und eine Drain-Region; eine erste Kontaktstruktur über der Source-Region und eine zweite Kontaktstruktur über der Drain-Region; eine Jogged-Via-Struktur, die eine erste Oberfläche in Kontakt mit einer von der ersten Kontaktstruktur oder der zweiten Kontaktstruktur aufweist, wobei das Jogged-Via eine zweite Oberfläche in einem Winkel von 45° bis 90° relativ zu der ersten Oberfläche aufweist; und einen Leiter, der eine periphere Oberfläche in Kontakt mit der zweiten Oberfläche der Jogged-Via-Struktur aufweist.
  17. Die integrierte Schaltungs-Bauelementstruktur gemäß Anspruch 16, wobei der Leiter nicht konzentrisch ausgerichtet mit der Jogged-Via-Struktur ist.
  18. Die integrierte Schaltungs-Bauelementstruktur gemäß Anspruch 16 oder 17, ferner umfassend eine Leistungsversorgungsschaltung, die mit dem Leiter verbunden ist.
  19. Die integrierte Schaltungs-Bauelementstruktur gemäß einem der Ansprüche 16-18, wobei die erste Oberfläche der Jogged-Via-Struktur eine obere oder untere Oberfläche der Jogged-Via-Struktur ist, und die zweite Oberfläche der Jogged-Via-Struktur eine Seitenwandoberfläche der Jogged-Via-Struktur ist.
  20. Die integrierte Schaltungs-Bauelementstruktur gemäß einem der Ansprüche 16-19, wobei die Jogged-Via-Struktur auf einer Rückseite einer Bauelementschicht ist, wobei die Bauelementschicht die Source-Region und die Drain-Region umfasst, wobei die integrierte Schaltungs-Bauelementstruktur ferner eine oder mehrere Zwischenverbindungsschichten über der Bauelementschicht umfasst.
  21. Eine integrierte Schaltungs-Bauelementstruktur, umfassend: eine Gate-Struktur; eine Jogged-Via-Struktur, die eine erste Oberfläche in Kontakt mit der Gate-Struktur aufweist, wobei das Jogged-Via eine zweite Oberfläche in einem Winkel von 45° bis 90° relativ zu der ersten Oberfläche aufweist; und einen Leiter, der eine periphere Oberfläche in Kontakt mit der zweiten Oberfläche der Jogged-Via-Struktur aufweist.
  22. Die integrierte Schaltungs-Bauelementstruktur gemäß Anspruch 21, wobei der Leiter nicht konzentrisch ausgerichtet mit der Jogged-Via-Struktur ist.
  23. Die integrierte Schaltungs-Bauelementstruktur gemäß Anspruch 21 oder 22, ferner umfassend eine Leistungsversorgungsschaltung, die mit dem Leiter verbunden ist.
  24. Die integrierte Schaltungs-Bauelementstruktur gemäß einem der Ansprüche 21-23, wobei die erste Oberfläche der Jogged-Via-Struktur eine obere oder untere Oberfläche der Jogged-Via-Struktur ist, und die zweite Oberfläche der Jogged-Via-Struktur eine Seitenwandoberfläche der Jogged-Via-Struktur ist.
  25. Die integrierte Schaltungs-Bauelementstruktur gemäß einem der Ansprüche 21-24, wobei die Jogged-Via-Struktur auf einer Rückseite einer Bauelementschicht ist, wobei die Bauelementschicht eine Source-Region und eine Drain-Region umfasst, wobei die integrierte Schaltungsstruktur ferner eine oder mehrere Zwischenverbindungsschichten über der Bauelementschicht umfasst.
DE102020102814.8A 2019-02-13 2020-02-04 Selbstausgerichtete lokale Zwischenverbindungen Pending DE102020102814A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/274,758 2019-02-13
US16/274,758 US11424160B2 (en) 2019-02-13 2019-02-13 Self-aligned local interconnects

Publications (1)

Publication Number Publication Date
DE102020102814A1 true DE102020102814A1 (de) 2020-08-13

Family

ID=71739491

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020102814.8A Pending DE102020102814A1 (de) 2019-02-13 2020-02-04 Selbstausgerichtete lokale Zwischenverbindungen

Country Status (4)

Country Link
US (1) US11424160B2 (de)
CN (1) CN111564428A (de)
DE (1) DE102020102814A1 (de)
TW (1) TWI829845B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11233005B1 (en) * 2020-07-10 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing an anchor-shaped backside via
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof
US11901434B2 (en) * 2021-04-30 2024-02-13 Qualcomm Incorporated Semiconductor having a source/drain contact with a single inner spacer

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW573358B (en) * 2003-01-15 2004-01-21 Powerchip Semiconductor Corp Flash memory device structure and manufacturing method thereof
US6770934B1 (en) * 2003-04-03 2004-08-03 Powerchip Semiconductor Corp. Flash memory device structure and manufacturing method thereof
JP2005228819A (ja) * 2004-02-10 2005-08-25 Mitsubishi Electric Corp 半導体装置
JP2012059945A (ja) * 2010-09-09 2012-03-22 Toshiba Corp 半導体装置およびその製造方法
US10056293B2 (en) 2014-07-18 2018-08-21 International Business Machines Corporation Techniques for creating a local interconnect using a SOI wafer
WO2017052586A1 (en) * 2015-09-25 2017-03-30 Intel Corporation High density memory array with self-aligned via
US10529827B2 (en) * 2015-09-25 2020-01-07 Intel Corporation Long channel MOS transistors for low leakage applications on a short channel CMOS chip
US9735267B1 (en) * 2016-01-28 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9837539B1 (en) * 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10147681B2 (en) * 2016-12-09 2018-12-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR102285271B1 (ko) * 2017-04-03 2021-08-03 삼성전자주식회사 반도체 장치
US10510600B1 (en) * 2018-07-11 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared contact structure and methods for forming the same

Also Published As

Publication number Publication date
US20200258778A1 (en) 2020-08-13
TWI829845B (zh) 2024-01-21
CN111564428A (zh) 2020-08-21
TW202044523A (zh) 2020-12-01
US11424160B2 (en) 2022-08-23

Similar Documents

Publication Publication Date Title
DE102020129973A1 (de) Einzelgate-3d-nanodraht-inverter für dickes-gate-soc-anwendungen mit hoher dichte
DE102017122830B4 (de) Verfahren zur herstellung eines halbleiter-bauelements
DE102020103710A1 (de) Rückseitenkontakte für Halbleitervorrichtungen
DE112016007299T5 (de) Rückseiten-source/drain-austausch für halbleiterbauelemente mit metallisierung auf beiden seiten
DE112018003323T5 (de) Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung
DE102020107045A1 (de) Source- oder drain-strukturen mit phosphor- und arsen-co-dotierstoffen
DE102020102814A1 (de) Selbstausgerichtete lokale Zwischenverbindungen
DE102020104178A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit eingebetteten gesnb-source- oder drainstrukturen
DE102021121273A1 (de) Herstellung von gate-all-around-integrierte-schaltung-strukturen mit vor-abstandshalter-abscheidung-geschnittenen gates
DE102020128908A1 (de) Integrierte Gate-All-Around-Schaltkreisstrukturen, die Bausteine mit elektrischem Kanal-Substrat-Kontakt aufweisen
DE102020103517A1 (de) Gate-All-Around-Strukturen für integrierte Schaltungen mit Source- oder Drain-Strukturen mit epitaktischen Noppen
DE102019132137A1 (de) Integrierte gate-all-around-schaltungsstrukturen mit oxid-teilfinnen
DE102020134411A1 (de) Integrierte gate-all-around-strukturen mit germaniumdotierten nanoband-kanalstrukturen
DE102020107015A1 (de) Source- oder drain-strukturen mit vertikalen gräben
DE102020105127A1 (de) Source- oder drain-strukturen für germanium-n-kanalvorrichtungen
DE102020128647A1 (de) Gate-all-around-integrierte-schaltungs-strukturen mit isolatorsubstrat
DE102020103536A1 (de) Logikschaltung mit Indiumnitrid-Quantenwanne
DE102021121941A1 (de) Ansätze mit geringem widerstand für die herstellung von kontakten und der sich daraus ergebenden strukturen
DE112016007366T5 (de) Halbleitervorrichtung, die finnenendspannungsinduzierende merkmale aufweist
DE102020113775A1 (de) Vorrichtung, umfassend Luft-Beabstanden von Gate-Abstandhaltern und anderen Dielektrika und Verfahren zur Bereitstellung einer solchen
DE102019114022A1 (de) Source- oder Drainstrukturen mit Kontaktätzstoppschicht
DE102019114241A1 (de) Kanalstrukturen mit teilfinnen-dotierstoff-diffusionssperrschichten
DE102021124527A1 (de) Doppelkontaktprozess mit gestapelten Metallschichten
DE102019104915A1 (de) Duale selbstausgerichtete Gate-Endabdeckungs- (SAGE) Architekturen
DE102020132236A1 (de) Integrierte schaltkreisstrukturen mit rundum-gate, die eine finnenstapelisolation aufweisen