DE102019200120B4 - Schaltungen basierend auf komplementären Feldeffekttransistoren - Google Patents

Schaltungen basierend auf komplementären Feldeffekttransistoren Download PDF

Info

Publication number
DE102019200120B4
DE102019200120B4 DE102019200120.3A DE102019200120A DE102019200120B4 DE 102019200120 B4 DE102019200120 B4 DE 102019200120B4 DE 102019200120 A DE102019200120 A DE 102019200120A DE 102019200120 B4 DE102019200120 B4 DE 102019200120B4
Authority
DE
Germany
Prior art keywords
transistor
source
nanosheet
field effect
drain region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019200120.3A
Other languages
English (en)
Other versions
DE102019200120A1 (de
Inventor
Bipul C. Paul
Ruilong Xie
Puneet Harischandra Suvarna
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Publication of DE102019200120A1 publication Critical patent/DE102019200120A1/de
Application granted granted Critical
Publication of DE102019200120B4 publication Critical patent/DE102019200120B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Struktur, umfassend:einen ersten komplementären Feldeffekttransistor (50) mit einem ersten Nanosheet-Transistor mit einem Source/Drain-Bereich (38) und einem zweiten Nanosheet-Transistor mit einem Source/Drain-Bereich (46), wobei der Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) über dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) gestapelt ist und wobei der erste Nanosheet-Transistor und der zweite Nanosheet-Transistor des ersten komplementären Feldeffekttransistors ein gemeinsames Gate (66) haben;einen Kontakt (88), der sich vertikal erstreckt, um den Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und den Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors zu verbinden;einen zweiten komplementären Feldeffekttransistor (52) mit einem Nanosheet-Transistor mit einer funktionalen Gatestruktur (66), die mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors gekoppelt ist;eine Grabenisolierung (16) mit einer oberseitigen Oberfläche,wobei die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors und der Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors auf der oberseitigen Oberfläche der Grabenisolierung angeordnet sind;eine vergrabene Zwischenverbindung (78) auf der oberseitigen Oberfläche der Grabenisolierung, wobei die vergrabene Zwischenverbindung die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors koppelt; undeine dielektrische Schicht (42), die zwischen dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und dem Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors angeordnet ist,wobei die vergrabene Zwischenverbindung zwischen der oberseitigen Oberfläche der Grabenisolierung und der dielektrischen Schicht (42) angeordnet ist.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung betrifft die Fertigung von Halbleitervorrichtungen und integrierten Schaltungen und insbesondere Strukturen und Schaltungen mit mehreren Nanosheet-Feldeffekttransistoren und Verfahren zum Bilden solcher Strukturen und Schaltungen.
  • Vorrichtungsstrukturen für einen Feldeffekttransistor umfassen im Allgemeinen eine Source-, eine Drain- und eine Gateelektrode, die ausgebildet ist, um einen Fluss von Ladungsträgern in einem Kanal zu schalten, der in einem Halbleiterkörper zwischen Source und Drain gebildet wird. Wenn eine Steuerspannung, die eine bestimmte Schwellspannung übersteigt, an die Gateelektrode angelegt wird, erzeugt der Fluss von Ladungsträgern in dem Kanal zwischen Source und Drain einen Vorrichtungsausgangsstrom.
  • Der Körper und Kanal eines ebenen Feldeffekttransistors sind unterhalb der oberseitigen Oberfläche eines Substrats angeordnet, auf dem die Gateelektrode lagert. Ein Feldeffekttransistor vom Finnentyp (FinFET) stellt eine unebene Vorrichtungsstruktur für einen Feldeffekttransistor dar, der in einer integrierten Schaltung dichter gepackt sein kann als ebene Feldeffekttransistoren. Ein FinFET umfasst eine Finne, stark dotierte Source/Drain-Bereiche und eine Gateelektrode, die sich um die Finne wickelt. Während des Betriebs wird ein Kanal für einen Fluss von Ladungsträgern in der Finne zwischen dem Source/Drain-Bereichen gebildet. Im Vergleich zu ebenen Feldeffekttransistoren verbessert die Anordnung zwischen der Gatestruktur und der Finne die Steuerung über den Kanal und verringert den Leckstrom, wenn sich der FinFET in seinem „Aus“-Zustand befindet. Dies wiederum verringert die Schwellspannungen im Vergleich zu planaren Feldeffekttransistoren und führt zu einem verbesserten Leistungsvermögen und niedrigerem Energieverbrauch.
  • Nanosheet-Feldeffekttransistoren wurden als eine fortschrittliche Art von FinFET entwickelt, die eine zusätzliche Zunahme in der Packungsdichte in einer integrierten Schaltung zulassen. Der Körper eines Nanosheet-Feldeffekttransistors umfasst mehrere Nanosheet-Kanalschichten, die in einer dreidimensionalen Anordnung vertikal gestapelt sind. Abschnitte eines Gatestapels können alle Seiten der einzelnen Nanosheet-Kanalschichten in einer Gate-All-Around-Anordnung umgeben. Die Nanosheet-Kanalschichten sind anfänglich in einem Schichtstapel mit Opferschichten angeordnet, die aus einem Material (z. B. Siliziumgermanium) gebildet sind, das selektiv zu dem Material (z. B. Silizium) geätzt werden kann, das die Nanosheet-Kanalschichten bildet. Die Opferschichten werden geätzt und entfernt, um die Nanosheet-Kanalschichten freizulegen und um Platz für die Bildung des Gatestapels bereitzustellen.
  • Bekannt ist dabei aus WO 2017 / 105 515 A1 ein gestapelter Transistor mit übereinander liegenden komplementären Nanodraht-Transistoren, deren übereinander gestapelte Source/Drain-Bereiche mittels eines Kontakts, der sich vertikal erstreckt, verbunden sind. Deratiges ist auch bekannt aus US 2016 / 0 211 264 US 9 029 173 B2 eine 3D-IC-Vorrichtung, bei der ein vertikaler Kontakt durch komplementäre Source/Drain-Bereiche einen pull down nMOS und einen darüber liegenden pull up pMOS in einem SRAM miteinander verbindet.
  • ZUSAMMENFASSUNG
  • Erfindungsgemäß ist eine Vorrichtung gem. Anspruch 1 oder 5 bzw. ein Verfahren gem. Anspruch 6.
  • Figurenliste
  • Die beiliegenden Zeichnungen, die dieser Beschreibung beigefügt sind, stellen verschiedene Ausführungsformen der Erfindung dar und dienen zusammen mit einer allgemeinen Beschreibung oben und der detaillierten Beschreibungen unten zur Erläuterung der Ausführungsformen der Erfindung.
    • 1 ist eine Querschnittansicht einer Vorrichtungsstruktur an einer anfänglichen Fertigungsphase eines Bearbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 2 ist zeigt eine Querschnittansicht der Vorrichtungsstruktur aus 1 in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens.
    • 2A ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 2A-2A in 2B genommen ist.
    • 2B ist eine Aufsicht der Vorrichtungsstruktur aus den 2, 2A, in denen 2 im Allgemeinen entlang der Linie 2-3 genommen ist und 2A im Allgemeinen entlang der Linie 2A-2A genommen ist und in denen lediglich die Opfergatestrukturen und Körpermerkmale aus Klarheitsgründen der Beschreibung dargestellt sind.
    • 3-8 Querschnittansicht der Vorrichtungsstruktur aus 2 in nachfolgenden Fertigungsphasen darstellen.
    • 3A-8A Querschnittansicht der Vorrichtungsstruktur aus 2A in nachfolgenden Fertigungsphasen zeigen.
    • 8B eine Aufsichtsansicht der Vorrichtungsstruktur aus den 8, 8A zeigt, in denen 8 im Allgemeinen entlang der Linie 8-8 genommen ist und 8A im Allgemeinen entlang der Linie 8A-8A genommen ist und in denen lediglich die Opfergatestrukturen, Körpermerkmale und Source/Drain-Bereiche aus Klarheitsgründen der Beschreibung gezeigt sind.
    • 9 ist eine Aufsicht ähnlich 8B der Vorrichtungsstruktur aus den 8, 8A, 8B in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens und in denen lediglich die Opfergatestrukturen, Körpermerkmale und Source/Drain-Bereiche aus Klarheitsgründen der Beschreibung gezeigt sind.
    • 9A ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 9A-9A in 9 gezeigt ist.
    • 9B ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 9B-9B in 9 gezeigt ist.
    • 9C ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 9C-9C in 9 genommen ist.
    • 10A-12A sind Querschnittansichten der Vorrichtungsstruktur aus 9A an sukzessiven Fertigungsphasen.
    • 10B-12B sind Querschnittansichten der Vorrichtungsstruktur aus 9B an sukzessiven Fertigungsphasen.
    • 10C-12C sind Querschnittansichten der Vorrichtungsstruktur aus 9C an sukzessiven Fertigungsphasen.
    • 13 ist eine Aufsicht ähnlich 8 der Vorrichtungsstruktur der 12A, 12B, 12C in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens und in der lediglich die funktionalen Gatestrukturen, Körpermerkmale und Source/Drain-Bereiche aus Klarheitsgründen der Beschreibung dargestellt sind.
    • 13A ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 13A-13A in 13 genommen ist.
    • 13B ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 13B-13B in 13 genommen ist.
    • 13C ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 13C-13C in 13 genommen ist.
    • 13D ist eine Querschnittansicht der Vorrichtungsstruktur, die im Allgemeinen entlang der Linie 13D-13D in 13 genommen ist.
    • 14A ist eine Querschnittansicht der Vorrichtungsstruktur, aus 13A in einer nachfolgenden Fertigungsphase.
    • 14B ist eine Querschnittansicht der Vorrichtungsstruktur, aus 13B in einer nachfolgenden Fertigungsphase.
    • 14C ist eine Querschnittansicht der Vorrichtungsstruktur, aus 13C in einer nachfolgenden Fertigungsphase.
    • 14D ist eine Querschnittansicht der Vorrichtungsstruktur, aus 13D in einer nachfolgenden Fertigungsphase.
  • DETAILLIERTE BESCHREIBUNG
  • Mit Bezug auf 1 und gemäß Ausführungsformen der Erfindung werden Halbleiterschichten 11 und Halbleiterschichten 13 in einem mehrlagigen Stapel auf einem Substrat 14 gebildet. Das Substrat 14 ist aus einem Halbleitermaterial gebildet, z. B. einkristallinem Silizium. Die Halbleiterschichten 11, 13 können durch einen epitaktischen Wachstumsprozess mit der alternierenden Zusammensetzung während des Wachstums durch eine Auswahl der Reaktanden sequentiell gebildet werden. Die Anzahl von Halbleiterschichten 11 und Halbleiterschichten 13 kann sich von der Anzahl der dargestellten anschaulichen Ausführungsform unterscheiden und kann insbesondere größer sein als die in der anschaulichen Ausführungsform und es können zusätzliche Paare von Schichten 11 und 13 hinzugefügt werden.
  • Die Halbleiterschichten 11 sind aus einem Halbleitermaterial gebildet und die Halbleiterschichten 13 sind aus einem unterschiedlichen Halbleitermaterial gebildet, das bezüglich dem Halbleitermaterial der Halbleiterschichten 11 selektiv entfernbar ist. Gemäß der Verwendung hierin bedeutet der Begriff „selektiv“ mit Bezug auf ein Materialentfernungsprozess (z. B. Ätzen), dass die Materialentfernungsrate (insbesondere Ätzrate) für das Zielmaterial bei einer geeigneten Wahl des Ätzmittels größer ist als die Entfernungsrate für wenigstens ein anderes Material, das dem Materialentfernungsprozess ausgesetzt wird. In einer Ausführungsform können die Halbleiterschichten 11 aus Silizium (Si) gebildet sein und die Halbleiterschichten 13 können aus Siliziumgermanium (SiGe) mit einem Germaniumanteil von zwanzig Prozent (20 %) bis sechzig Prozent (60 %) gebildet sein, das bei einer höheren Rate geätzt wird, als Silizium.
  • Auf der oberseitigen Oberfläche der obersten Halbleiterschicht 11 des mehrlagigen Stapels werden Opfergatestrukturen 20 mit einem gegebenen Abstand gebildet. Die Opfergatestrukturen 20 können aus einem Halbleitermaterial gebildet sein, z. B. amorphem Silizium, das durch CVD abgeschieden und mit einem reaktiven lonenätzen (RIE) unter Verwendung einer Hartmaske strukturiert wird. Die Opfergatestrukturen 20 sind zwischen benachbarten Opfergatestrukturen (nicht dargestellt) auf der oberseitigen Oberfläche der obersten Halbleiterschicht 11 des Schichtstapels angeordnet.
  • Jede der Opfergatestrukturen 20 ist mit einer dielektrischen Kappe 22 bedeckt, die an ihrer oberseitigen Oberfläche angeordnet ist. Die dielektrische Kappe 22 kann z. B. aus Siliziumnitrid (Si3N4) gebildet sein. Auf der oberseitigen Oberfläche der obersten Halbleiterschicht 11 des Schichtstapels sind dielektrische Abstandshalter 24 gebildet und neben den vertikalen Seitenwänden von jeder Opfergatestruktur 20 und ihrer dielektrischen Kappe 22 angeordnet. Die dielektrischen Abstandshalter 24 können aus einem Low-k-Dielektrikumsmaterial gebildet sein, z. B. SiBCN oder SiOCN.
  • Mit Bezug auf die 2, 2A, 2B, in denen ähnliche Bezugszeichen ähnliche Merkmale in 1 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden Finnen oder Körpermerkmale 21 aus den Halbleiterschichten 11, 13 des Schichtstapels durch einen Ätzprozess gebildet, der von der Opfergatestruktur 20 und den zugeordneten dielektrischen Abstandshaltern 24 als Ätzmaske abhängt. Der selbstausgerichtete Ätzprozess, der einen reaktiven lonenätz (RIE) -Prozess darstellen kann, hängt von wenigsten einer Ätzchemie zum Ätzen von Gräben ab, die sich durch die Halbleiterschichten 11, 13 erstrecken. In der anschaulichen Ausführungsform sind die Körpermerkmale 26 zwischen benachbarten Körpermerkmalen (nicht dargestellt) angeordnet, die durch den selbstausgerichteten Ätzprozess unterhalb der zusätzlichen Opfergatestrukturen (nicht dargestellt) gebildet werden, welche die Opfergatestrukturen 20 flankieren, so dass zusätzliche Räume 25 an der Peripherie der Körpermerkmale 26 angeordnet werden.
  • Jedes der Körpermerkmale 26 umfasst Nanosheet-Kanalschichten 10, die aus den Halbleiterschichten 11 und Opferschichten 12 strukturiert werden, die aus den Halbleiterschichten 13 strukturiert werden. Die Schichten 10, 12 erben die entsprechenden Dicken der Schichten 11, 13. Die Nanosheet-Kanalschichten 10 sind mit den Opferschichten 12 in einer vertikalen Richtung alternierend angeordnet. Die Schichten 10, 12 sind entlang der Seitenwände von jedem Körpermerkmal 26 ausgerichtet. Benachbarte Körpermerkmale 26 werden durch Räume 25 getrennt. In einer anschaulichen Ausführungsform kann die Struktur eine Menge aus vier Körpermerkmalen 26 umfassen, die im Allgemeinen an den Ecken eines Rechtecks angeordnet sind, wie am besten in 2B dargestellt ist.
  • Es kann eine dielektrische Schicht 16 gebildet werden, die die Halbleiterschichten 10, 12 von dem Substrat 14 elektrisch isoliert. Zum Beispiel kann die dielektrische Schicht 16 durch ein Ätzen der Gräben in das Substrat 14 und ein Ätzen des Substrats 14 lateral unterhalb der Schichten 10, 12 und ein nachfolgendes Füllen mit einem dielektrischen Material, z. B. Siliziumdioxid (SiO2), gebildet werden. Die dielektrische Schicht 16 isoliert die Körpermerkmale 26 von dem Substrat 14 elektrisch.
  • Mit Bezug auf die 3, 3A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 2, 2A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden die Opferschichten 12 relativ zu den Nanosheet-Kanalschichten 10 mit einem Trockenätzprozess oder einem isotropen Nassätzprozess lateral vertieft, der die Materialien, die die Opferschichten 12 bilden, selektiv bezüglich dem Material ätzt, das die Nanosheet-Kanalschichten 10 verbindet. Das laterale Vertiefen der Opferschichten 12 erzeugt Vertiefungen in den Seitenwänden von jedem Körpermerkmal 26, da die Nanosheet-Kanalschichten 10 nicht seitlich vertieft werden.
  • In den Vertiefungen in den Seitenwänden von jedem Körpermerkmal 26 werden innere Abstandshalter 34 gebildet. Die inneren Abstandshalter 34 können durch Abscheiden einer konformen Schicht gebildet aus einem dielektrischen Material, z. B. Siliziumnitrid (Si3N4), durch eine Atomlagenabscheidung (ALD) gebildet werden, welche die Vertiefungen füllt, und dann kann ein Ätzprozess durchgeführt werden, der die konforme Schicht außerhalb der Vertiefungen entfernt.
  • Nachdem die inneren Abstandshalter 34 gebildet werden, wird eine epitaktische Halbleiterschicht 36 in den Räumen 25 zwischen den Seitenwänden der Körpermerkmale 26 gebildet. Die epitaktische Halbleiterschicht 36 kann durch einen epitaktischen Wachstumsprozess gebildet werden, in dem das Halbleitermaterial aus Wachstumskeimen wächst, die durch die freiliegenden Oberflächen der Nanosheet-Kanalschichten 10 an den Seitenwänden der Körpermerkmale 26 bereitgestellt werden und die in dem Raum 25 zwischen benachbarten Körpermerkmalen 26 zusammenwachsen. Die epitaktische Halbleiterschicht 36 ist von den Opferschichten 12 durch die inneren Abstandshalter 34 physikalisch isoliert, die auch ein unerwünschtes epitaktisches Wachstum von den Opferschichten 12 verhindern. Die epitaktische Halbleiterschicht 36 kann gewachsen werden, um die Räume zwischen den Seitenwänden der Körpermerkmale 26 zu überfüllen, und kann dann durch ein chemisch-mechanisches Polieren (CMP) planarisiert werden, so dass sie bezüglich der dielektrischen Kappen 22 koplanar ist. Alternativ kann das epitaktische Wachstum der epitaktischen Halbleiterschicht 36 beendet werden, bevor die Räume belegt werden. Die verbleibenden Räume können durch ein Abscheiden von stark dotiertem Siliziumgermanium (SiG) oder einem Metall, z. B. Wolfram (W), gefüllt werden.
  • Das Halbleitermaterial, das die epitaktische Halbleiterschicht 36 bildet, kann stark dotiert sein, so dass es entweder eine elektrische Leitfähigkeit vom p-Typ oder eine elektrische Leitfähigkeit vom n-Typ aufweist. In einer Ausführungsform kann die epitaktische Halbleiterschicht 36 während des epitaktischen Wachstums mit einem Dotierstoff vom n-Typ aus der Gruppe V des Periodensystems (z. B. Phosphor (P) und/oder Arsen (As)) dotiert werden, welches eine elektrische Leitfähigkeit vom n-Typ bereitstellt. In einer alternativen Ausführungsform kann die epitaktische Halbleiterschicht 36 während des epitaktischen Wachstums mit einem Dotierstoff vom p-Typ aus der Gruppe III des Periodensystems dotiert werden (z. B. Bor (B), Aluminium(AI), Gallium (Ga) und/oder Indium (In)), das eine elektrische Leitfähigkeit vom p-Typ bereitstellt.
  • Mit Bezug auf die 4, 4A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 3, 3A bezeichnen, und in der nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird die epitaktische Halbleiterschicht 36 mit einem Ätzprozess vertieft. Die verbleibenden Abschnitte der Halbleiterschicht 36 legen Source/Drain-Bereiche 38 fest, die lediglich mit den unteren Nanosheet-Kanalschichten 10 gekoppelt sind. Gemäß der Verwendung hierin bezeichnet der Begriff „Source/Drain-Bereich“ einen dotierten Bereich eines Halbleitermaterials, das entweder als Source oder Drain eines Nanosheet-Feldeffekttransistor fungieren kann. Die Source/Drain-Bereiche 38 sind von den Opferschichten 12 durch die inneren Abstandshalter 34 physikalisch isoliert. Die Source/Drain-Bereiche 38 sind von dem Substrat 14 durch die dielektrische Schicht 16 elektrisch isoliert. Über jedem Source/Drain-Bereich 38 wird erneut ein Raum geöffnet, so dass die oberste Nanosheet-Kanalschicht 10 an den Seitenwänden der Körpermerkmale 26 freiliegende Oberflächen aufweist.
  • Mit Bezug auf die 5, 5A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 4, 4A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird die Halbleiterschicht 36 strukturiert, um Abschnitt 39 der Halbleiterschicht 36 in Abschnitten der Räume 25 zwischen den Körpermerkmalen 26 vollständig zu entfernen. Die Strukturierung der Halbleiterschicht 36 schneidet die Source/Drain-Bereiche 38 der Halbleiterschicht 36 in Abschnitte, die in der fertigen Struktur unterschiedlichen Transistoren zugeordnet sind.
  • Mit Bezug auf die 6, 6A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 5, 5A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine dielektrische Schicht 42, die aus einem dielektrischen Material gebildet ist, z. B. Siliziumdioxid (SiO2), abgeschieden und mit einem Ätzprozess vertieft. Die dielektrische Schicht 42 bedeckt die Source/Drain-Bereiche 38, wird jedoch bis zu einem Grad vertieft, so dass die Oberflächen der oberen Nanosheet-Kanalschicht 10 an den Seitenwänden und der Körpermerkmale 26 freiliegen. Die dielektrische Schicht 42 füllt auch die Abschnitte der Räume 25, von denen die Abschnitte 39 der Halbleiterschicht 36 vollständig entfernt wurden.
  • Mit Bezug auf die 7, 7A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 6, 6A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine epitaktische Halbleiterschicht 44 über der dielektrischen Schicht 42 in den Räumen 25 zwischen den Seitenwänden der Körpermerkmale 26 gebildet und mit einem Ätzprozess vertieft. Die epitaktische Halbleiterschicht 44 kann durch einen epitaktischen Wachstumsprozess gebildet werden, in dem das Halbleitermaterial aus den Wachstumskeimen wächst, die durch die freiliegenden Oberflächen der oberen Nanosheet-Kanalschichten 10 an den Seitenwänden der Körpermerkmale 26 freiliegen, und wachsen in dem Raum zwischen benachbarten Körpermerkmalen 26 zusammen. Die inneren Abstandshalter 34 isolieren die epitaktische Halbleiterschicht 44 physikalisch gegenüber den Opferschichten 12 und verhindern auch ein unerwünschtes epitaktisches Wachsen aus den Opferschichten 12. Die epitaktische Halbleiterschicht 44 kann gewachsen werden, um die Räume 25 zwischen den Seitenwänden der Körpermerkmale 26 zu überfüllen, und sie wird dann durch ein chemisch-mechanisches Polieren (CMP) planarisiert, so dass sie bezüglich der dielektrischen Kappen 22 koplanar ist, und sie wird in einem Zurückätzungsprozess vertieft.
  • Das Halbleitermaterial, das die epitaktische Halbleiterschicht 44 bildet, kann stark dotiert sein, so dass es eine elektrische Leitfähigkeit aufweist, die bezüglich der elektrischen Leitfähigkeit der Source/Drain-Bereiche 38 entgegengesetzt ist. In einer Ausführungsform, in der die Source/Drain-Bereich 38 eine elektrische Leitfähigkeit vom p-Typ aufweisen, kann die epitaktische Halbleiterschicht 44 während des epitaktischen Wachstums mit einem Dotierstoff vom n-Typ aus der Gruppe V des Periodensystems (z. B. Phosphor (P) und/oder Arsen (As)) dotiert werden, der eine elektrische Leitfähigkeit vom n-Typ bereitstellt. In einer Ausführungsform, in der die Source/Drain-Bereiche 38 eine elektrische Leitfähigkeit vom n-Typ aufweisen, kann die epitaktische Halbleiterschicht 44 während des epitaktischen Wachstums mit einem Dotierstoff vom p-Typ aus der Gruppe III des Periodensystems (z. B. Bor (B), Aluminium (AI), Gallium (Ga) und/oder Indium (In)) dotiert werden, welches eine elektrische Leitfähigkeit vom p-Typ bereitstellt.
  • Mit Bezug auf die 8, 8A, 8B, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 7, 7A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird die epitaktische Halbleiterschicht 44 strukturiert, um Abschnitte der Halbleiterschicht 44 in einem Bereich zwischen Körpermerkmalen 26 von verschiedenen Transistoren und in Bereichen zu entfernen, in denen ein komplementärer Feldeffekttransistor nicht erforderlich ist. Die verbleibenden Abschnitte der Halbleiterschicht 44 legen Source/Drain-Bereiche 46 fest, die lediglich mit der oberen Nanosheet-Kanalschicht 10 gekoppelt sind. Gemäß der Verwendung hierin bedeutet der Begriff „Source/Drain-Bereich“ einen dotierten Bereich aus Halbleitermaterial, der entweder als Source oder Drain eines Nanosheet-Feldeffekttransistors fungieren kann. Die Source/Drain-Bereiche 46 sind von den Opferschichten 12 durch die inneren Abstandshalter 34 physikalisch isoliert. Die Source/Drain-Bereiche 46 sind von den Source/Drain-Bereichen 38 durch die dielektrische Schicht 42 elektrisch isoliert.
  • Es wird eine dielektrische Schicht 60 abgeschieden und durch ein chemisch-mechanisches Polieren (CMP) planarisiert. In einer Ausführungsform kann die dielektrische Schicht 60 aus einem elektrischen Isolator gebildet sein, z. B. Siliziumdioxid (SiO2).
  • Wie am besten in 8B dargestellt ist, sind die Source/Drain-Bereiche 38 und 46 den unterschiedlichen Körpermerkmalen 26 einer Vorrichtungsstruktur zugeordnet, die eine sechs-Transistor (6-T) -Direktzugriffsspeicher (SRAM) -Zelle in einer Ausführungsform sein kann. Die Source/Drain-Bereiche 38, die aus der Halbleiterschicht 36 gebildet sind, werden in einer Schicht angeordnet, die sich vertikal unterhalb einer Schicht befindet, die Source/Drain-Bereiche 46 umfasst, die aus der Halbleiterschicht 44 gebildet werden.
  • In zwei Beispielen ist einer der Source/Drain-Bereiche 46 mit einem der Source/Drain-Bereiche 38 gestapelt, um Transistorpaare aus entsprechenden komplementären Feldeffekttransistoren (CFETs) 50, 52 festzulegen. In jedem Stapel sind die oberen Source/Drain-Bereiche 46 von den unteren Source/Drain-Bereichen 38 aus einem Halbleitermaterial von einem entgegengesetzten Leitfähigkeitstyp durch einen Abschnitt der dielektrischen Schicht 42 getrennt, die eine elektrische Isolierung bereitstellt. In einer Ausführungsform können die oberen Source/Drain-Bereiche 46 der CFETs 50, 52 ein Halbleitermaterial vom p-Typ umfassen und sie können einem Nanosheet-Feldeffekttransistor vom p-Typ zuordnet sein. Die unteren Source/Drain-Bereiche 38 der CFETs 50, 52 können ein Halbleitermaterial vom n-Typ umfassen und einem Nanosheet-Feldeffekttransistor vom n-Typ zugeordnet sein. Während die CFETs lediglich als eine einzelnen Nanosheet-Kanalschichten 10 aufweisend dargestellt sind, kann jeder der CFETs 50 und 52 stattdessen mehrere Nanosheet-Kanalschichten 10 umfassen.
  • Jeder der CFETs 50 und 52 kann einzeln einen Inverter festlegen und insbesondere kann jeder der CFETs 50 und 52 über Kreuz gekoppelte Inverter einer sechs-Transistor (6-T) -Direktzugriffspeicher (SRAM) -Zelle bilden. Die CFETs 50 und 52 stellen vier Transistoren bereit, die zwei über Kreuz gekoppelte Inverter bilden, die einen Speicher in der 6-T-SRAM-Zelle bereitstellen. In einer Ausführungsform können die Nanosheet-Feldeffekttransistoren, die den unteren Source/Drain-Bereichen 38 der CFETs 50, 52 zugeordnet sind, Herabzieh-Transistoren der 6-T-SRAM-Zelle darstellen. Die Nanosheet-Feldeffekttransistoren, die den oberen Source/Drain-Bereichen 46 der CFETs 50, 52 zugeordnet sind, können Hochzieh-Transistoren der 6-T-STRAM-Zelle darstellen.
  • In zwei Beispielen sind die Source/Drain-Bereiche 38 den Nanosheet-Feldeffekttransistoren (NSFETs) 54, 56 zugeordnet. Die Strukturierung der Halbleiterschicht 44 entfernt die Abschnitte der Halbleiterschicht 44 über den Source/Drain-Bereichen 38 der NSFETs 54, 56, so dass lediglich die Source/Drain-Bereiche 38 für die NSFETs 54, 56 verbleiben. In einer Ausführungsform können die Source/Drain-Bereiche 38 der NSFETs 54, 56 ein Halbleitermaterial vom n-Typ umfassen und sie können einem Nanosheet-Feldeffekttransistor vom n-Typ zugeordnet sein. In einer Ausführungsform können die NSFETs 54, 56 Zugriffstransistoren für die 6-T-SRAM-Zelle bilden, die einen Zugriff auf die über Kreuz gekoppelten CFETs 50, 52 während Lese- und Schreiboperationen steuern.
  • An diesem Punkt im Prozessfluss stellen die Opfergatestrukturen 20 Platzhalterstrukturen dar, die im Verlauf der Bearbeitung nachfolgend geschnitten und durch funktionale Gatestrukturen ersetzt werden. Die funktionalen Gatestrukturen werden verwendet, um einen Ausgangsstrom (insbesondere Fluss von Ladungsträgern im Kanal) der CFETs 50, 52 und NSFETs 54, 56 zu steuern.
  • Mit Bezug auf die 9, 9A, 9B, 9C, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 8, 8A, 8B bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird der Prozessfluss mit dem Gate-Austausch fortgesetzt und wird am besten in Verbindung mit einer unterschiedlichen Menge von Ansichten der Struktur beschrieben. In 9B ist der Überlapp zwischen den Source/Drain-Bereichen 38 und 46 des CFET 50 und der Source/Drain-Bereiche 38 und 46 des CFETs 52 sichtbar. Wie aus 9B heraus ersichtlich ist, sind die Source/Drain-Bereiche 38 der CFETs 50 und 52, die aus der Halbleiterschicht 36 strukturiert werden, in einer Ebene enthalten. Die Source/Drain-Bereiche 46 der CFETs 50 und 52, die aus der Halbleiterschicht 44 strukturiert werden, sind in einer anderen Ebene enthalten, die vertikal bezüglich der Ebene angeordnet ist, die die Source/Drain-Bereiche 38 umfasst. Zusätzlich können die Source/Drain-Bereiche 38, wenn strukturiert, derart dimensioniert sein, dass sie unterschiedliche physikalische Größen aufweisen, und die Source/Drain-Bereiche 46 können ähnlich dimensioniert sein, wenn strukturiert, so dass sie unterschiedliche physikalische Größen aufweisen.
  • Die Opfergatestrukturen 20 und ihre dielektrischen Abstandshalter 24 werden durch Lithografie und Ätzen strukturiert, um in den Opfergatestrukturen 20 Schnitte 62 festzulegen. Die Schnitte 62 werden mit einer dielektrischen Schicht 64 gefüllt, die aus einem dielektrischen Material gebildet ist, z. B. SiCO oder SiC, das ausgewählt ist, so dass es bezüglich einem Ätzprozess entfernbar ist, der zu dem Material der dielektrischen Schicht 60 selektiv ist. Die Opfergatestrukturen 20 verbleiben an gegenüberliegenden Seiten der Schnitte 62 intakt, um eine Trennung zwischen den geschnittenen Enden der nun aufgeteilten Opfergatestrukturen 20 bereitzustellen.
  • Mit Bezug auf die 10A, 10,B, 10C, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 9, 9A, 9B, 9C bezeichnen, und in einer nachfolgenden Fertigungsphase des Berarbeitungsverfahrens werden die dielektrische Schicht 60, die dielektrische Schicht 64 in den Schnitten 62 und die dielektrischen Kappen 22 poliert, um die Opfergatestrukturen 20 freizulegen. Die Opfergatestrukturen 20 und Opferschichten 12 können mit wenigstens einem Ätzprozess selektiv entfernt werden, der ihre Materialien selektiv bezüglich den Materialien der Nanosheet-Kanalschichten 10 und den inneren Abstandshaltern 34 entfernt, was die Nanosheet-Kanalschichten 10 freilegt.
  • Nach dem Entfernen der Opfergatestrukturen 20 zum Freilegen der Nanosheet-Kanalschichten 10 werden funktionale Gatestrukturen 66, die mit den CFETs 50, 52 und den NSFETs 54, 56 einhergehen, in den Räumen, die jede der Nanosheet-Kanalschichten 10 als Teil eines Austauschgateprozesses umgeben, gebildet. Die dielektrische Schicht 64 in den Schnitten 62 wird durch den Austauschgateprozess nicht beeinflusst. Jede funktionale Gatestruktur 66 kann eine dielektrische Gateschicht 70 umfassen, die aus einem dielektrischen Material, z. B. einem High-k-Dielektrikum, z. B. Hafniumoxid (HfO2), und einer Metallgateelektrode 68 gebildet ist. Die dielektrische Gateschicht 70 ist zwischen der Metallgateelektrode 68 und den äußeren Oberflächen der Nanosheet-Kanalschichten 10 angeordnet, sowie zwischen der Metallgateelektrode 68 und der dielektrischen Schicht 64 in den Schnitten 62. Die Metallgateelektrode 68 umfasst wenigstens eine konforme Barrierenmetallschicht und/oder Austrittsarbeitsmetallschicht, z. B. Schichten gebildet aus Titanaluminiumkohlenstoff (TiAIC) und/oder Titannitrid (TiN), und eine Metallgatefüllschicht, die aus einem Leiter gebildet ist, z. B. Wolfram (W). Eine Kappe 72, die aus einem dielektrischen Material gebildet ist, z. B. Siliziumnitrid, wird in den Räumen über jeder der funktionalen Gatestrukturen 66 gebildet.
  • Abschnitte der funktionalen Gatestruktur 66 sind in den Räumen angeordnet, die vormals durch die entfernten Opferschichten 12 belegt wurden. Diese Abschnitte der funktionalen Gatestrukturen umgeben entsprechende äußere Oberflächen der Nanosheet-Kanalschichten 10 in einer Gate-All-Around-Anordnung, in der sich die Abschnitte der funktionalen Gatestruktur 66 um die einzelnen Nanosheet-Kanalschichten 10 wickeln. Die Nanosheet-Kanalschichten 10 des CFET 50 und die Nanosheet-Kanalschichten 10 des CFET 52 sind in einem vertikalen Stapel angeordnet. Die Nanosheet-Kanalschichten 10 fungieren als Kanäle für den Fluss von Ladungsträgern, die während des Betriebs der CFETs 50, 52 und des Betriebs der NSFETs 54, 56 gebildet werden. Die inneren Abstandshalber 34 sind zwischen den Abschnitten der funktionalen Gatestruktur 66 und den Source/Drain-Bereichen 38, 46 angeordnet, die aus epitaktischen Halbleitermaterialien mit unterschiedlichen Leitfähigkeitstypen gebildet werden.
  • Mit Bezug auf die 11A, 11B, 11C, in denen ähnliche Bezugszeichen, ähnliche Merkmale in den 10A, 10B, 10C bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine Ätzmaske 74 über der Struktur gebildet und strukturiert, um eine Öffnung 76 zu bilden, die zu einem Abschnitt der dielektrischen Schicht 64 in einem der Schnitte 62 ausgerichtet ist. Die Ätzmaske 74 kann ein Spin-On-Hartmaske (SOH) umfassen, z. B. eine organische Planarisierungsschicht (OPL), die mittels Schleuderbeschichtung aufgebracht und mittels Lithografie und Ätzen strukturiert wird. Der freiliegende Abschnitt der dielektrischen Schicht 64 wird mit einem Ätzprozess entfernt, z. B. einem reaktiven lonenätzen (RIE), der bezüglich dem Material der dielektrischen Schicht 60 selektiv ist. Die Öffnung 76 legt einen Abschnitt von einer der funktionalen Gatestrukturen 66 frei und die dielektrische Gateschicht 70 wird von der Metallgateelektrode 68 des freiliegenden Abschnitts der funktionalen Gatestruktur 66 durch einen Ätzprozess entfernt.
  • Mit Bezug auf die 12A, 12B, 12C, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 11A, 11B, 11C bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine Leiterschicht 78 innerhalb der Öffnung 76 abgeschieden. Die Leiterschicht 78 stellt eine vergrabene Zwischenverbindung bereit, die sich zwischen der Metallgateelektrode 68 des freiliegenden Abschnitts der funktionalen Gatestruktur 66 und einem der Source/Drain-Bereiche 38 erstreckt. In der anschaulichen Ausführungsform verbindet die vergrabene Zwischenverbindung, die durch die Leiterschicht 78 bereitgestellt wird, die funktionale Gatestruktur 66 des CFET 52 über Kreuz mit dem Source/Drain-Bereich 38 des CFET 50. Die größeren Dimensionen des gekoppelten Source/Drain-Bereichs 38 können dazu beitragen, dass die Kopplung zwischen der funktionalen Gatestruktur 66 das CFET 52 und des Source/Drain-Bereichs 38 des CFET 50 bereitgestellt wird. Während es in den Zeichnungen nicht dargestellt ist, kann eine vergrabene Zwischenverbindung (nicht dargestellt), die durch einen unterschiedlichen Abschnitt der Leiterschicht 78 bereitgestellt wird, die funktionale Gatestruktur 66 des CFET 50 mit dem Source/Drain-Bereich 38 des CFET 52 über Kreuz koppeln. Die Leiterschicht 78 kann aus einem Metall gebildet sein, z. B. Wolfram (W), Kobalt (Co) oder Ruthenium (Ru), das abgeschieden und zurückgeätzt wird. Eine Kappe 80, die aus Siliziumnitrid gebildet sein kann, wird in dem Raum über der Leiterschicht 78 gebildet und wirkt mit der Kappe 72 zusammen, um die funktionalen Gatestrukturen 66 zu bedecken.
  • Mit Bezug auf die 13, 13A, 13B, 13C, 13D, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 12A, 12B, 12C bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine der Prozessfluss mit der Bildung von Kontakten fortgesetzt und in Verbindung mit einer verschiedenen Menge von Ansichten der Struktur am besten beschrieben. 13A verläuft im Allgemeinen entlang der gleichen Linie wie 8A, jedoch nachdem die Schnitte 62 gebildet und durch die dielektrische Schicht 64 gefüllt wurden und nach dem Austausch der Opfergatestrukturen 20 durch die funktionalen Gatestrukturen 64. 13C verläuft im Allgemeinen entlang der gleichen Linie wie 12C.
  • Über der Struktur wird eine dielektrische Opferschicht 82 abgeschieden und mit reaktivem lonenätzen und einer Ätzmaske strukturiert, um Öffnungen 84, 86 bereitzustellen, die sich über den oberen Source/Drain-Bereichen 46 und den unteren Source/Drain-Bereichen 38 erstrecken. Die dielektrischen Schichten 60 und 82 können durch einen Ätzprozess strukturiert werden, der die dielektrischen Schichten 60 und 82 bezüglich den Materialien der Kappen 72, 80, der dielektrischen Abstandshalter 24, der dielektrischen Schicht 64 in den Schnitten 62 und den Source/Drain-Bereichen 38 und 46 selektiv entfernt. Eine der Öffnungen 84 schneidet die Seitenkante 37 des Source/Drain-Bereichs 38 des CFET 50 und die Seitenkante 47 des Source/Drain-Bereichs 46 des CFET 50 und legt diese frei. Eine andere der Öffnungen 84 schneidet die Seitenkante 37 des Source/Drain-Bereichs 38 des CFET 52 und die Seitenkante 47 des Source/Drain-Bereichs 46 des CFET 52 und legt diese frei.
  • Mit Bezug auf die 14A, 14B, 14C, 14D, in denen ähnliche Bezugszeichen ähnliche Merkmale wie in den 13A, 13B, 13C, 13D bezeichnen, und einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden Grabensilizid (TS) -Kontakte 88, 90 entsprechend in den Öffnungen 84, 86 gebildet und erstrecken sich vertikal zu den oberen Source/Drain-Bereichen 46 und den unteren Source/Drain-Bereichen 38. Die TS-Kontakte 88, 90 können ein Metallsilizid umfassen, z. B. Wolframsilizid (WSi2), Titansilizid (TiSi2), Nickelsilizid (NiSi) oder Kobaltsilizid (CoSi2), sowie einen darüber liegenden Leiter z. B. Wolfram (W) oder Kobalt (Co). Die TS-Kontakte 88, 90 können durch ein chemisch-mechanische Polieren (CMP) auf die Ebene der Kappen 72, 80 planarisiert werden, was die dielektrische Opferschicht 82 entfernt. Einer der TS-Kontakte 88 schneidet die freiliegende Seitenkante 37 des Source/Drain-Bereichs 38 des CFET 50 und die freiliegende Seitenkante 47 des Source/Drain-Bereichs 46 des CFET 50. Ein anderer der TS-Kontakte 88 schneidet die freiliegende Seitenkante 37 des Source/Drain-Bereichs 38 des CFET 52 und die freiliegende Seitenkante 47 des Source/Drain-Bereichs 46 des CFET 52.
  • Wie am besten in 14D dargestellt kann eine andere Metallisierungsebene 92 auf der Struktur gebildet werden. Die Metallisierungsebene 92 umfasst einen Kontakt (nicht dargestellt), der mit den funktionalen Gatestrukturen 66 und den leitfähigen Merkmalen 94, 96, 98 gekoppelt ist. Das leitfähige Merkmal 96 ist mit dem Source/Drain-Bereich 46 des CFET 52 verbunden. Das leitfähige Merkmal 98 ist mit dem Source/Drain-Bereich 38 des CFET 54 verbunden. In einer Ausführungsform kann das leitfähige Merkmal 94 eine Bitleitung sein, die mit einer 6-T-STRAM-Zelle verbunden ist, die die CFETs 52, 54 und die NSFETs 56, 58 umfasst. Das leitfähige Merkmal 56 kann eine Leitung für eine positive Versorgungsspannung (VDD - Leitung) sein, die mit dem Source/Drain-Bereich 46 verbunden ist. Das leitfähige Merkmal 98 kann eine inverse Bitleitung sein, die mit der 6-T-SRAM-Zelle verbunden ist. Eine Masseleitung (Vss -Leitung) (nicht dargestellt), kann in der Metallisierungsebene 92 gebildet und mit dem Source/Drain-Bereich 38 gekoppelt sein. Alternativ kann ein leitfähiges Merkmal 100, das in der dielektrischen Schicht 16 bereitgestellt ist, mit dem Source/Drain-Bereich 38 gekoppelt sein.
  • Die oben beschriebenen Verfahren werden in der Fertigung von integrierten Schaltungschips verwendet. Die sich ergebenden integrierten Schaltungschips können durch den Hersteller in der Form von rohen Wafern (z. B. als ein einzelner Wafer mit mehreren nichtgehausten Chips), als ein reines Die oder in eingehauster Form vertrieben werden. Im letzteren Fall ist der Chip in einem Einzelchipgehäuse (z. B. einem Plastikträger mit Leitungen, die an einem Motherboard oder einem anderen Träger höherer Ordnung befestigt sind) oder in einem Mehrchipgehäuse montiert (z. B. einem Keramikträger mit Oberflächenzwischenverbindungen und/oder vergrabenen Zwischenverbindungen). In jedem Fall kann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder Signalverarbeitungsvorrichtungen als Teil von einem Zwischenprodukt oder einem Endprodukt integriert sein.
  • Bezugnahmen hierin auf Begriffe, wie z. B. „vertikal“, „horizontal“, „lateral“ usw. erfolgen beispielhaft und nicht beschränkend zur Ausbildung eines Bezugsrahmens. Begriffe wie z. B. „horizontal“ und „lateral“ beziehen sich auf eine Richtung in einer Ebene parallel zu einer oberseitigen Oberfläche eines Halbleitersubstrats, unabhängig von dessen tatsächlicher dreidimensionaler räumlicher Orientierung. Begriffe, wie z. B. „vertikal“ und „normal“ beziehen sich auf eine Richtung senkrecht zu der „horizontalen“ und „lateralen“ Richtung. Begriff, wie z. B. „über“ und „unter“ zeigen eine Positionierung von Elementen oder Strukturen relativ zueinander und/oder zu der oberseitigen Oberfläche des Halbleitersubstrats gegenüber einer relativen Erhöhung an.
  • Ein mit einem anderen Element „verbundenes“ oder „gekoppeltes“ Merkmal kann mit dem anderen Element direkt verbunden oder gekoppelt sein. Stattdessen kann wenigstens ein dazwischen liegendes Element vorhanden sein. Ein Merkmal kann mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ sein, wenn keine dazwischen liegenden Elemente vorhanden sind. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ oder „indirekt gekoppelt“ sein, wenn wenigstens ein dazwischen liegendes Element vorliegt.

Claims (7)

  1. Struktur, umfassend: einen ersten komplementären Feldeffekttransistor (50) mit einem ersten Nanosheet-Transistor mit einem Source/Drain-Bereich (38) und einem zweiten Nanosheet-Transistor mit einem Source/Drain-Bereich (46), wobei der Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) über dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) gestapelt ist und wobei der erste Nanosheet-Transistor und der zweite Nanosheet-Transistor des ersten komplementären Feldeffekttransistors ein gemeinsames Gate (66) haben; einen Kontakt (88), der sich vertikal erstreckt, um den Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und den Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors zu verbinden; einen zweiten komplementären Feldeffekttransistor (52) mit einem Nanosheet-Transistor mit einer funktionalen Gatestruktur (66), die mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors gekoppelt ist; eine Grabenisolierung (16) mit einer oberseitigen Oberfläche, wobei die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors und der Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors auf der oberseitigen Oberfläche der Grabenisolierung angeordnet sind; eine vergrabene Zwischenverbindung (78) auf der oberseitigen Oberfläche der Grabenisolierung, wobei die vergrabene Zwischenverbindung die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors koppelt; und eine dielektrische Schicht (42), die zwischen dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und dem Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors angeordnet ist, wobei die vergrabene Zwischenverbindung zwischen der oberseitigen Oberfläche der Grabenisolierung und der dielektrischen Schicht (42) angeordnet ist.
  2. Struktur nach Anspruch 1, wobei eine weitere vergrabene Zwischenverbindung (100) unterhalb einer oberseitigen Oberfläche der Grabenisolierung eine Leitung für eine positive Versorgungsspannung oder eine Masseleitung mit einem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors koppelt.
  3. Struktur nach Anspruch 1, wobei der Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors eine erste Seitenkante (37) aufweist, der Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors eine zweite Seitenkante (47) aufweist und der Kontakt (88) mit der ersten Seitenkante (37) und der zweiten Seitenkante verbunden ist.
  4. Struktur nach Anspruch 1, ferner umfassend: einen Zugriffs-Nanosheet-Transistor mit einem ersten Source/Drain-Bereich (38) und einer ersten Nanosheet-Kanalschicht (10), die den ersten Source/Drain-Bereich des Zugriffs-Nanosheet-Transistors (38) mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors koppelt.
  5. Struktur, umfassend: einen ersten komplementären Feldeffekttransistor (50) mit einem ersten Nanosheet-Transistor mit einem Source/Drain-Bereich (38) und einem zweiten Nanosheet-Transistor mit einem Source/Drain-Bereich (46), wobei der Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) über dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) gestapelt ist und wobei der erste Nanosheet-Transistor und der zweite Nanosheet-Transistor des ersten komplementären Feldeffekttransistors ein gemeinsames Gate (66) haben; einen zweiten komplementären Feldeffekttransistor (54) mit einem Nanosheet-Transistor mit einer funktionalen Gatestruktur (66), die mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors gekoppelt ist; eine Grabenisolierung (16) mit einer oberseitigen Oberfläche, wobei die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors und der Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors auf der oberseitigen Oberfläche der Grabenisolierung angeordnet sind; eine vergrabene Zwischenverbindung (78) auf der oberseitigen Oberfläche der Grabenisolierung, wobei die vergrabene Zwischenverbindung die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors koppelt; und eine dielektrische Schicht (42), die zwischen dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und dem Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors angeordnet ist, wobei die vergrabene Zwischenverbindung zwischen der oberseitigen Oberfläche der Grabenisolierung und der dielektrischen Schicht (42) angeordnet ist.
  6. Verfahren, umfassend: ein Bilden eines ersten komplementären Feldeffekttransistors (50) mit einem ersten Nanosheet-Transistor mit einem Source/Drain-Bereich (38) und einem zweiten Nanosheet-Transistor mit einem Source/Drain-Bereich (46), wobei der Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) über dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) gestapelt ist und wobei der erste Nanosheet-Transistor und der zweite Nanosheet-Transistor des ersten komplementären Feldeffekttransistors ein gemeinsames Gate (66) haben; und ein Bilden eines Kontakts (88), der sich vertikal erstreckt, um den Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und den Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors zu verbinden, ein Bilden eines zweiten komplementären Feldeffekttransistors (52) mit einem Nanosheet-Transistor mit einer funktionalen Gatestruktur (66), die mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors gekoppelt ist; ein Bilden einer Grabenisolierung (16) mit einer oberseitigen Oberfläche, wobei die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors und der Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors auf der oberseitigen Oberfläche der Grabenisolierung angeordnet sind; ein Bilden einer vergrabenen Zwischenverbindung (78) auf der oberseitigen Oberfläche der Grabenisolierung, wobei die vergrabene Zwischenverbindung die funktionale Gatestruktur (66) des Nanosheet-Transistors des zweiten komplementären Feldeffekttransistors mit dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors koppelt; und ein Bilden einer dielektrischen Schicht (42), die zwischen dem Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors und dem Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors angeordnet ist, wobei die vergrabene Zwischenverbindung zwischen der oberseitigen Oberfläche der Grabenisolierung und der dielektrischen Schicht (42) angeordnet ist.
  7. Verfahren nach Anspruch 6, wobei der Source/Drain-Bereich des ersten Nanosheet-Transistors (38) des ersten komplementären Feldeffekttransistors eine erste Seitenkante (37) aufweist, der Source/Drain-Bereich des zweiten Nanosheet-Transistors (46) des ersten komplementären Feldeffekttransistors eine zweite Seitenkante (47) aufweist und der Kontakt (88) mit der ersten Seitenkante (37) und der zweiten Seitenkante (47) verbunden ist.
DE102019200120.3A 2018-01-10 2019-01-08 Schaltungen basierend auf komplementären Feldeffekttransistoren Active DE102019200120B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/866,855 2018-01-10
US15/866,855 US10418449B2 (en) 2018-01-10 2018-01-10 Circuits based on complementary field-effect transistors

Publications (2)

Publication Number Publication Date
DE102019200120A1 DE102019200120A1 (de) 2019-07-11
DE102019200120B4 true DE102019200120B4 (de) 2022-01-20

Family

ID=66995573

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019200120.3A Active DE102019200120B4 (de) 2018-01-10 2019-01-08 Schaltungen basierend auf komplementären Feldeffekttransistoren

Country Status (4)

Country Link
US (1) US10418449B2 (de)
CN (1) CN110034116B (de)
DE (1) DE102019200120B4 (de)
TW (1) TWI695503B (de)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10818674B2 (en) 2019-03-07 2020-10-27 Globalfoundries Inc. Structures and SRAM bit cells integrating complementary field-effect transistors
US10840146B1 (en) * 2019-06-17 2020-11-17 Globalfoundries Inc. Structures and SRAM bit cells with a buried cross-couple interconnect
US10971505B1 (en) 2020-02-10 2021-04-06 Taiwan Semiconductor Manufacturing Company Limited Memory devices and methods of manufacturing thereof
US11177258B2 (en) 2020-02-22 2021-11-16 International Business Machines Corporation Stacked nanosheet CFET with gate all around structure
US11664656B2 (en) 2020-03-18 2023-05-30 Mavagail Technology, LLC ESD protection for integrated circuit devices
EP3886145A1 (de) 2020-03-24 2021-09-29 Imec VZW Verfahren zur verarbeitung einer nanosheet-vorrichtung
CN113496950B (zh) * 2020-04-01 2024-07-16 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11349004B2 (en) * 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
US11996409B2 (en) 2020-05-20 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking CMOS structure
US11532627B2 (en) * 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
DE102020134570A1 (de) * 2020-05-27 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und -verfahren
US11282838B2 (en) 2020-07-09 2022-03-22 International Business Machines Corporation Stacked gate structures
US11742247B2 (en) * 2020-07-17 2023-08-29 Synopsys, Inc. Epitaxial growth of source and drain materials in a complementary field effect transistor (CFET)
US11915984B2 (en) 2020-07-17 2024-02-27 Synopsys, Inc. Forming a wrap-around contact to connect a source or drain epitaxial growth of a complimentary field effect transistor (CFET) to a buried power rail (BPR) of the CFET
US11710634B2 (en) 2020-07-17 2023-07-25 Synopsys, Inc. Fabrication technique for forming ultra-high density integrated circuit components
US11502167B2 (en) 2020-10-02 2022-11-15 Samsung Electronics Co., Ltd. Semiconductor device having stepped multi-stack transistor structure
US11315938B1 (en) 2020-12-18 2022-04-26 International Business Machines Corporation Stacked nanosheet rom
US20220406776A1 (en) * 2021-06-21 2022-12-22 International Business Machines Corporation Stacked fet with different channel materials
US12040327B2 (en) 2021-08-11 2024-07-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor device having vertical misalignment
US20230320056A1 (en) * 2022-04-05 2023-10-05 International Business Machines Corporation Nanosheet pull-up transistor in sram

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20160211264A1 (en) 2015-01-20 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Inverters and Manufacturing Methods Thereof
WO2017105515A1 (en) 2015-12-18 2017-06-22 Intel Corporation Stacked transistors
EP3340300A1 (de) 2016-12-21 2018-06-27 IMEC vzw Bit-zelle für einen statischen direktzugriffsspeicher
DE102017122830A1 (de) 2017-09-18 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung eines halbleiter-bauelements und ein halbleiter-bauelement

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1804286A1 (de) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Halbleitervorrichtung mit einer verlängerten Nanostruktur
US20080272439A1 (en) * 2007-05-01 2008-11-06 Dsm Solutions, Inc. Small geometry mos transistor with thin polycrystalline surface contacts and method for making
EP2378557B1 (de) * 2010-04-19 2015-12-23 Imec Verfahren zur Herstellung eines vertikalen TFET
US9048840B2 (en) * 2011-08-22 2015-06-02 M/A-Com Technology Solutions Holdings, Inc. 20V to 250V high current ASIC PIN diode driver
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US9633835B2 (en) * 2013-09-06 2017-04-25 Intel Corporation Transistor fabrication technique including sacrificial protective layer for source/drain at contact location
WO2015147792A1 (en) * 2014-03-24 2015-10-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9653287B2 (en) * 2014-10-30 2017-05-16 Samsung Electronics Co., Ltd. S/D connection to individual channel layers in a nanosheet FET
US9472551B2 (en) * 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical CMOS structure and method
EP3127862B1 (de) * 2015-08-06 2018-04-18 IMEC vzw Verfahren zur herstellung einer gate-all-around-nanodrahtvorrichtung mit zwei unterschiedlichen nanodrähten
US9748335B1 (en) * 2016-02-29 2017-08-29 Globalfoundries Inc. Method, apparatus and system for improved nanowire/nanosheet spacers
US9773886B1 (en) * 2016-03-15 2017-09-26 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having doped internal spacers and methods of manufacturing the same
US9941405B2 (en) * 2016-03-21 2018-04-10 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same
US9887192B2 (en) * 2016-06-30 2018-02-06 Globalfoundries Inc. Interconnects for vertical-transport field-effect transistors
US9704863B1 (en) * 2016-09-09 2017-07-11 International Business Machines Corporation Forming a hybrid channel nanosheet semiconductor structure
FR3060840B1 (fr) * 2016-12-15 2019-05-31 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation d'un dispositif semi-conducteur a espaceurs internes auto-alignes
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10283516B1 (en) * 2018-06-27 2019-05-07 International Business Machines Corporation Stacked nanosheet field effect transistor floating-gate EEPROM cell and array

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20160211264A1 (en) 2015-01-20 2016-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Inverters and Manufacturing Methods Thereof
WO2017105515A1 (en) 2015-12-18 2017-06-22 Intel Corporation Stacked transistors
EP3340300A1 (de) 2016-12-21 2018-06-27 IMEC vzw Bit-zelle für einen statischen direktzugriffsspeicher
DE102017122830A1 (de) 2017-09-18 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung eines halbleiter-bauelements und ein halbleiter-bauelement

Also Published As

Publication number Publication date
CN110034116B (zh) 2023-06-20
TWI695503B (zh) 2020-06-01
DE102019200120A1 (de) 2019-07-11
US20190214469A1 (en) 2019-07-11
US10418449B2 (en) 2019-09-17
TW201939747A (zh) 2019-10-01
CN110034116A (zh) 2019-07-19

Similar Documents

Publication Publication Date Title
DE102019200120B4 (de) Schaltungen basierend auf komplementären Feldeffekttransistoren
DE102019205650B4 (de) Struktur für einen Feldeffekttransistor und Verfahren
DE102018218518B4 (de) Epitaktische Strukturen in komplementären Feldeffekttransistoren
DE102016106969B4 (de) Halbleitervorrichtung
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102015117142B4 (de) Kontakte für stark skalierte Transistoren und Verfahren zu ihrer Herstellung
DE102014219912B4 (de) Verfahren zum Bilden von FinFET-Halbleitervorrichtungen unter Verwendung einer Austauschgatetechnik und die resultierenden Vorrichtungen
DE112013001404B4 (de) Verfahren zum Verhindern eines Kurzschließens von benachbarten Einheiten
DE102020205411A1 (de) Strukturen und sram-bitzellen mit einer vergrabenen querverbindung
DE102018110326B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102018218869B4 (de) Verfahren zum Bilden von Kontaktstrukturen auf integrierten Schaltungsprodukten
DE102020201378A1 (de) Komplementäre feldeffekttransistoren integrierende strukturen und sram-bitzellen
DE102018115909A1 (de) Struktur und Verfahren für Finfet-Vorrichtung mit Kontakt über dielektrischem Gate
DE102017128255B4 (de) Halbleitervorrichtung und Verfahren
DE112016003961T5 (de) Herstellung von vertikalen Transistoren und Einheiten
DE102018101652A1 (de) Integrierte Schaltungsvorrichtung und Verfahren zum Herstellen derselben
DE102012108406A1 (de) Halbleitervorrichtungen und Verfahren zur Herstellung derselben
DE102019206113B4 (de) Feldeffekttransistoren mit multiplen Gatelängen und Verfahren zu seiner Herstellung
DE102018110017B4 (de) Halbleiterspeichervorrichtung und herstellungsverfahren dafür
DE102007033017A1 (de) Integrierte Schaltkreise, Verfahren zum Herstellen eines integrierten Schaltkreises, Speichermodule, Computersysteme
DE102018100297A1 (de) FinFET-Bauelemente mit eingebetteten Luftspalten und ihre Fertigung
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102019215248B4 (de) Finfet mit isolierenden schichten zwischen dem gate und source/drain-kontakten sowie verfahren zu seiner herstellung
DE102020104975B4 (de) Via-first-prozess zum verbinden eines kontakts und einer gate-elektrode
DE102019128703A1 (de) Halbleitervorrichtung und herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final