DE102015225535A1 - Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors - Google Patents

Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors Download PDF

Info

Publication number
DE102015225535A1
DE102015225535A1 DE102015225535.2A DE102015225535A DE102015225535A1 DE 102015225535 A1 DE102015225535 A1 DE 102015225535A1 DE 102015225535 A DE102015225535 A DE 102015225535A DE 102015225535 A1 DE102015225535 A1 DE 102015225535A1
Authority
DE
Germany
Prior art keywords
radiation
wafer
mirror
reflecting coating
individual mirrors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102015225535.2A
Other languages
German (de)
Inventor
Yanko Sarov
Markus Hauf
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102015225535.2A priority Critical patent/DE102015225535A1/en
Publication of DE102015225535A1 publication Critical patent/DE102015225535A1/en
Ceased legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/00714Treatment for improving the physical properties not provided for in groups B81C1/0065 - B81C1/00706
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70075Homogenization of illumination intensity in the mask plane by using an integrator, e.g. fly's eye lens, facet mirror or glass rod, by using a diffusing optical element or by beam deflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • B81B2201/042Micromirrors, not used as optical switches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/05Arrays
    • B81B2207/053Arrays of movable structures

Abstract

Bei einem Verfahren zur Herstellung einer Vielspiegel-Anordnung (19) mit einer Vielzahl von verlagerbaren Einzelspiegeln (20) wird eine strahlungsreflektierende Beschichtung (28) auf die Vorderseite eines Wafers (34) aufgebracht, bevor die Einzelspiegel (20) aus dem Wafer (34) ausgelöst werden.In a method for producing a multi-mirror arrangement (19) having a multiplicity of displaceable individual mirrors (20), a radiation-reflecting coating (28) is applied to the front side of a wafer (34) before the individual mirrors (20) are removed from the wafer (34). to be triggered.

Description

Die Erfindung betrifft ein Verfahren zur Herstellung einer Vielspiegel-Anordnung mit einer Vielzahl von verlagerbaren Einzelspiegeln. Die Erfindung betrifft außerdem eine Vielspiegel-Anordnung mit einer Vielzahl von verlagerbaren Einzelspiegeln, eine Beleuchtungsoptik und ein Beleuchtungssystem für eine Projektionsbelichtungsanlage sowie eine Projektionsbelichtungsanlage. Schließlich betrifft die Erfindung ein Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements sowie ein verfahrensgemäß hergestelltes Bauelement. The invention relates to a method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors. The invention also relates to a multi-mirror arrangement having a plurality of displaceable individual mirrors, an illumination optics and a lighting system for a projection exposure apparatus and a projection exposure apparatus. Finally, the invention relates to a method for producing a micro- or nanostructured component as well as a device manufactured according to the method.

Die Verwendung einer Vielspiegel-Anordnung in einer Beleuchtungsoptik einer Projektionsbelichtungsanlage ist beispielsweise aus der DE 10 2011 006 100 A1 bekannt. Verfahren zur Herstellung einer Vielspiegel-Anordnung sind beispielsweise aus der DE 10 2015 220 018.3 , der DE 10 2014 219 648 A1 und der WO 2014 140 015 A1 bekannt. The use of a multi-mirror arrangement in an illumination optical system of a projection exposure apparatus is known, for example, from US Pat DE 10 2011 006 100 A1 known. A method for producing a multi-mirror arrangement, for example, from DE 10 2015 220 018.3 , of the DE 10 2014 219 648 A1 and the WO 2014 140 015 A1 known.

Es besteht fortwährend Bedarf, derartige Vielspiegel-Anordnungen sowie Verfahren zu deren Herstellung zu verbessern. There is a continuing need to improve such multi-mirror arrays and methods of making same.

Diese Aufgaben werden durch die erfindungsgemäße Vielspiegel-Anordnung beziehungsweise das erfindungsgemäße Verfahren zur Herstellung einer Vielspiegel-Anordnung gelöst. These objects are achieved by the multi-mirror arrangement according to the invention or the method according to the invention for producing a multi-mirror arrangement.

Der Kern der Erfindung besteht darin, eine strahlungsreflektierende Beschichtung auf einen Wafer aufzubringen, bevor eine Vielzahl von Einzelspiegeln aus diesem ausgelöst werden. The core of the invention is to apply a radiation-reflective coating on a wafer before a plurality of individual mirrors are triggered from this.

Hierdurch kann die Herstellung der Vielspiegel-Anordnung vereinfacht werden und ihre Funktionalität gewährleistet werden. Der Zeitaufwand und die Kosten zur Herstellung der Vielspiegel-Anordnung können reduziert werden. Außerdem führt das erfindungsgemäße Verfahren zu einer höheren Ausbeute. Schließlich ermöglicht das erfindungsgemäße Verfahren eine Parallelisierung der Verfahrensschritte zur Herstellung der Einzelspiegel der Vielspiegel-Anordnung. As a result, the production of the multi-mirror arrangement can be simplified and their functionality can be ensured. The time and cost of producing the multi-mirror assembly can be reduced. In addition, the inventive method leads to a higher yield. Finally, the method according to the invention enables a parallelization of the method steps for producing the individual mirrors of the multi-mirror arrangement.

Durch eine Aufbringung der strahlungsreflektierenden Beschichtung auf den Wafer, bevor die Einzelspiegel aus diesem ausgelöst werden, kann insbesondere zuverlässig sichergestellt werden, dass die Beschichtung ausschließlich auf die Vorderseite des Wafers aufgebracht wird. Es kann insbesondere zuverlässig verhindert werden, dass sie in den Bereich der späteren Seitenflächen der Einzelspiegel und/oder in einen Bereich hinter den Spiegelkörpern der Einzelspiegel gelangt. Hierdurch kann insbesondere eine Beeinträchtigung der Funktionalität der Vielspiegel-Anordnung, welche durch eine unerwünschte, parasitäre Abscheidung der Beschichtung verursacht werden kann, zuverlässig verhindert. By applying the radiation-reflecting coating to the wafer before the individual mirrors are triggered from the wafer, it is possible in particular to reliably ensure that the coating is applied exclusively to the front side of the wafer. In particular, it can reliably be prevented from reaching the individual mirrors in the region of the later side surfaces of the individual mirrors and / or into an area behind the mirror bodies. As a result, it is possible, in particular, to reliably prevent impairment of the functionality of the multi-mirror arrangement, which can be caused by undesired, parasitic deposition of the coating.

Bei der strahlungsreflektierenden Beschichtung kann es sich insbesondere um eine metallische, eine dielektrische oder eine Mehrlagenbeschichtung handeln. Die strahlungsreflektierende Beschichtung kann insbesondere zur Reflexion von EUV-Strahlung eine Mehrzahl von Molybdän-Silizium-Doppellagen aufweisen. The radiation-reflecting coating may in particular be a metallic, a dielectric or a multilayer coating. The radiation-reflecting coating may have a plurality of molybdenum-silicon double layers, in particular for the reflection of EUV radiation.

Unter einer strahlungsreflektierenden Beschichtung sei im Folgenden insbesondere eine Beschichtung verstanden, welche zu einem Reflexionsgrad, insbesondere einem maximalen Reflexionsgrad, von Beleuchtungstrahlung mit einer vorgegebenen Wellenlänge beziehungsweise aus einem vorgegebenen Wellenlängenbereich von mindestens 20%, insbesondere mindestens 50% führt. In the following, a radiation-reflecting coating is understood as meaning, in particular, a coating which leads to a reflectance, in particular a maximum reflectance, of illumination radiation with a predetermined wavelength or from a predetermined wavelength range of at least 20%, in particular at least 50%.

Nach dem Auslösen der Einzelspiegel aus dem Wafer sind diese beweglich, insbesondere verlagerbar, insbesondere aktuatorisch verlagerbar, gelagert. After the individual mirrors have been released from the wafer, they are movable, in particular displaceable, in particular actuatable, mounted.

Gemäß einem Aspekt der Erfindung ist das Aufbringen der strahlungsreflektierenden Beschichtung in ein mikroelektromechanisches Verfahren (MEMS-Verfahren) integriert. Hierdurch wird das Verfahren weiter vereinfacht sowie insbesondere die Ausbeute gesteigert. According to one aspect of the invention, the application of the radiation-reflecting coating is integrated into a microelectromechanical process (MEMS process). This further simplifies the process and in particular increases the yield.

Das Aufbringen der strahlungsreflektierenden Beschichtung auf den Wafer wird auch als optische Aktivierung (optical activation) bezeichnet. Gemäß dem erfindungsgemäßen Verfahren ist diese optische Aktivierung kein separater Prozess mehr, welcher am im Wesentlichen fertig strukturierten mikroelektromechanischen Bauteil durchgeführt wird, sondern ein Verfahrensschritt, welcher in die Abfolge der Verfahrensschritte zur Herstellung der Vielspiegel-Anordnung integriert ist. Im Ergebnis wird die Herstellung der Vielspiegel-Anordnung erleichtert und die Vielspiegel-Anordnung selbst verbessert. The application of the radiation-reflective coating to the wafer is also referred to as optical activation. According to the method according to the invention, this optical activation is no longer a separate process, which is carried out on the essentially finished microelectromechanical component, but a method step which is integrated into the sequence of method steps for producing the multi-mirror arrangement. As a result, the production of the multi-mirror arrangement is facilitated and the multi-mirror arrangement itself improved.

Gemäß einem weiteren Aspekt der Erfindung wird die Beschichtung ganzflächig auf die Vorderseite des ersten Wafers aufgebracht. Hierdurch wird das Aufbringen der Beschichtung vereinfacht. Außerdem kann hierdurch die Präzision, insbesondere die Homogenität, insbesondere im Hinblick auf die Dicke der Beschichtung, verbessert werden. According to a further aspect of the invention, the coating is applied over the whole area to the front side of the first wafer. As a result, the application of the coating is simplified. In addition, the precision, in particular the homogeneity, in particular with regard to the thickness of the coating, can thereby be improved.

Auf eine Maskierung nicht zu beschichtender Bereiche auf der Vorderseite des Wafers, insbesondere der Spalte zwischen den Spiegeln und des Randes des Anordnungschips kann verzichtet werden. Hierdurch kann auch der Füllfaktor vergrößert werden. On a masking uncoated areas on the front side of the wafer, in particular the gap between the mirrors and the edge of the array chip can be omitted. This can also increase the fill factor.

Gemäß einem weiteren Aspekt der Erfindung wird die strahlungsreflektierende Beschichtung nach ihrem Aufbringen auf die Vorderseite des Wafers strukturiert. Sie kann insbesondere vor weiteren Verfahrensschritten, insbesondere Strukturierungsschritten, insbesondere Strukturierungsschritten zur Strukturierung des ersten Wafers, bearbeitet, insbesondere strukturiert werden. According to another aspect of the invention, the radiation-reflective coating is patterned after its application to the front side of the wafer. In particular, it can be processed, in particular structured, before further process steps, in particular structuring steps, in particular structuring steps for structuring the first wafer.

Zur Strukturierung der strahlungsreflektierenden Beschichtung ist insbesondere ein Ätzverfahren, vorzugsweise ein reaktives Ionen-Ätzverfahren (RIE, reactive ion etching) vorgesehen. Dies ermöglicht ein sehr präzises sowie selektives Strukturieren der strahlungsreflektierenden Beschichtung. Die Strukturierung dient insbesondere dazu, die Reflexionsflächen der Einzelspiegel auf der Vorderseite des Wafers zu definieren. For structuring the radiation-reflecting coating, in particular an etching process, preferably a reactive ion etching process (RIE), is provided. This allows a very precise and selective structuring of the radiation-reflecting coating. The structuring serves, in particular, to define the reflection surfaces of the individual mirrors on the front side of the wafer.

Gemäß einem weiteren Aspekt der Erfindung sind vor und/oder nach dem Aufbringen der strahlungsreflektierenden Beschichtung auf die Vorderseite des Wafers Strukturierungsschritte zur Strukturierung des ersten Wafers vorgesehen. Zur Strukturierung des ersten Wafers sind insbesondere mikroelektromechanische Verfahrensschritte, insbesondere Ätz-Verfahrensschritte und/oder Abscheidungsschritte vorgesehen. According to a further aspect of the invention, structuring steps for structuring the first wafer are provided before and / or after the application of the radiation-reflecting coating to the front side of the wafer. In particular, microelectromechanical process steps, in particular etching process steps and / or deposition steps, are provided for structuring the first wafer.

Gemäß einem weiteren Aspekt der Erfindung wird auf die strahlungsreflektierende Beschichtung eine Schutzschicht aufgebracht. Die Schutzschicht wird insbesondere auf die strahlungsreflektierende Beschichtung aufgebracht, um diese bei weiteren Strukturierungsschritten zu schützen. Die Schutzschicht kann insbesondere nach einer Strukturierung der strahlungsreflektierenden Beschichtung, jedoch vorzugsweise vor der Durchführung weiterer Strukturierungsschritte zur Strukturierung des ersten Wafers auf die strahlungsreflektierende Beschichtung aufgebracht werden. Als Schutzschicht kann insbesondere eine Lage aus Siliziumdioxid (SiO2) dienen. Die Schutzschicht kann insbesondere mittels eines Sputter- oder eines Niedertemperaturplasmas unterstützten chemischen Abscheidungs-Verfahrens (PECFD) aufgebracht werden. According to a further aspect of the invention, a protective layer is applied to the radiation-reflecting coating. The protective layer is applied in particular to the radiation-reflecting coating in order to protect it in further structuring steps. The protective layer can be applied to the radiation-reflecting coating, in particular after structuring the radiation-reflecting coating, but preferably before performing further structuring steps for structuring the first wafer. As a protective layer, in particular a layer of silicon dioxide (SiO 2 ) can be used. The protective layer may be applied in particular by means of a sputtering or a low-temperature plasma assisted chemical deposition method (PECFD).

Die Schutzschicht dient insbesondere dem mechanischen und chemischen Schutz der strahlungsreflektierenden Beschichtung während der weiteren Verfahrensschritte. The protective layer serves, in particular, for the mechanical and chemical protection of the radiation-reflecting coating during the further method steps.

Gemäß einem weiteren Aspekt der Erfindung ist vorgesehen, die Schutzschicht im Wesentlichen bis zum Ende des Herstellungsverfahrens auf der strahlungsreflektierenden Beschichtung zu belassen. Sie wird insbesondere solange auf der strahlungsreflektierenden Beschichtung belassen, bis die Einzelspiegel aus dem Wafer ausgelöst sind. According to a further aspect of the invention, it is provided to leave the protective layer substantially on the radiation-reflecting coating until the end of the production process. In particular, it is left on the radiation-reflecting coating until the individual mirrors have been released from the wafer.

Die Schutzschicht kann vorzugsweise mittels eines Ätzverfahrens, insbesondere mittels Flusssäure, vorzugsweise mittels Flusssäure-Dampf, wieder entfernt werden. The protective layer can preferably be removed again by means of an etching process, in particular by means of hydrofluoric acid, preferably by means of hydrofluoric acid vapor.

Gemäß einem weiteren Aspekt der Erfindung ist zum Auslösen der Einzelspiegel aus dem Wafer ein Ätzverfahren vorgesehen. Zum Auslösen der Einzelspiegel aus dem Wafer kann insbesondere ein Flusssäure-Ätzverfahren, insbesondere ein Flusssäuredampf-Ätzverfahren, oder ein isotropes Ätzverfahren, insbesondere mit SF6-Plasma oder in XeF2-Gas vorgesehen sein. According to a further aspect of the invention, an etching method is provided for triggering the individual mirrors from the wafer. For triggering the individual mirrors from the wafer, in particular a hydrofluoric acid etching process, in particular a hydrofluoric acid vapor etching process, or an isotropic etching process, in particular with SF 6 plasma or in XeF 2 gas, can be provided.

Derartige Verfahren sind gut kontrollierbar. Sie ermöglichen ein präzises sowie selektives Entfernen von nicht benötigten Schutzschichten und/oder Opferbereichen, insbesondere Opferbereichen aus Silizium. Such methods are easy to control. They allow a precise and selective removal of unnecessary protective layers and / or sacrificial areas, in particular sacrificial areas of silicon.

Gemäß einem weiteren Aspekt der Erfindung wird der erste Wafer vor dem Auslösen der Einzelspiegel mit einem zweiten Wafer zu einem Waferstapel verbunden. Bei dem zweiten Wafer handelt es sich insbesondere um einen sogenannten Aktuatorwafer. Der zweite Wafer dient insbesondere der Herstellung der Lagerung, insbesondere der Aufhängung der Einzelspiegel sowie der Bereitstellung der Aktuatoren zur Verlagerung der Einzelspiegel. According to a further aspect of the invention, the first wafer is connected to a wafer stack before triggering the individual mirrors with a second wafer. The second wafer is in particular a so-called actuator wafer. The second wafer is used in particular for the production of the storage, in particular the suspension of the individual mirrors and the provision of the actuators for the displacement of the individual mirrors.

Bei dem zweiten Wafer handelt es sich insbesondere um einen strukturierten Wafer. The second wafer is in particular a structured wafer.

Der erste Wafer wird insbesondere an den zweiten Wafer verbunden, insbesondere gebondet. Zur Verbindung der beiden Wafer kann insbesondere ein Silizium-Aluminium- oder Silizium-Gold-Bonding-Verfahren vorgesehen sein. The first wafer is in particular connected to the second wafer, in particular bonded. In particular, a silicon-aluminum or silicon-gold bonding method may be provided for connecting the two wafers.

Das vorhergehend beschriebene Verfahren führt nicht nur zu Verbesserungen bei der Herstellung der Vielspiegel-Anordnung, sondern auch zu Vorteilen der Vielspiegel-Anordnung selbst. Es ermöglicht insbesondere die Vielspiegel-Anordnung derart auszubilden, dass die strahlungsreflektierende Beschichtung die Vorderseite des Spiegelkörpers vollständig überdeckt, ohne dass die an die Vorderseite angrenzenden Seiten des Spiegelkörpers oder Bereiche des Haltesubstrats, welches auf der der Reflexionsfläche der Einzelspiegel abgewandten Seite der Spiegelkörper angeordnet ist, eine entsprechende Beschichtung oder eine Maskierung zur Verhinderung einer derartigen Beschichtung aufweisen. Es ist insbesondere sichergestellt, dass Bereiche hinter, neben oder unter dem Einzelspiegeln vollständig frei von der strahlungsreflektierenden Beschichtung sind. Hierdurch lässt sich insbesondere die Gefahr der Beeinträchtigung elektrischer/elektronischer Bauteile, welche in diesen Bereichen angeordnet sind, insbesondere die Gefahr von Kurzschlüssen, vermeiden. The method described above not only leads to improvements in the production of the multi-mirror arrangement, but also to advantages of the multi-mirror arrangement itself. In particular, it makes it possible to form the multi-mirror arrangement in such a way that the radiation-reflecting coating completely covers the front side of the mirror body without the sides of the mirror body adjoining the front side or regions of the retaining substrate which is arranged on the side of the mirror body facing away from the reflection surface of the individual mirror have a corresponding coating or masking for preventing such a coating. In particular, it is ensured that areas behind, beside or below the individual mirrors are completely free of the radiation-reflecting coating. This makes it possible, in particular, to avoid the risk of impairment of electrical / electronic components which are arranged in these areas, in particular the danger of short circuits.

Da die strahlungsreflektierende Beschichtung nach ihrem Aufbringen auf den Wafer strukturiert wird und der Wafer anschließend weiteren Strukturierungsschritten unterzogen wird, ist es insbesondere möglich, auf eine Maskierung der Spiegelkörper zur Aufbringung der strahlungsreflektierenden Beschichtung zu verzichten. Außerdem kann eine parasitäre Abscheidung der Beschichtung auf dem Haltesubstrat insbesondere im Bereich hinter den Spiegelkörpern, das heißt auf der der strahlungsreflektierenden Seite abgewandten Seite der Spiegelkörper, verhindert werden. Ebenso kann eine parasitäre Abscheidung der Beschichtung auf dem Anordnungsrand zuverlässig verhindert werden. Es kann insbesondere verhindert werden, dass eine elektrisch leitfähige Beschichtung auf funktionelle Strukturen, beispielsweise Sensoren, Aktuatoren oder elektrische Zuleitungen, abgeschieden wird. Hierdurch kann eine unerwünschte Beeinträchtigung der Funktionsweise dieser funktionellen Strukturen wirksam verhindert werden. Since the radiation-reflecting coating is patterned after its application to the wafer and the wafer is subsequently subjected to further structuring steps, it is possible, in particular, to dispense with a masking of the mirror bodies for the application of the radiation-reflecting coating. In addition, a parasitic deposition of the coating on the retaining substrate, in particular in the region behind the mirror bodies, that is, on the side facing away from the radiation-reflecting side of the mirror body, can be prevented. Likewise, a parasitic deposition of the coating on the arrangement edge can be reliably prevented. In particular, it can be prevented that an electrically conductive coating is deposited on functional structures, for example sensors, actuators or electrical leads. As a result, an undesired impairment of the functioning of these functional structures can be effectively prevented.

Bei der Vorderseite des Spiegelkörpers handelt es sich insbesondere um die dem Haltesubstrat abgewandte Seite des Spiegelkörpers. Die Vorderseite des Spiegelkörpers bildet insbesondere die Reflexionsfläche des jeweiligen Einzelspiegels. The front side of the mirror body is, in particular, the side of the mirror body which faces away from the retaining substrate. The front side of the mirror body forms in particular the reflection surface of the respective individual mirror.

Bei der Vielspiegel-Anordnung handelt es sich insbesondere um eine Vielspiegel-Anordnung, welche nach dem Verfahren gemäß der vorliegenden Erfindung hergestellt wird. In particular, the multi-mirror arrangement is a multi-mirror arrangement which is produced by the method according to the present invention.

Sie kann einen optischen Füllfaktor von mehr als 80%, insbesondere mehr als 90%, insbesondere mehr als 95%, insbesondere mehr als 98% aufweisen. It may have an optical filling factor of more than 80%, in particular more than 90%, in particular more than 95%, in particular more than 98%.

Die Vielspiegel-Anordnung kann insbesondere Bestandteil eines optischen Bauelements, insbesondere eines Facettenspiegels, einer Beleuchtungsoptik einer Projektionsbelichtungsanlage sein. Durch die Vielspiegel-Anordnung werden derartige Facettenspiegel und damit die Beleuchtungsoptik beziehungsweise das Beleuchtungssystem einer Projektionsbelichtungsanlage sowie die Projektionsbelichtungsanlage selbst verbessert. Die Vorteile ergeben sich aus den vorhergehend beschriebenen. The multi-mirror arrangement may in particular be part of an optical component, in particular a facet mirror, an illumination optics of a projection exposure apparatus. Due to the multi-mirror arrangement, such facet mirrors and thus the illumination optics or the illumination system of a projection exposure apparatus as well as the projection exposure apparatus itself are improved. The advantages result from the previously described.

Eine weitere Aufgabe der Erfindung besteht darin, ein Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements zu verbessern. Diese Aufgabe wird durch die Bereitstellung einer Projektionsbelichtungsanlage mit einer erfindungsgemäßen Vielspiegel-Anordnung gelöst. Die Vorteile ergeben sich wiederum aus den vorhergehend beschriebenen. Another object of the invention is to improve a method of manufacturing a micro- or nanostructured device. This object is achieved by providing a projection exposure apparatus with a multi-mirror arrangement according to the invention. The advantages in turn result from the previously described.

Schließlich betrifft die Erfindung ein verfahrensgemäß hergestelltes Bauelement. Dieses zeichnet sich durch eine erhöhte Präzision aus. Finally, the invention relates to a device produced according to the method. This is characterized by an increased precision.

Weitere Aspekte, Vorteile und Einzelheiten der Erfindung ergeben sich aus der Beschreibung von Ausführungsbeispielen anhand der Zeichnungen. Es zeigen: Further aspects, advantages and details of the invention will become apparent from the description of embodiments with reference to the drawings. Show it:

1 eine schematische Darstellung einer Projektionsbelichtungsanlage und ihrer Bestandteile, 1 a schematic representation of a projection exposure system and its components,

2 eine schematische Ansicht einer Vielspiegel-Anordnung mit einer Vielzahl von aktuatorisch verlagerbaren Einzelspiegeln, 2 a schematic view of a multi-mirror arrangement with a plurality of actuatorically displaceable individual mirrors,

3A bis 3D schematisch eine Abfolge von Zwischenprodukten eines Verfahrens zur Herstellung einer Vielspiegel-Anordnung gemäß einer ersten Alternative, 3A to 3D 1 schematically shows a sequence of intermediates of a method for producing a multi-mirror arrangement according to a first alternative,

4A bis 4E schematisch eine Abfolge von Zwischenprodukten eines Verfahrens zur Herstellung einer Vielspiegel-Anordnung gemäß einer weiteren Alternative, 4A to 4E 1 schematically shows a sequence of intermediates of a method for producing a multi-mirror arrangement according to a further alternative,

5A bis 5G schematisch eine Abfolge von Zwischenprodukten eines Verfahrens zur Herstellung einer Vielspiegel-Anordnung gemäß einer weiteren Alternative, 5A to 5G 1 schematically shows a sequence of intermediates of a method for producing a multi-mirror arrangement according to a further alternative,

6A bis 6H schematisch eine Abfolge von Zwischenprodukten eines Verfahrens zur Herstellung einer Vielspiegel-Anordnung gemäß einer weiteren Alternative, 6A to 6H 1 schematically shows a sequence of intermediates of a method for producing a multi-mirror arrangement according to a further alternative,

7A bis 7J schematisch eine Abfolge von Zwischenprodukten bei der Herstellung eines Spiegel-Wafers zur Herstellung einer Vielspiegel-Anordnung, 7A to 7J FIG. 2 schematically shows a sequence of intermediates in the production of a mirror wafer for producing a multi-mirror arrangement, FIG.

8A bis 8G schematisch eine Abfolge von Zwischenprodukten bei der Herstellung eines Aktuator-Wafers zur Herstellung einer Vielspiegel-Anordnung, 8A to 8G FIG. 2 schematically shows a sequence of intermediates in the production of an actuator wafer for producing a multi-mirror arrangement, FIG.

9A bis 9G schematisch eine Abfolge von Zwischenprodukten bei der Herstellung einer Vielspiegel-Anordnung aus einem Spiegel-Wafer gemäß der 7J und einem Aktuator-Wafer gemäß der 8G, 9A to 9G schematically a sequence of intermediates in the production of a multi-mirror arrangement of a mirror wafer according to the 7J and an actuator wafer according to 8G .

10A bis 10M schematisch eine Abfolge von Zwischenprodukten bei der Herstellung eines Spiegel-Wafers zur Herstellung einer Vielspiegel-Anordnung gemäß einer Alternative, 10A to 10M FIG. 2 schematically shows a sequence of intermediates in the production of a mirror wafer for producing a multi-mirror arrangement according to an alternative, FIG.

11A bis 11J schematisch eine Abfolge von Zwischenprodukten bei der Herstellung eines Aktuator-Wafers zur Herstellung einer Vielspiegel-Anordnung gemäß einer Alternative, und 11A to 11J schematically a sequence of intermediates in the manufacture of an actuator wafer for producing a multi-mirror arrangement according to an alternative, and

12A bis 12F schematisch eine Abfolge von Zwischenprodukten bei der Herstellung einer Vielspiegel-Anordnung aus einem Spiegel-Wafer gemäß der 7J und einem Aktuator-Wafer gemäß der 8G gemäß einer Alternative. 12A to 12F schematically a sequence of intermediates in the production of a multi-mirror arrangement of a mirror wafer according to the 7J and an actuator wafer according to 8G according to an alternative.

Vorab sei festgehalten, dass die dargestellten Figuren lediglich der Verdeutlichung der Erfindung, insbesondere der aufeinanderfolgenden Verfahrensschritte dienen. Sie sind nicht einschränkend zu verstehen. Die verfahrensgemäß hergestellten Bauelemente können sich insbesondere in ihren konstruktiven Details von den in den Figuren dargestellten unterscheiden. It should be noted in advance that the illustrated figures merely serve to clarify the invention, in particular the successive method steps. They are not meant to be limiting. The components produced according to the method can differ in particular in their design details from those shown in the figures.

Zunächst wird der allgemeine Aufbau einer Projektionsbelichtungsanlage 1 und deren Bestandteile beschrieben. First, the general structure of a projection exposure apparatus 1 and their components are described.

Zunächst wird der allgemeine Aufbau einer Projektionsbelichtungsanlage 1 und deren Bestandteile beschrieben. Für Details diesbezüglich sei auf die WO 2010/049076 A2 verwiesen, die hiermit vollständig als Bestandteil der vorliegenden Anmeldung in diese integriert ist. Die Beschreibung des allgemeinen Aufbaus der Projektionsbelichtungsanlage 1 ist ausschließlich exemplarisch zu verstehen. Sie dient der Erläuterung einer möglichen Anwendung des Gegenstands der vorliegenden Erfindung. Der Gegenstand der vorliegenden Erfindung kann auch in anderen optischen Systemen, insbesondere in alternativen Varianten von Projektionsbelichtungsanlagen eingesetzt werden. First, the general structure of a projection exposure apparatus 1 and their components are described. For details in this regard is on the WO 2010/049076 A2 which is hereby incorporated in its entirety as part of the present application. The description of the general structure of the projection exposure apparatus 1 is to be understood only as an example. It serves to explain a possible application of the subject of the present invention. The subject matter of the present invention can also be used in other optical systems, in particular in alternative variants of projection exposure apparatuses.

1 zeigt schematisch in einem Meridionalschnitt eine Projektionsbelichtungsanlage 1 für die Mikrolithografie. Ein Beleuchtungssystem 2 der Projektionsbelichtungsanlage 1 hat neben einer Strahlungsquelle 3 eine Beleuchtungsoptik 4 zur Belichtung eines Objektfeldes 5 in einer Objektebene 6. Das Objektfeld 5 kann rechteckig oder bogenförmig mit einem x/y-Aspektverhältnis von beispielsweise 13/1 gestaltet sein. Belichtet wird hierbei ein im Objektfeld 5 angeordnetes und in der 1 nicht dargestelltes reflektierendes Retikel, das eine mit der Projektionsbelichtungsanlage 1 zur Herstellung mikro- bzw. nanostrukturierter Halbleiter-Bauelemente zu projizierende Struktur trägt. Eine Projektionsoptik 7 dient zur Abbildung des Objektfeldes 5 in ein Bildfeld 8 in einer Bildebene 9. Abgebildet wird die Struktur auf dem Retikel auf eine lichtempfindliche Schicht eines im Bereich des Bildfeldes 8 in der Bildebene 9 angeordneten Wafers, der in der Zeichnung nicht dargestellt ist. 1 schematically shows in a meridional section a projection exposure system 1 for microlithography. A lighting system 2 the projection exposure system 1 has next to a radiation source 3 an illumination optics 4 for the exposure of an object field 5 in an object plane 6 , The object field 5 may be rectangular or arcuate with an x / y aspect ratio of, for example, 13/1. One is exposed in the object field 5 arranged and in the 1 not shown reflective reticle, the one with the projection exposure system 1 contributes to the production of microstructured or nanostructured semiconductor devices to be projected structure. A projection optics 7 serves to represent the object field 5 in a picture field 8th in an image plane 9 , The structure on the reticle is imaged onto a photosensitive layer in the area of the image field 8th in the picture plane 9 arranged wafer, which is not shown in the drawing.

Das Retikel, das von einem nicht dargestellten Retikelhalter gehalten ist, und der Wafer, der von einem nicht dargestellten Waferhalter gehalten ist, werden beim Betrieb der Projektionsbelichtungsanlage 1 synchron in der y-Richtung gescannt. Abhängig vom Abbildungsmaßstab der Projektionsoptik 7 kann auch ein gegenläufiges Scannen des Retikels relativ zum Wafer stattfinden. The reticle, which is held by a reticle holder, not shown, and the wafer, which is held by a wafer holder, not shown, are in operation of the projection exposure apparatus 1 scanned synchronously in the y-direction. Depending on the imaging scale of the projection optics 7 can also take place an opposite scanning of the reticle relative to the wafer.

Bei der Strahlungsquelle 3 handelt es sich um eine EUV-Strahlungsquelle mit einer emittierten Nutzstrahlung im Bereich zwischen 5 nm und 30 nm. Es kann sich dabei um eine Plasmaquelle, beispielsweise um eine GDPP-Quelle (Plasmaerzeugung durch Gasentladung, Gas Discharge Produced Plasma), oder um eine LPP-Quelle (Plasmaerzeugung durch Laser, Laser Produced Plasma) handeln. Auch andere EUV-Strahlungsquellen, beispielsweise solche, die auf einem Synchrotron oder auf einem Free Electron Laser (Freie Elektronenlaser, FEL) basieren, sind möglich. At the radiation source 3 it is an EUV radiation source with an emitted useful radiation in the range between 5 nm and 30 nm. It can be a plasma source, for example a GDPP source (plasma generation by gas discharge, gas discharge produced plasma), or an LPP Source (plasma generation by laser, laser produced plasma). Other EUV radiation sources are also possible, for example those based on a synchrotron or on a Free Electron Laser (FEL).

EUV-Strahlung 10, die von der Strahlungsquelle 3 ausgeht, wird von einem Kollektor 11 gebündelt. Ein entsprechender Kollektor ist beispielsweise aus der EP 1 225 481 A2 bekannt. Nach dem Kollektor 11 propagiert die EUV-Strahlung 10 durch eine Zwischenfokusebene 12, bevor sie auf einen Feldfacettenspiegel 13 trifft. Der Feldfacettenspiegel 13 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die zur Objektebene 6 optisch konjugiert ist. Der Feldfacettenspiegel 13 kann beabstandet zu einer zur Objektebene 6 konjugierten Ebene angeordnet sein. Er wird in diesem Fall allgemein als erster Facettenspiegel bezeichnet. EUV radiation 10 coming from the radiation source 3 emanating from a collector 11 bundled. A corresponding collector is for example from the EP 1 225 481 A2 known. After the collector 11 propagates the EUV radiation 10 through an intermediate focus level 12 before moving to a field facet mirror 13 meets. The field facet mirror 13 is in a plane of illumination optics 4 arranged to the object level 6 is optically conjugated. The field facet mirror 13 can be spaced to one to the object plane 6 be arranged conjugate level. It is generally referred to as the first facet mirror in this case.

Die EUV-Strahlung 10 wird nachfolgend auch als Nutzstrahlung, Beleuchtungsstrahlung oder als Abbildungslicht bezeichnet. The EUV radiation 10 is hereinafter also referred to as useful radiation, illumination radiation or as imaging light.

Nach dem Feldfacettenspiegel 13 wird die EUV-Strahlung 10 von einem Pupillenfacettenspiegel 14 reflektiert. Der Pupillenfacettenspiegel 14 liegt entweder in der Eintrittspupillenebene der Projektionsoptik 7 oder in einer hierzu optisch konjugierten Ebene. Er kann auch beabstandet zu einer derartigen Ebene angeordnet sein. After the field facet mirror 13 becomes the EUV radiation 10 from a pupil facet mirror 14 reflected. The pupil facet mirror 14 lies either in the entrance pupil plane of the projection optics 7 or in a plane optically conjugated thereto. It can also be arranged at a distance from such a plane.

Der Feldfacettenspiegel 13 und der Pupillenfacettenspiegel 14 sind aus einer Vielzahl von Einzelspiegeln 20 aufgebaut, die nachfolgend noch näher beschrieben werden. Dabei kann die Unterteilung des Feldfacettenspiegels 13 in Einzelspiegel 20 derart sein, dass jede der Feldfacetten, die für sich das gesamte Objektfeld 5 ausleuchten, durch genau einen der Einzelspiegel 20 repräsentiert wird. Alternativ ist es möglich, zumindest einige oder alle der Feldfacetten durch eine Mehrzahl derartiger Einzelspiegel aufzubauen. Entsprechendes gilt für die Ausgestaltung der den Feldfacetten jeweils zugeordneten Pupillenfacetten des Pupillenfacettenspiegels 14, die jeweils durch einen einzigen Einzelspiegel oder durch eine Mehrzahl derartiger Einzelspiegel gebildet sein können. The field facet mirror 13 and the pupil facet mirror 14 are from a variety of individual mirrors 20 constructed, which will be described in more detail below. In this case, the subdivision of the field facet mirror 13 in individual mirror 20 be such that each of the field facets, which for themselves the entire object field 5 illuminate, by exactly one of the individual mirrors 20 is represented. Alternatively, it is possible to construct at least some or all of the field facets through a plurality of such individual mirrors. The same applies to the configuration of the pupil facets of the pupil facet mirror respectively assigned to the field facets 14 , which may each be formed by a single individual mirror or by a plurality of such individual mirrors.

Die EUV-Strahlung 10 trifft auf die beiden Facettenspiegel 13, 14 unter einem definierten Einfallswinkel auf. Die beiden Facettenspiegel werden insbesondere im Bereich eines normal incidence-Betriebs, d. h. mit einem Einfallswinkel, der kleiner oder gleich 25° zur Spiegelnormalen ist, mit der EUV-Strahlung 10 beaufschlagt. Auch eine Beaufschlagung unter streifendem Einfall (grazing incidence) ist möglich. Der Pupillenfacettenspiegel 14 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die eine Pupillenebene der Projektionsoptik 7 darstellt bzw. zu einer Pupillenebene der Projektionsoptik 7 optisch konjugiert ist. Mithilfe des Pupillenfacettenspiegels 14 und einer abbildenden optischen Baugruppe in Form einer Übertragungsoptik 15 mit in der Reihenfolge des Strahlengangs für die EUV-Strahlung 10 bezeichneten Spiegeln 16, 17 und 18 werden die Feldfacetten des Feldfacettenspiegels 13 einander überlagernd in das Objektfeld 5 abgebildet. Der letzte Spiegel 18 der Übertragungsoptik 15 ist ein Spiegel für streifenden Einfall („Grazing incidence Spiegel“). Die Übertragungsoptik 15 wird zusammen mit dem Pupillenfacettenspiegel 14 auch als Folgeoptik zur Überführung der EUV-Strahlung 10 vom Feldfacettenspiegel 13 hin zum Objektfeld 5 bezeichnet. Das Beleuchtungslicht 10 wird von der Strahlungsquelle 3 hin zum Objektfeld 5 über eine Mehrzahl von Ausleuchtungskanälen geführt. Jedem dieser Ausleuchtungskanäle ist eine Feldfacette des Feldfacettenspiegels 13 und eine dieser nachgeordnete Pupillenfacette des Pupillenfacettenspiegels 14 zugeordnet. Die Einzelspiegel 20 des Feldfacettenspiegels 13 und des Pupillenfacettenspiegels 14 können aktuatorisch verkippbar sein, sodass ein Wechsel der Zuordnung der Pupillenfacetten zu den Feldfacetten und entsprechend eine geänderte Konfiguration der Ausleuchtungskanäle erreicht werden kann. Es resultieren unterschiedliche Beleuchtungssettings, die sich in der Verteilung der Beleuchtungswinkel des Beleuchtungslichts 10 über das Objektfeld 5 unterscheiden. The EUV radiation 10 meets the two facet mirrors 13 . 14 at a defined angle of incidence. The two facet mirrors are in particular in the range of normal incidence operation, ie with an angle of incidence which is less than or equal to 25 ° to the mirror normal, with the EUV radiation 10 applied. Also, an application under grazing incidence (grazing incidence) is possible. The pupil facet mirror 14 is in a plane of illumination optics 4 arranged, which is a pupil plane of the projection optics 7 represents or to a pupil plane of the projection optics 7 is optically conjugated. Using the pupil facet mirror 14 and an imaging optical assembly in the form of a transmission optics 15 with in the order of the beam path for the EUV radiation 10 designated mirrors 16 . 17 and 18 become the field facets of the field facet mirror 13 overlapping each other in the object field 5 displayed. The last mirror 18 the transmission optics 15 is a grazing incidence mirror. The transmission optics 15 becomes along with the pupil facet mirror 14 also as a follow-up optics for the transfer of EUV radiation 10 from the field facet mirror 13 towards the object field 5 designated. The illumination light 10 is from the radiation source 3 towards the object field 5 guided over a plurality of illumination channels. Each of these illumination channels is a field facet of the field facet mirror 13 and one of these downstream pupil facets of the pupil facet mirror 14 assigned. The individual mirrors 20 of the field facet mirror 13 and the pupil facet mirror 14 can be tiltable actuator, so that a change of the assignment of the pupil facets to the field facets and correspondingly a changed configuration of the illumination channels can be achieved. This results in different lighting settings, resulting in the distribution of the illumination angle of the illumination light 10 over the object field 5 differ.

Zur Erleichterung der Erläuterung von Lagebeziehungen wird nachfolgend unter anderem ein globales kartesisches xyz-Koordinatensystem verwendet. Die x-Achse verläuft in der 1 senkrecht zur Zeichenebene auf den Betrachter zu. Die y-Achse verläuft in der 1 nach rechts. Die z-Achse verläuft in der 1 nach oben. To facilitate the explanation of positional relationships, a global Cartesian xyz coordinate system is used below, among other things. The x-axis runs in the 1 perpendicular to the drawing plane towards the viewer. The y-axis runs in the 1 to the right. The z-axis runs in the 1 up.

Unterschiedliche Beleuchtungssettings können über eine Verkippung der Einzelspiegel des Feldfacettenspiegels 13 und einen entsprechenden Wechsel der Zuordnung dieser Einzelspiegel des Feldfacettenspiegels 13 zu den Einzelspiegeln des Pupillenfacettenspiegels 14 erreicht werden. Abhängig von der Verkippung der Einzelspiegel des Feldfacettenspiegels 13 werden die diesen Einzelspiegeln neu zugeordneten Einzelspiegel des Pupillenfacettenspiegels 14 so durch Verkippung nachgeführt, dass wiederum eine Abbildung der Feldfacetten des Feldfacettenspiegels 13 in das Objektfeld 5 gewährleistet ist. Different illumination settings can be achieved by tilting the individual mirrors of the field facet mirror 13 and a corresponding change of the assignment of these individual mirrors of the field facet mirror 13 to the individual mirrors of the pupil facet mirror 14 be achieved. Depending on the tilt of the individual mirrors of the field facet mirror 13 will be reassigned to these individual mirrors Single mirror of the pupil facet mirror 14 so tracked by tilting, that in turn an image of the field facets of the field facet mirror 13 in the object field 5 is guaranteed.

Im Folgenden werden weitere Aspekte der Beleuchtungsoptik 4 beschrieben. Below are more aspects of the lighting optics 4 described.

Der Feldfacettenspiegel 13 umfasst eine Vielspiegel-Anordnung 19, welche auch als Multi- bzw. Mikrospiegel-Arrays (MMA) oder kurz als Vielspiegel-Anordnung bezeichnet wird. Sie bildet ein Beispiel für eine optische Baugruppe zur Führung der Nutzstrahlung 10, also des EUV-Strahlungsbündels. Der Feldfacettenspiegel 13 ist als mikroelektromechanisches System (MEMS) ausgebildet. Er weist eine Vielzahl von matrixartig zeilen- und spaltenweise in einer Vielspiegel-Anordnung 19 angeordneten Einzelspiegeln 20 auf. Die Vielspiegel-Anordnung 19 sind modular ausgeführt. Sie können auf einer als Grundplatte ausgebildeten Tragestruktur angeordnet werden. Hierbei können im Wesentlichen beliebig viele der Vielspiegel-Anordnungen 19 nebeneinander angeordnet sein. Die Gesamt-Reflexionsfläche, welche durch die Gesamtheit sämtlicher Vielspiegel-Anordnungen 19, insbesondere deren Einzelspiegel 20, gebildet wird, ist somit beliebig erweiterbar. Die Vielspiegel-Anordnungen 19 sind insbesondere derart ausgebildet, dass sie eine im Wesentlichen lückenlose Parkettierung einer Ebene ermöglichen. Das Verhältnis der Summe der Reflexionsflächen 26 der Einzelspiegel 20 zu der Gesamtfläche, welche von Vielspiegel-Anordnungen 19 abgedeckt wird, wird auch als Integrations-Dichte bezeichnet. The field facet mirror 13 includes a multi-mirror arrangement 19 , which is also referred to as multi or micromirror arrays (MMA) or short as a multi-mirror arrangement. It forms an example of an optical assembly for guiding the useful radiation 10 , the EUV radiation bundle. The field facet mirror 13 is designed as a microelectromechanical system (MEMS). It has a plurality of matrix-like rows and columns in a multi-mirror arrangement 19 arranged individual mirrors 20 on. The multi-mirror arrangement 19 are modular. They can be arranged on a support structure designed as a base plate. In this case, essentially any number of multi-mirror arrangements 19 be arranged side by side. The total reflection area, which by the totality of all multi-mirror arrangements 19 , in particular their individual mirrors 20 , is formed, is thus arbitrarily expandable. The multi-mirror arrangements 19 are particularly designed so that they allow a substantially seamless tiling a plane. The ratio of the sum of the reflection surfaces 26 the individual mirror 20 to the total area, that of multi-mirror arrangements 19 is also referred to as integration density.

Diese Integrations-Dichte beträgt insbesondere mindestens 0,5, insbesondere mindestens 0,6, insbesondere mindestens 0,7, insbesondere mindestens 0,8, insbesondere mindestens 0,9. This integration density is in particular at least 0.5, in particular at least 0.6, in particular at least 0.7, in particular at least 0.8, in particular at least 0.9.

Die Vielspiegel-Anordnungen 19 werden mittels Fixier-Elementen auf der Grundplatte fixiert. Für Details sei beispielsweise auf die WO 2012/130768 A2 verwiesen. The multi-mirror arrangements 19 are fixed by means of fixing elements on the base plate. For details, for example, on the WO 2012/130768 A2 directed.

Die Einzelspiegel 20 sind aktuatorisch verkippbar ausgelegt, wie nachfolgend noch erläutert wird. Insgesamt weist der Feldfacettenspiegel 13 etwa 100000 der Einzelspiegel 20 auf. Je nach Größe der Einzelspiegel 20 kann der Feldfacettenspiegel 13 auch eine andere Anzahl an Einzelspiegeln 20 aufweisen. Die Anzahl der Einzelspiegel 20 des Feldfacettenspiegels 13 beträgt insbesondere mindestens 1000, insbesondere mindestens 5000, insbesondere mindestens 10000. Sie kann bis zu 100000, insbesondere bis zu 300000, insbesondere bis zu 500000, insbesondere bis zu 1000000 betragen. The individual mirrors 20 are designed actuatable tilting, as will be explained below. Overall, the field facet mirror 13 about 100,000 of the individual mirrors 20 on. Depending on the size of the individual mirror 20 can the field facet mirror 13 also a different number of individual mirrors 20 exhibit. The number of individual mirrors 20 of the field facet mirror 13 is in particular at least 1000, in particular at least 5000, in particular at least 10000. It can be up to 100,000, in particular up to 300,000, in particular up to 500,000, in particular up to 1,000,000.

Vor dem Feldfacettenspiegel 13 kann ein Spektralfilter angeordnet sein, der die Nutzstrahlung 10 von anderen, nicht für die Projektionsbelichtung nutzbaren Wellenlängenkomponenten der Emission der Strahlungsquelle 3 trennt. Der Spektralfilter ist nicht dargestellt. In front of the field facet mirror 13 can be arranged a spectral filter, which is the useful radiation 10 from other wavelength components of the radiation source emission not usable for the projection exposure 3 separates. The spectral filter is not shown.

Der Feldfacettenspiegel 13 wird mit Nutzstrahlung 10, insbesondere mit EUV-Strahlung, mit einer Leistung von beispielsweise 840 W und einer Leistungsdichte von 6,5 kW/m2 beaufschlagt. The field facet mirror 13 is using useful radiation 10 , in particular with EUV radiation, with a power of, for example 840 W and a power density of 6.5 kW / m 2 applied.

Die gesamte Vielspiegel-Anordnung des Facettenspiegels 13 hat beispielsweise einen Durchmesser von 500 mm und ist dicht gepackt mit den Einzelspiegeln 20 ausgelegt. Die Einzelspiegel 20 repräsentieren, soweit eine Feldfacette durch jeweils genau einen Einzelspiegel realisiert ist, bis auf einen Skalierungsfaktor die Form des Objektfeldes 5. Der Facettenspiegel 13 kann aus 500 jeweils eine Feldfacette repräsentierenden Einzelspiegeln 20 mit einer Dimension von etwa 5 mm in der y-Richtung und 100 mm in der x-Richtung gebildet sein. Alternativ zur Realisierung jeder Feldfacette durch genau einen Einzelspiegel 20 kann jede der Feldfacetten durch Gruppen von kleineren Einzelspiegeln 20 approximiert werden. Eine Feldfacette mit Dimensionen von 5 mm in der y-Richtung und von 100 mm in der x-Richtung kann z. B. mittels eines 1 × 20-Arrays von Einzelspiegeln 20 der Dimension 5 mm × 5 mm bis hin zu einem 10 × 200-Array von Einzelspiegeln 20 mit den Dimensionen 0,5 mm × 0,5 mm aufgebaut sein. The entire multi-mirror arrangement of the facet mirror 13 For example, has a diameter of 500 mm and is densely packed with the individual mirrors 20 designed. The individual mirrors 20 represent, as far as a field facet is realized by exactly one individual mirror, except for a scaling factor, the shape of the object field 5 , The facet mirror 13 can consist of 500 individual mirrors each representing a field facet 20 be formed with a dimension of about 5 mm in the y-direction and 100 mm in the x-direction. Alternatively to the realization of each field facet by exactly one single mirror 20 Each of the field facets can be divided into groups of smaller individual mirrors 20 be approximated. A field facet with dimensions of 5mm in the y-direction and 100mm in the x-direction may e.g. B. by means of a 1 × 20 array of individual mirrors 20 5 mm × 5 mm to a 10 × 200 array of individual mirrors 20 be constructed with the dimensions 0.5 mm × 0.5 mm.

Zum Umstellen der Beleuchtungssettings werden die Kippwinkel der Einzelspiegel 20 verstellt. Die Kippwinkel weisen insbesondere einen Verlagerungsbereich von ±50 mrad, insbesondere ± 100 mrad auf. Bei der Einstellung der Kippposition der Einzelspiegel 20 wird eine Genauigkeit von besser als 0,2 mrad, insbesondere besser als 0,1 mrad, insbesondere besser als 0,05mrad, insbesondere 0,02 mrad erreicht. To change the lighting settings, the tilt angles of the individual mirrors 20 adjusted. In particular, the tilt angles have a displacement range of ± 50 mrad, in particular ± 100 mrad. When adjusting the tilt position of the individual mirrors 20 an accuracy of better than 0.2 mrad, especially better than 0.1 mrad, in particular better than 0,05mrad, in particular 0.02 mrad achieved.

Die Einzelspiegel 20 des Feldfacettenspiegels 13 und des Pupillenfacettenspiegels 14 bei der Ausführung der Beleuchtungsoptik 4 nach 1 tragen Multilayer-Beschichtungen zur Optimierung ihrer Reflektivität bei der Wellenlänge der Nutzstrahlung 10. Die Temperatur der Multilayer-Beschichtungen sollte 425 K beim Betreiben der Projektionsbelichtungsanlage 1 nicht überschreiten. Dies wird durch einen geeigneten Aufbau der Einzelspiegel 20 erreicht. Für Details wird auf DE 10 2013 206 529 A1 verwiesen, die hiermit vollständig in die vorliegende Anmeldung integriert ist. The individual mirrors 20 of the field facet mirror 13 and the pupil facet mirror 14 in the execution of the illumination optics 4 to 1 Apply multilayer coatings to optimize their reflectivity at the wavelength of the useful radiation 10 , The temperature of the multilayer coatings should be 425 K while operating the projection exposure equipment 1 do not exceed. This is done by a suitable structure the individual mirror 20 reached. For details will be on DE 10 2013 206 529 A1 referred to, which is hereby fully integrated into the present application.

Die Einzelspiegel 20 der Beleuchtungsoptik 4 sind in einer evakuierbaren Kammer 21 untergebracht, von der in der Fig. eine Begrenzungswand 22 angedeutet ist. Die Kammer 21 kommuniziert über eine Fluidleitung 23, in der ein Absperrventil 24 untergebracht ist, mit einer Vakuumpumpe 25. Der Betriebsdruck in der evakuierbaren Kammer 21 beträgt einige Pascal, insbesondere 3 Pa bis 5 Pa (Partialdruck H2). Alle anderen Partialdrücke liegen deutlich unterhalb von 1 × 10–7 mbar. The individual mirrors 20 the illumination optics 4 are in an evacuable chamber 21 housed, of the in Fig. A boundary wall 22 is indicated. The chamber 21 communicates via a fluid line 23 in which a shut-off valve 24 is housed, with a vacuum pump 25 , The operating pressure in the evacuable chamber 21 is some Pascal, in particular 3 Pa to 5 Pa (partial pressure H 2 ). All other partial pressures are well below 1 × 10 -7 mbar.

Der die Mehrzahl von Einzelspiegeln 20 aufweisende Spiegel bildet zusammen mit der evakuierbaren Kammer 21 eine optische Baugruppe zur Führung eines Bündels der EUV-Strahlung 10. The the majority of individual mirrors 20 having mirror forms together with the evacuable chamber 21 an optical assembly for guiding a bundle of EUV radiation 10 ,

Jeder der Einzelspiegel 20 kann eine Reflexionsfläche 26 mit Abmessungen von 0,1 mm × 0,1 mm, 0,5 mm × 0,5 mm, 0,6 mm × 0,6 mm oder auch von bis zu 5 mm × 5 mm und größer aufweisen. Die Reflexionsfläche 26 kann auch kleinere Abmessungen aufweisen. Sie weist insbesondere Seitenlängen im µm- oder unteren mm-Bereich auf. Die Einzelspiegel 20 werden daher auch als Mikrospiegel bezeichnet. Die Reflexionsfläche 26 ist Teil eines Spiegelkörpers 27 des Einzelspiegels 20. Der Spiegelkörper 27 trägt eine strahlungsreflektierende Mehrlagen-(Multilayer)-Beschichtung. Each of the individual mirrors 20 can be a reflection surface 26 having dimensions of 0.1 mm × 0.1 mm, 0.5 mm × 0.5 mm, 0.6 mm × 0.6 mm or even up to 5 mm × 5 mm and larger. The reflection surface 26 can also have smaller dimensions. In particular, it has side lengths in the μm or lower mm range. The individual mirrors 20 are therefore also referred to as micromirrors. The reflection surface 26 is part of a mirror body 27 of the single mirror 20 , The mirror body 27 carries a radiation-reflecting multi-layer coating.

Mit Hilfe der Projektionsbelichtungsanlage 1 wird wenigstens ein Teil des Retikels auf einen Bereich einer lichtempfindlichen Schicht auf dem Wafer zur lithografischen Herstellung eines mikro- bzw. nanostrukturierten Bauelements, insbesondere eines Halbleiterbauelements, z.B. eines Mikrochips abgebildet. Je nach Ausführung der Projektionsbelichtungsanlage 1 als Scanner oder als Stepper werden das Retikel und der Wafer zeitlich synchronisiert in der y-Richtung kontinuierlich im Scannerbetrieb oder schrittweise im Stepperbetrieb verfahren. With the help of the projection exposure system 1 For example, at least a portion of the reticle is imaged onto a portion of a photosensitive layer on the wafer for lithographic fabrication of a micro- or nanostructured device, particularly a semiconductor device, eg, a microchip. Depending on the version of the projection exposure system 1 As a scanner or as a stepper, the reticle and the wafer are synchronized in the y-direction continuously in scanner operation or stepwise in stepper mode.

Im Folgenden werden unter Bezugnahme auf die 2 weitere exemplarische Details der Vielspiegel-Anordnung 19 beschrieben. The following are with reference to the 2 further exemplary details of the multi-mirror arrangement 19 described.

Die Einzelspiegel 20 der Vielspiegel-Anordnung 19 sind insbesondere als Mikrospiegel ausgebildet. Sie umfassen jeweils den Spiegelkörper 27, auf dessen Vorderseite eine strahlungsreflektierende Beschichtung 28 aufgebracht ist. Die strahlungsreflektierende Beschichtung 28 ist insbesondere ganzflächig auf die Vorderseite des Spiegelkörpers 27 aufgebracht. Sie bildet jeweils die Reflexionsfläche 26 des Einzelspiegels 20. The individual mirrors 20 the multi-mirror arrangement 19 are in particular designed as micromirrors. They each include the mirror body 27 , on the front side of which a radiation-reflecting coating 28 is applied. The radiation-reflecting coating 28 is in particular the entire surface of the front of the mirror body 27 applied. It forms the reflection surface in each case 26 of the single mirror 20 ,

Als strahlungsreflektierende Beschichtung 28 dient insbesondere eine Viellagenstruktur, insbesondere mit Silizium-Molybdän-Doppellagen. Die strahlungsreflektierende Beschichtung 28 ist insbesondere strahlungsreflektierend für die Beleuchtungsstrahlung 10, insbesondere für EUV-Strahlung, insbesondere mit einer Wellenlänge von weniger als 30 nm, insbesondere mit einer Wellenlänge von 13,5 nm oder 7 nm. As a radiation-reflecting coating 28 serves in particular a multi-layer structure, in particular with silicon-molybdenum double layers. The radiation-reflecting coating 28 is in particular radiation-reflecting for the illumination radiation 10 , in particular for EUV radiation, in particular having a wavelength of less than 30 nm, in particular having a wavelength of 13.5 nm or 7 nm.

Die Reflexionsfläche 26 kann prinzipiell eine beliebige Form aufweisen. Sie ist vorzugsweise derart kachelartig ausgebildet, dass eine lückenlose Parkettierung einer Ebene mit den Einzelspiegeln 20 möglich ist. Die Reflexionsfläche 26 kann insbesondere quadratisch, dreieckig oder sechseckig ausgebildet sein. The reflection surface 26 can in principle have any shape. It is preferably designed such a tiling that a seamless tiling a level with the individual mirrors 20 is possible. The reflection surface 26 may be formed in particular square, triangular or hexagonal.

Der Einzelspiegel 20 ist mittels einer schematisch angedeuteten Gelenkstruktur 29 auf oder in einem Haltesubstrat 30 gelagert. Er ist insbesondere derart gelagert, dass er zwei Kippfreiheitsgrade aufweist. Die Gelenkstruktur 29 ermöglicht insbesondere die Verkippung des Einzelspiegels 20 um zwei Kippachsen. Die Kippachsen stehen vorzugsweise senkrecht aufeinander. Die Gelenkstruktur 29 kann insbesondere Federelemente oder Federstrukturen aufweisen. The individual mirror 20 is by means of a schematically indicated joint structure 29 on or in a holding substrate 30 stored. It is in particular mounted so that it has two Kippfreiheitsgrade. The joint structure 29 allows in particular the tilting of the individual mirror 20 about two tilting axes. The tilt axes are preferably perpendicular to each other. The joint structure 29 may in particular have spring elements or spring structures.

Ebenfalls nur schematisch und nicht im Detail dargestellt sind in der 2 Aktuatoren 31 und/oder Sensoren 32, welche zur Verlagerung der Einzelspiegel 20 beziehungsweise zur Erfassung deren Verlagerungszustand dienen. Also shown only schematically and not in detail in the 2 actuators 31 and / or sensors 32 which is used to shift the individual levels 20 or serve to record their displacement state.

Außerdem sind in der 2 nicht dargestellt die Details der Kabel- beziehungsweise Signalführung in der Vielspiegel-Anordnung sowie weitere Bestandteile, insbesondere elektronische Bestandteile derselben, insbesondere sogenannte anwendungsspezifische integrierte Schaltungen (ASICs, application specific integrated circuits). Derartige ASICs können insbesondere auf dem und/oder in dem Haltesubstrat 30 vorgesehen sein. Also, in the 2 not shown the details of the cable or signal routing in the multi-mirror arrangement and other components, in particular electronic components thereof, in particular so-called application-specific integrated circuits (ASICs, application specific integrated circuits). Such ASICs can in particular on and / or in the holding substrate 30 be provided.

Die Vielspiegel-Anordnung 19 weist einen Füllgrad von mindestens 80%, insbesondere mindestens 90%, insbesondere mindestens 95, insbesondere mindesten 98%, auf. Dies bedeutet, dass die Summe der Reflexionsflächen 26 sämtlicher kippbarer Einzelspiegel 20 einen entsprechenden Anteil der Gesamtfläche der Vielspiegel-Anordnung 19 ausmacht. Anschaulich gesprochen bedeutet dies, dass die Lücken 33 zwischen den Einzelspiegeln 20 sehr schmal sind. Sie weisen insbesondere lediglich eine Breite von höchstens 100 µm, insbesondere höchstens 50 µm, insbesondere höchstens 20 µm, insbesondere höchstens 10 µm auf. The multi-mirror arrangement 19 has a degree of filling of at least 80%, in particular at least 90%, in particular at least 95 , in particular at least 98%. This means that the sum of the reflection surfaces 26 all tiltable individual mirror 20 a corresponding proportion of the total area of the multi-mirror arrangement 19 accounts. Put simply, this means that the gaps 33 between the individual mirrors 20 are very narrow. In particular, they have only a width of at most 100 μm, in particular at most 50 μm, in particular at most 20 μm, in particular at most 10 μm.

Die Einzelspiegel 20 können aus einem ersten Wafer 34 hergestellt werden. Der erste Wafer 34 wird daher auch als Spiegel-Wafer bezeichnet. The individual mirrors 20 can be from a first wafer 34 getting produced. The first wafer 34 is therefore also referred to as a mirror wafer.

Erfindungsgemäß wurde erkannt, dass nach dem Auslösen der Einzelspiegel 20 aus dem ersten Wafer 34 keine nasschemischen Verfahrensschritte mehr an den Einzelspiegeln 20 vorgenommen werden sollen, da diese zu einer Beeinträchtigung der Funktionen der Einzelspiegel 20, insbesondere deren Verlagerbarkeit führen können. Erfindungsgemäß wurde weiter erkannt, dass nach dem Auslösen der Einzelspiegel 20 aus dem ersten Wafer 34 möglichst keine Beschichtung der Einzelspiegel 20, insbesondere keine Beschichtung der Einzelspiegel 20 mit einem elektrisch leitfähigen Material, mehr vorgenommen werden sollte. Hierdurch lässt sich wirksam verhindern, dass es zu einer parasitären Ablagerung der strahlungsreflektierenden Beschichtung 28 im Bereich zwischen, hinter oder unter den Spiegelkörpern 27 kommt. Hierdurch lässt sich insbesondere die Gefahr einer Beeinträchtigung elektrischer/elektronischer Bauteile, welche in diesen Bereichen angeordnet sind, insbesondere die Gefahr von Kurzschlüssen, vermeiden. According to the invention it was recognized that after triggering the individual mirror 20 from the first wafer 34 no wet-chemical process steps on the individual mirrors 20 should be made, since these cause an impairment of the functions of the individual mirror 20 , in particular their relocatability can lead. According to the invention, it was further recognized that after triggering the individual mirror 20 from the first wafer 34 preferably no coating of the individual mirrors 20 , in particular no coating of the individual mirrors 20 with an electrically conductive material, more should be done. As a result, it is possible to effectively prevent parasitic deposition of the radiation-reflecting coating 28 in the area between, behind or under the mirror bodies 27 comes. This makes it possible, in particular, to avoid the risk of impairment of electrical / electronic components which are arranged in these areas, in particular the danger of short circuits.

Im Folgenden werden zunächst einige allgemeine Details eines Verfahrens zur Herstellung der Vielspiegel-Anordnung 19 beschrieben. The following are some general details of a method for producing the multi-mirror arrangement 19 described.

Das Verfahren zur Herstellung der Vielspiegel-Anordnung 19 umfasst insbesondere eine Abfolge von MEMS-Verfahrensschritten. Hierzu zählen insbesondere Abscheide-Schritte, Strukturierungs-Schritte, Ätz-Schritte, mikromaschinelle Bearbeitungsschritte sowie Ätz-Schritte, insbesondere chemische oder Plasmaätzschritte. The method for producing the multi-mirror arrangement 19 includes in particular a sequence of MEMS process steps. These include, in particular, deposition steps, structuring steps, etching steps, micromachining steps and etching steps, in particular chemical or plasma etching steps.

Erfindungsgemäß ist vorgesehen, dass das Aufbringen der strahlungsreflektierenden Beschichtung 28 auf den Spiegelkörper 27 in den MEMS-Prozess zu integrieren. Vorzugsweise wird die strahlungsreflektierende Beschichtung 28 erst kurz vor dem Auslösen der Einzelspiegel 20 aus dem ersten Wafer 34 auf den Spiegelkörper 27 aufgebracht. Es ist in diesem Fall einfacher, sie für die nachfolgenden Verfahrensschritte mechanisch und/oder chemisch zu schützen, da die strahlungsreflektierende Beschichtung 28 vor dem Auslösen der Einzelspiegel 20 aus dem ersten Wafer 34 auf den Spiegelkörper 27 aufgebracht wird, ist sichergestellt, dass sie nicht in Bereiche hinter Einzelspiegeln 20 gelangen kann. Hierdurch ist wiederum sichergestellt, dass sie nicht zu einer Beeinträchtigung, insbesondere nicht zu Kurzschlüssen der in diesem Bereich angeordneten elektrischen oder elektronischen Bauteile führen kann. According to the invention, it is provided that the application of the radiation-reflecting coating 28 on the mirror body 27 to integrate into the MEMS process. Preferably, the radiation-reflective coating 28 just before triggering the individual mirror 20 from the first wafer 34 on the mirror body 27 applied. It is easier in this case to protect them mechanically and / or chemically for the subsequent process steps, since the radiation-reflecting coating 28 before triggering the individual mirror 20 from the first wafer 34 on the mirror body 27 is applied, it ensures that they are not in areas behind individual mirrors 20 can get. This in turn ensures that they can not lead to an impairment, in particular not to short circuits of arranged in this area electrical or electronic components.

Ein weiterer Vorteil der Integration des Beschichtungsverfahrens in den MEMS-Prozess vor dem Auslösen der Einzelspiegel 20 besteht darin, dass parallel zur Strukturierung der Beschichtung 28 der Einzelspiegel 20 die Beschichtung im Randbereich des Wafers 34 entfernt werden kann. Hierdurch kann der Anteil der optisch aktiven Fläche, welche zur Strahlformung genutzt werden kann, vergrößert werden. Another advantage of the integration of the coating process in the MEMS process before triggering the individual mirror 20 is that parallel to the structuring of the coating 28 the individual mirror 20 the coating in the edge region of the wafer 34 can be removed. As a result, the proportion of the optically active surface, which can be used for beam shaping, can be increased.

Im Folgenden werden einige allgemeine Details des Verfahrens zur Herstellung der Vielspiegel-Anordnung 19 stichwortartig beschrieben. Diese Details sind nicht einschränkend zu verstehen. Alternativen hierzu sind jeweils möglich. The following are some general details of the method of making the multi-mirror array 19 described in keywords. These details are not meant to be limiting. Alternatives are possible in each case.

Die Vielspiegel-Anordnung 19 bildet ein MEMS auf Basis von Silizium. The multi-mirror arrangement 19 forms a MEMS based on silicon.

Das Auslösen der Einzelspiegel 20 wird durch ein Ätz-Verfahren realisiert. Zum Auslösen der Einzelspiegel 20 aus dem ersten Wafer 34 kann insbesondere ein SF6-Plasma in einem isotropen oder einem anisotropen, insbesondere einem vertikalen reaktiven Ionentiefenätz-Verfahren (DRIE, deep reactive ion etching) oder ein Ätzverfahren zum Ätzen von Opfersilizium, beispielsweise mit Hilfe von gasförmigem XeF2 vorgesehen sein. The triggering of the individual mirror 20 is realized by an etching process. To trigger the individual mirror 20 from the first wafer 34 In particular, an SF 6 plasma can be provided in an isotropic or anisotropic, in particular a vertical reactive ion deep etching (DRIE) method or an etching method for etching sacrificial silicon, for example with the aid of gaseous XeF 2 .

Funktionelle Silizium-Strukturen, welche durch das Ätz-Verfahren zum Auslösen der Einzelspiegel 20 beschädigt werden könnten, werden durch eine Schutzschicht, insbesondere aus Siliziumdioxid (SiO2), geschützt. Dies ermöglicht aufgrund der hohen Selektivität der Ätz-Verfahren einen wirksamen Schutz derartiger Strukturen. Die Schutzschicht kann anschließend entfernt werden, ohne die Silizium-Strukturen zu beeinträchtigen. Functional silicon structures produced by the etching process for triggering the individual mirrors 20 could be damaged, are protected by a protective layer, in particular of silicon dioxide (SiO 2 ). This allows effective protection of such due to the high selectivity of the etching process Structures. The protective layer can then be removed without affecting the silicon structures.

Zum endgültigen Entfernen von nicht benötigten SiO2-Resten kann insbesondere ein Ätzverfahren mit Flusssäuredampf (HF-Dampf) vorgesehen sein. Hierdurch werden funktionelle Siliziumstrukturen nicht angegriffen. For the final removal of unneeded SiO 2 residues, in particular an etching process with hydrofluoric acid vapor (HF vapor) can be provided. As a result, functional silicon structures are not attacked.

Zum Schutz der strahlungsreflektierenden Beschichtung 28 kann ebenfalls eine Schutzschicht 35 aus Siliziumdioxid vorgesehen sein. Alternative schützende Beschichtungen, beispielsweise aus Ruthenium (Ru) oder einer Tantal-Verbindung, sind ebenfalls möglich. To protect the radiation-reflecting coating 28 can also be a protective layer 35 be provided from silicon dioxide. Alternative protective coatings, for example of ruthenium (Ru) or a tantalum compound, are also possible.

Als strahlungsreflektierende Beschichtung 28 dient insbesondere eine metallische, dielektrische oder eine Mehrlagenbeschichtung. Für EUV-Anwendungen wird die strahlungsreflektierende Beschichtung 28 insbesondere durch eine Mehrzahl von Molybdän-Silizium-Doppellagen gebildet. Die Anzahl der Molybdän-Silizium-Doppelllagen kann bis zu 40 betragen. Eine derartige Beschichtung führt zu einer hohen Reflektivität im EUV-Bereich. As a radiation-reflecting coating 28 is used in particular a metallic, dielectric or multilayer coating. For EUV applications, the radiation-reflective coating 28 in particular formed by a plurality of molybdenum-silicon double layers. The number of molybdenum-silicon double layers can be up to 40. Such a coating leads to a high reflectivity in the EUV range.

Je nachdem, welches Material beziehungsweise welche Materialien für die strahlungsreflektierende Beschichtung 28 vorgesehen sind und welche Verfahrensschritte nach dem Aufbringen der strahlungsreflektierenden Beschichtung 28 auf den Spiegelkörper 27 vorgesehen sind, kann die Schutzschicht 35 geeignet ausgewählt werden. Depending on which material or materials for the radiation-reflecting coating 28 are provided and which process steps after the application of the radiation-reflecting coating 28 on the mirror body 27 are provided, the protective layer 35 be suitably selected.

Im Folgenden werden unter Bezugnahme auf die 3A bis 6H unterschiedliche Abfolgen von Verfahrensschritten für unterschiedliche Fälle der Widerstandsfähigkeit der strahlungsreflektierenden Beschichtung 28 in Bezug auf die nachfolgenden Verfahrensschritte exemplarisch beschrieben. Sämtlichen nachfolgend beschriebenen Beispiele ist die Annahme gemeinsam, dass die strahlungsreflektierende Beschichtung 28 durch ein abschließendes Flusssäuredampf-Ätzverfahren nicht beeinträchtigt wird. Sofern diese Annahme nicht zutreffend ist, können die Verfahren auf einfache Weise entsprechend angepasst werden. The following are with reference to the 3A to 6H different sequences of process steps for different cases of the resistance of the radiation-reflecting coating 28 described by way of example with reference to the subsequent method steps. All of the examples described below share the assumption that the radiation-reflective coating 28 is not affected by a final hydrofluoric acid vapor etching process. Unless this assumption is correct, the procedures can easily be adapted accordingly.

Beispiel a (3A bis 3D): Die strahlungsreflektierende Beschichtung 28 ist widerstandsfähig im Hinblick auf das Ätz-Verfahren zum Auslösen der Einzelspiegel 20, insbesondere im Hinblick auf ein reaktives Silizium Ionentiefenätz-Verfahren oder im Hinblick auf ein Ätzverfahren von Silizium in gasförmiger Atmosphäre. Die strahlungsreflektierende Beschichtung 28 benötigt keine spezielle Schutzschicht. Example a ( 3A to 3D ): The radiation-reflecting coating 28 is resistant to the etching process for triggering the individual mirrors 20 in particular with regard to a reactive silicon ion etching method or with respect to an etching process of silicon in a gaseous atmosphere. The radiation-reflecting coating 28 does not need a special protective layer.

Wie in der 3A sehr schematisch dargestellt ist, werden zunächst MEMS-Strukturen im ersten Wafer 34 ausgebildet. Weiter wird die optische Oberfläche des ersten Wafers 34, insbesondere durch ein Ätz-Verfahren, freigelegt. Hierfür kann auch ein chemisch-mechanisches Polieren (CMP) vorgesehen sein. Like in the 3A is shown very schematically, first MEMS structures in the first wafer 34 educated. Next, the optical surface of the first wafer 34 , in particular by an etching process, exposed. For this purpose, a chemical-mechanical polishing (CMP) can be provided.

Sodann wird in einem Abscheidungsschritt 36 die strahlungsreflektierende Beschichtung 28 auf den ersten Wafer 34 aufgebracht. Die strahlungsreflektierende Beschichtung 28 wird insbesondere ganzflächig auf die Vorderseite 37 des ersten Wafers 34 aufgebracht. Die Vorderseite 37 des ersten Wafers 34 umfasst die Vorderseiten der aus dem ersten Wafer 34 auszulösenden Einzelspiegel 20, deren Spiegelkörper 27 in den 3A bis 6H durch eine gestrichelte Umrandung angedeutet sind. Then in a deposition step 36 the radiation-reflective coating 28 on the first wafer 34 applied. The radiation-reflecting coating 28 In particular, the entire surface is on the front 37 of the first wafer 34 applied. The front 37 of the first wafer 34 includes the front sides of the first wafer 34 single mirror to be triggered 20 , whose mirror body 27 in the 3A to 6H are indicated by a dashed border.

Sodann wird die strahlungsreflektierende Beschichtung 28 in einem Strukturierungsschritt 38 strukturiert. Hierfür kann ein Lift-off-Verfahren oder ein Ätz-Verfahren vorgesehen sein. Bei beiden kann insbesondere die Aufbringung einer Maske aus Photo-Resist (PR), insbesondere mittels eines lithographischen Verfahrens, vorgesehen sein. Then, the radiation-reflective coating 28 in a structuring step 38 structured. For this purpose, a lift-off method or an etching method can be provided. In both cases, the application of a mask made of photo-resist (PR), in particular by means of a lithographic method, can be provided in particular.

Im Strukturierungsschritt 38 werden die Reflexionsflächen 26 der Einzelspiegel 20 definiert. Sie umfassen insbesondere die gesamte Vorderseite der Spiegelkörper 27 der Einzelspiegel 20. In the structuring step 38 become the reflection surfaces 26 the individual mirror 20 Are defined. In particular, they comprise the entire front side of the mirror bodies 27 the individual mirror 20 ,

Abschließend wird das nicht benötigte Silizium in einem Strukturierungs- und/oder Auslöseschritt 39 entfernt, insbesondere weggeätzt. Hierdurch werden die Einzelspiegel 20 aus dem ersten Wafer 34 ausgelöst. Finally, the unneeded silicon is in a structuring and / or triggering step 39 removed, in particular etched away. This will cause the individual mirrors 20 from the first wafer 34 triggered.

Zum Auslösen der Einzelspiegel 20 kann ein vertikales DRIE-Verfahren vorgesehen sein. Hierbei kann die strahlungsreflektierende Beschichtung 28 selbst als Maske dienen. Alternativ hierzu ist es möglich, Opfersilizium in einer chemischen Gasphasenreaktion aufzulösen. Hierfür müssen die Spiegelkörper 27 gegebenenfalls mit einer in den Figuren nicht dargestellten Schutzschicht, beispielsweise aus SiO2, versehen werden. To trigger the individual mirror 20 For example, a vertical DRIE method may be provided. Here, the radiation-reflecting coating 28 even serve as a mask. Alternatively, it is possible to dissolve sacrificial silicon in a chemical vapor phase reaction. For this, the mirror body must 27 optionally provided with a protective layer, not shown in the figures, for example, of SiO 2 .

Das Beispiel a) eignet sich insbesondere für strahlungsreflektierende Beschichtungen 28 aus Aluminium, Aluminium-Verbindungen, Gold und Chrom. Im Falle eines isotropen Ätzverfahrens zur Entfernung von Opfersilizium müssen sämtliche funktionellen Silizium-Strukturen durch eine Schutzschicht, beispielsweise aus SiO2, geschützt werden. Example a) is particularly suitable for radiation-reflecting coatings 28 made of aluminum, aluminum compounds, gold and chrome. In the case of an isotropic etching process for the removal of sacrificial silicon, all functional silicon structures must be protected by a protective layer, for example of SiO 2 .

Beispiel b (4A bis 4I): Die Einzelspiegel 20 können durch einen oder mehrere vertikale DRIE-Schritte ausgelöst werden. Die strahlungsreflektierende Beschichtung 28 ist widerstandsfähig im Hinblick auf ein lithographisches Verfahren und eine Entfernung des Resists mittels O2-Plasma. Bei diesem Beispiel wird die strahlungsreflektierende Beschichtung 28 durch ein Photo-Resist (PR) 40 geschützt. Example b ( 4A to 4I ): The individual mirrors 20 can be triggered by one or more vertical DRIE steps. The radiation-reflecting coating 28 is resistant to a lithographic process and removal of the resist by means of O 2 plasma. In this example, the radiation reflective coating becomes 28 through a photoresist (PR) 40 protected.

Wie bei dem vorhergehend beschriebenen Beispiel a) wird die strahlungsreflektierende Beschichtung 28 in einem Abscheidungsschritt 36 auf den ersten Wafer 34 aufgebracht. Bei diesem Beispiel ist vorgesehen, dass die Einzelspiegel 20 und die Gelenkstrukturen 29 durch einen oder mehrere vertikale DRIE-Schritte ausgelöst werden können. Es ist daher vorgesehen, den ersten Wafer 34 derart zu strukturieren, dass die Spiegelkörper 27 auf Sockeln 41 angeordnet sind. Außerdem ist vorgesehen, dass die Gelenkstrukturen 29 von der Rückseite des Wafers 34 her ausgelöst werden können. As in the previously described example a), the radiation-reflecting coating 28 in a deposition step 36 on the first wafer 34 applied. In this example it is envisaged that the individual mirrors 20 and the joint structures 29 can be triggered by one or more vertical DRIE steps. It is therefore intended, the first wafer 34 to structure such that the mirror body 27 on pedestals 41 are arranged. It is also envisaged that the joint structures 29 from the back of the wafer 34 can be triggered forth.

Zur Definition der Reflexionsflächen 26 wird auf die strahlungsreflektierende Beschichtung 28 in einem Maskierungsschritt 42 eine Maske aus Photo-Resist 40 aufgebracht, und die strahlungsreflektierende Beschichtung strukturiert. To define the reflection surfaces 26 is applied to the radiation-reflective coating 28 in a masking step 42 a mask made of photo-resist 40 applied, and structured the radiation-reflective coating.

Im nachfolgenden Auslöseschritt 39 werden die Einzelspiegel 20 aus dem Wafer 34 ausgelöst. Für den Auslöseschritt 39 ist insbesondere ein DRIE-Verfahren vorgesehen. In the following triggering step 39 become the individual mirror 20 from the wafer 34 triggered. For the triggering step 39 In particular, a DRIE method is provided.

In einem nachfolgenden PR-Ablöseschritt (stripping) 43 wird das Photo-Resist 40 wieder entfernt. Sofern die strahlungsreflektierende Beschichtung 28 anfällig für Oxidationsreaktionen ist, kann der Ablöseschritt 43 in einem schwachen O2-Plasma oder in Aceton, gefolgt von einer Spülung in Isopropylalkohol und Trocknen am kritischen Punkt durchgeführt werden. In a subsequent PR stripping step 43 becomes the photo-resist 40 removed again. Provided the radiation-reflective coating 28 prone to oxidation reactions, the detachment step 43 in a weak O 2 plasma or in acetone, followed by rinsing in isopropyl alcohol and drying at the critical point.

Beispiel b) ist im Wesentlichen für alle strahlungsreflektierenden Beschichtungen 28 umsetzbar. Eine Besonderheit besteht in der starken Verbindung zwischen dem MEMS-Design und der Herstellungstechnologie. Die Einzelspiegel 20 können insbesondere mittels eines oder mehrerer DRIE-Schritte aus dem Wafer 34 ausgelöst werden. Example b) is essentially for all radiation-reflective coatings 28 implemented. A special feature is the strong link between MEMS design and manufacturing technology. The individual mirrors 20 can in particular by means of one or more DRIE steps from the wafer 34 to be triggered.

Beispiel c (5A bis 5G): Die strahlungsreflektierende Beschichtung 28 ist chemisch und mechanisch widerstandsfähig in Bezug auf lithographische Verfahren. Example c ( 5A to 5G ): The radiation-reflecting coating 28 is chemically and mechanically resistant to lithographic processes.

Wie in der 5A lediglich schematisch dargestellt ist, wird der Wafer 34 mit einer glatten, sauberen Vorderseite bereitgestellt. Der Wafer 34 weist hierbei bereits strukturierte MEMS-Strukturen auf, welche durch eine Schutzschicht, insbesondere aus SiO2 geschützt sind. Dies ist in der 5A nicht dargestellt. Im Abscheidungsschritt 36 wird die strahlungsreflektierende Beschichtung 28 auf den Wafer 34 aufgebracht. Like in the 5A is shown only schematically, the wafer 34 provided with a smooth, clean front. The wafer 34 in this case already has structured MEMS structures which are protected by a protective layer, in particular of SiO 2 . This is in the 5A not shown. In the deposition step 36 becomes the radiation-reflective coating 28 on the wafer 34 applied.

Im nachfolgenden Strukturierungsschritt 38 wird die strahlungsreflektierende Beschichtung 28 strukturiert. Hierzu ist wie beim Beispiel a) ein lithographisches und ein Ätz-Verfahren vorgesehen. Nach der Strukturierung ist eine Entfernung des Resists in Azeton vorgesehen. In the subsequent structuring step 38 becomes the radiation-reflective coating 28 structured. For this purpose, as in example a), a lithographic and an etching process are provided. After structuring, a removal of the resist in acetone is provided.

Sodann wird in einem weiteren Abscheidungsschritt 44 die Schutzschicht 35 auf die strahlungsreflektierende Beschichtung 28 aufgebracht. Als Schutzschicht dient insbesondere eine Schicht aus SiO2. Then, in a further deposition step 44 the protective layer 35 on the radiation-reflective coating 28 applied. As a protective layer is used in particular a layer of SiO 2 .

In einem nachfolgenden weiteren Strukturierungsschritt 45 wird die Schutzschicht 35 strukturiert. Sie wird insbesondere mit Öffnungen 46 versehen. Die Öffnungen 46 definieren die späteren Lücken 33 zwischen den Einzelspiegeln 20. Sie stellen außerdem einen Zugang zum Wafer 34 her. In a subsequent further structuring step 45 becomes the protective layer 35 structured. It will especially with openings 46 Mistake. The openings 46 define the later gaps 33 between the individual mirrors 20 , They also provide access to the wafer 34 ago.

Im nachfolgenden Auslöseschritt 39 wird Opfersilizium im Bereich zwischen den Spiegelkörpern 27 sowie gegebenenfalls auf deren Rückseite entfernt. Hierfür ist wiederum ein Ätzverfahren vorgesehen. Im Auslöseschritt 39 werden die Lücken 33 zwischen den Einzelspiegeln 20 gebildet. In the following triggering step 39 sacrificial silicon is in the area between the mirror bodies 27 and optionally on its back. For this purpose, an etching process is again provided. In the triggering step 39 be the gaps 33 between the individual mirrors 20 educated.

Anschließend wird die Schutzschicht 35 in einem abschließenden Entfernungsschritt 47 von der strahlungsreflektierenden Beschichtung 28 entfernt. Hierfür ist ein Ätzverfahren, insbesondere in Flusssäuredampf, vorgesehen. In dem Entfernungsschritt 47 werden gleichzeitig die übrigen internen Schutzschichten aus SiO2 entfernt. Subsequently, the protective layer 35 in a final removal step 47 from the radiation-reflective coating 28 away. For this purpose, an etching process, in particular in hydrofluoric acid, intended. In the removal step 47 At the same time, the remaining internal protective layers of SiO 2 are removed.

Das Verfahren gemäß Beispiel c) kann für sämtliche strahlungsreflektierenden Beschichtungen 28 angewandt werden. Wie zuvor ist vorgesehen, sämtliche funktionellen Silizium-Strukturen vor dem Aufbringen der strahlungsreflektierenden Beschichtung 28 (siehe 5B) mit Schutzschichten aus SiO2 abzudecken. Sie werden hierdurch gegen ein unerwünschtes Wegätzen geschützt. The process according to example c) can be used for all radiation-reflecting coatings 28 be applied. As before, all functional silicon structures are provided prior to the application of the radiation-reflecting coating 28 (please refer 5B ) with protective layers of SiO 2 cover. They are thereby protected against unwanted etching away.

Zum Aufbringen der Schutzschichten aus SiO2 ist ein Abscheidungsverfahren bei einer Temperatur, welche niedrig genug ist, um die strahlungsreflektierende Beschichtung 28 nicht zu zerstören, vorgesehen. Im Falle einer strahlungsreflektierenden Beschichtung 28 aus Chrom, Gold oder Silber beträgt die Temperatur bei der Abscheidung der Oxidschichten höchstens 400°C, im Falle einer strahlungsreflektierenden Beschichtung 28 aus Aluminium höchstens 350°C und im Falle von Molybdän-Silizium-Doppelschichten höchstens 250°C. For depositing the protective layers of SiO 2 , a deposition process at a temperature low enough is the radiation-reflective coating 28 not intended to destroy. In the case of a radiation-reflecting coating 28 of chromium, gold or silver, the temperature at the deposition of oxide layers is at most 400 ° C, in the case of a radiation-reflective coating 28 of aluminum not exceeding 350 ° C and, in the case of molybdenum-silicon bilayers, not exceeding 250 ° C.

Zur Abscheidung der SiO2-Schutzschicht eignet sich insbesondere ein Sputter-Verfahren, welches bei Raumtemperatur, insbesondere bei weniger als 25°C, durchgeführt werden kann. Ebenso geeignet ist ein niedrigtemperaturplasmaunterstütztes chemisches Dampfabscheidungsverfahren (PECVD, plasma enhanced chemical vapor deposition). For the deposition of the SiO 2 protective layer, in particular a sputtering process which can be carried out at room temperature, in particular at less than 25 ° C., is suitable. Also suitable is a low-temperature plasma assisted chemical vapor deposition (PECVD) process.

Die Dicke der schützenden SiO2-Schicht wird ausreichend groß gewählt, um einen zuverlässigen Schutz der strahlungsreflektierenden Beschichtung 28 während des gesamten nachfolgenden Ätzverfahrens zur Entfernung des Opfersiliziums sicherzustellen. The thickness of the protective SiO 2 layer is chosen to be sufficiently large to ensure reliable protection of the radiation-reflecting coating 28 during the entire subsequent etching process to remove the sacrificial silicon.

Beispiel d (6A bis 6H): Die strahlungsreflektierende Beschichtung 28 muss chemisch und mechanisch geschützt werden. Bis zur Abscheidung der strahlungsreflektierenden Beschichtung 28 (siehe 6B) entspricht die Abfolge der Verfahrensschritte im Beispiel d) der gemäß Beispiel c). Im Gegensatz zum Beispiel c) wird jedoch zunächst die Schutzschicht 35 im Abscheidungsschritt 44 auf die strahlungsreflektierende Beschichtung 28 aufgebracht. Die ist strahlungsreflektierende Beschichtung 28 wird sodann zusammen mit der Schutzschicht 35 im Strukturierungsschritt 38 strukturiert, insbesondere geöffnet. Example d ( 6A to 6H ): The radiation-reflecting coating 28 must be protected chemically and mechanically. Until the deposition of the radiation-reflecting coating 28 (please refer 6B ) corresponds to the sequence of process steps in Example d) the according to Example c). In contrast to example c), however, first the protective layer 35 in the deposition step 44 on the radiation-reflective coating 28 applied. This is radiation-reflective coating 28 is then together with the protective layer 35 in the structuring step 38 structured, especially open.

Zur Abscheidung der Schutzschicht 35 kann insbesondere dieselbe Abscheidungsvorrichtung vorgesehen sein wie zur Abscheidung der strahlungsreflektierenden Beschichtung 28. For the deposition of the protective layer 35 In particular, the same deposition device can be provided as for the deposition of the radiation-reflecting coating 28 ,

Im Strukturierungsschritt 38 werden die Schutzschicht 35 und die strahlungsreflektierende Beschichtung 28 bis auf den Wafer 34 herunter geöffnet. In the structuring step 38 become the protective layer 35 and the radiation-reflective coating 28 down to the wafer 34 opened down.

Falls ein lateraler Schutz der strahlungsreflektierenden Beschichtung 28 für nachfolgende Silizium-Ätz-Verfahren notwendig ist, kann dieser in einem weiteren Abscheidungsschritt 48 aufgebracht werden. Auf den weiteren Abscheidungsschritt 48 kann gegebenenfalls auch verzichtet werden. If a lateral protection of the radiation-reflecting coating 28 is necessary for subsequent silicon etching process, this can in a further deposition step 48 be applied. On the further deposition step 48 may also be waived if necessary.

Die in dem weiteren Abscheidungsschritt 48 abgeschiedene SiO2-Schicht 49 wird in einem weiteren Strukturierungsschritt 50 mit Öffnungen 51 versehen, welche schmaler sind als der Abstand zwischen zwei benachbarten strahlungsreflektierenden Beschichtungen 28. Hierdurch wird sichergestellt, dass die strahlungsreflektierende Beschichtung 28 auch seitlich durch die zusätzliche Schutzschicht 49 abgedeckt und damit geschützt ist. The in the further deposition step 48 deposited SiO 2 layer 49 is in a further structuring step 50 with openings 51 which are narrower than the distance between two adjacent radiation-reflective coatings 28 , This ensures that the radiation-reflecting coating 28 also laterally through the additional protective layer 49 covered and thus protected.

Durch die doppelte Sio2-Abscheidung werden auch zuverlässig gegebenenfalls vorhandene Löcher (Pinholes) oder Defekte in der ersten Schutzschicht 35 geschlossen. Hierdurch wird die Widerstandsfähigkeit der Schutzschichten 35, 49 als ganzes gegen Ätzverfahren erheblich verbessert. The double Sio 2 deposition also reliably eliminates any holes (pinholes) or defects in the first protective layer 35 closed. This will increase the resistance of the protective layers 35 . 49 as a whole improved significantly against etching.

Nachdem im Auslöseschritt 39 wie vorhergehend beschrieben Opfersilizium aus dem Bereich zwischen den Spiegelkörpern 27 entfernt wurde, werden im abschließenden Entfernungsschritt 47 die Schutzschichten 35, 49 entfernt. Hierfür ist, wie vorhergehend bereits beschrieben wurde, ein Ätzverfahren in Flusssäuredampf vorgesehen. Bei diesem Verfahren werden wiederum die verbleibenden internen Oxidschichten weggeätzt. After in the triggering step 39 as previously described sacrificial silicon from the area between the mirror bodies 27 will be removed in the final removal step 47 the protective layers 35 . 49 away. For this purpose, as previously described, an etching process in hydrofluoric acid vapor is provided. In this process, in turn, the remaining internal oxide layers are etched away.

Das Verfahren gemäß Beispiel d) eignet sich für sämtliche optischen Beschichtungen, insbesondere für sämtliche strahlungsreflektierenden Beschichtungen 28. Wie zuvor werden die funktionellen Siliziumstrukturierungen gegen ein Opferätzen durch Schutzschichten aus Siliziumdioxid geschützt. Die Abscheidung der Siliziumdioxid-Schutzschichten erfolgt bei Temperaturen, welche niedrig genug sind, um die strahlungsreflektierende Beschichtung 28 nicht zu beeinträchtigen. The process according to Example d) is suitable for all optical coatings, in particular for all radiation-reflecting coatings 28 , As before, the functional silicon structures are protected against sacrificial etching by protective layers of silicon dioxide. Deposition of the silicon dioxide protective layers occurs at temperatures low enough to provide the radiation reflective coating 28 not to interfere.

Bei sämtlichen vorhergehend beschriebenen Beispielen (Beispiel a) bis Beispiel d)) wurde angenommen, dass die strahlungsreflektierende Beschichtung 28, insbesondere die EUVreflektierende Beschichtung, widerstandsfähig gegen die abschließende Ätzung in Flusssäuredampf ist. Dies ist für die meisten metallischen strahlungsreflektierenden Beschichtungen und/oder deren Abdeckungen sowie für Silizium, welches bis zu einer optischen Qualität poliert wurde, der Fall, hängt jedoch schlussendlich von der Wahl der Abdeckschicht für die strahlungsreflektierende Beschichtung 28 ab. Als Abdeckung für die strahlungsreflektierende Beschichtung 28 dient insbesondere Ruthenium. Alternative Abdeckungen sind ebenfalls möglich. Die Abdeckschicht dient insbesondere dem chemischen Schutz der Molybdän-Silizium-Mehrlagenbeschichtung, insbesondere dem Schutz dieser Beschichtung 28 vor einer Diffusion von Sauerstoff oder anderen korrosiven Gasen bei einem möglichen chemischen Reinigungsschritt. In all the examples described above (Example a) to Example d)), it was assumed that the radiation-reflecting coating 28 , in particular the EUV reflective coating, is resistant to the final etch in hydrofluoric acid vapor. This is the case for most metallic radiation-reflective coatings and / or their coverings as well as for silicon, which has been polished to an optical quality, but ultimately depends on the choice of the cover layer for the radiation-reflecting coating 28 from. As a cover for the radiation-reflecting coating 28 in particular serves ruthenium. Alternative covers are also possible. The covering layer serves in particular for the chemical protection of the molybdenum-silicon multilayer coating, in particular the protection of this coating 28 against diffusion of oxygen or other corrosive gases in a possible chemical cleaning step.

Zusätzlich zu Siliziumdioxid, welches im abschließenden Ätzschritt in Flusssäuredampf entfernt wird, gibt es weitere bekannte Materialien, welche sehr selektiv weggeätzt werden können. Beispiele hierfür sind aus der Herstellung für EUV-Masken bekannt. Derartige Masken sind üblicherweise strahlungsreflektierende Masken, welche strahlungsreflektierende und opake Bereiche umfassen. Sie werden aus sogenannten Maskenblanks mit Molybdän-Silizium-Doppelschichten und einer Abdeckung hergestellt. Die Maskenblanks werden üblicherweise von einer EUV-absorbierenden Schicht auf der Basis von Tantal überzogen. Letztere wird von einem Elektronenstrahlresist überzogen. In addition to silicon dioxide, which is removed in the final etching step in hydrofluoric acid vapor, there are other known materials which can be very selectively etched away. Examples of this are known from the production of EUV masks. Such masks are usually radiation-reflecting masks, which comprise radiation-reflecting and opaque areas. They are made from so-called mask blanks with molybdenum-silicon bilayers and a cover. The mask blanks are usually coated by a tantalum-based EUV absorbing layer. The latter is covered by an electron beam resist.

Die Herstellung der EUV-Maske umfasst eine Strukturierung des Elektronenstrahl-Resists und selektives reaktives Ionenätzen (RIE, reactive ion etching) der Adsorberschicht durch die Resistmaske, wobei die Abdeckung auf den Molybdän-Silizium-Doppelschichten als Ätzstopp dient. Das Ätzverfahren verwendet Chlorid-basiertes Plasma mit einem niedrigen DC-Bias, um die physikalische Ätz-Komponente im Vergleich zur chemischen Reaktion zu unterdrücken. The fabrication of the EUV mask comprises structuring of the electron beam resist and selective reactive ion etching (RIE) of the adsorbent layer through the resist mask, the capping on the molybdenum-silicon bilayers serving as an etch stop. The etching process uses chloride-based plasma with a low DC bias to suppress the physical etch component compared to the chemical reaction.

Ein optionaler Schutz der strahlungsreflektierenden Beschichtung 28, insbesondere im Falle einer strahlungsreflektierenden Beschichtung 28 aus einer Vielzahl von Molybdän-Silizium-Doppelschichten, kann durch eine Lage aus einem der folgenden Materialien oder einer Kombination derselben erreicht werden: TaSiOH, TaSi, TaBO, TaBH, TaOH und TaN. An optional protection of the radiation-reflective coating 28 , Especially in the case of a radiation-reflective coating 28 of a plurality of molybdenum-silicon bilayers can be achieved by a layer of one of the following materials or a combination thereof: TaSiOH, TaSi, TaBO, TaBH, TaOH and TaN.

Die Maskierung durch die Schicht auf Basis einer Tantal-Verbindung kann zusätzlich durch weitere Schutzschichten verbessert werden. Hierfür können insbesondere Schutzschichten aus SiO2, amorphen Silizium, Chrom, Aluminium oder ähnlichen Materialien vorgesehen sein. The masking by the layer based on a tantalum compound can additionally be improved by further protective layers. For this purpose, in particular protective layers of SiO 2 , amorphous silicon, chromium, aluminum or similar materials may be provided.

Für den Fall, dass das Verfahren zur Herstellung der Vielspiegel-Anordnung 19 einen Schutz der strahlungsreflektierenden Beschichtung 28 auf Basis einer Tantal-Verbindung vorsieht, muss die Abdeckung der Molybdän-Silizium-Doppelschichten widerstandsfähig gegen die folgenden drei Verfahrensschritte sein: Ätzen von Opfersilizium in SF6-Plasma oder XeF2-Gas; Ätzen der Oxidschichten in Flusssäuredampf und schließlich chlorbasiertes Plasmaätzen der Tantal-Schutzschicht. In the event that the method of producing the multi-mirror arrangement 19 a protection of the radiation-reflective coating 28 based on a tantalum compound, the covering of the molybdenum-silicon bilayers must be resistant to the following three process steps: etching of sacrificial silicon in SF 6 plasma or XeF 2 gas; Etching the oxide layers in hydrofluoric acid vapor and finally chlorine-based plasma etching of the tantalum protective layer.

In den nachfolgenden beiden Tabellen werden zwei Alternativen für einen doppelten Schutz der EUV-strahlungsreflektierenden Beschichtung 28 während des Herstellungsverfahrens, insbesondere während der letzten Verfahrensschritte, zusammengefasst: Tabelle 1: Molybdän-Silizium-Doppellagen + Abdeckung/TaN/SiO2 (von unten nach oben) Verfahren Auswirkungen auf MEMS-Bestandteile Auswirkungen auf Bereiche unterhalb der Lücke zwischen zwei benachbarten Einzelspiegeln 20 Auswirkungen auf die Einzelspiegel 20 was wird geätzt? als Ätzstopp dient: was wird geätzt? als Ätzstopp dient: was wird geätzt? als Ätzstopp dient: Ätzverfahren zum Volumenätzen von Opfersilizium Opfersilizium SiO2 Opfersilizium Al2O3 Opfersilizium SiO2 HF-Dampfätzverfahren SiO2 funktionelles Silizium - Al2O3 SiO2, (ein wenig) TaN TaN Cl2-Plasmaätzverfahren - - Al2O3 SiO2 TaN MoSi-Abdeckung Tabelle 2: Molybdän-Silizium-Doppellagen + Abdeckung/TaN/Al abgedeckt von einer mehreren Nanometer dicken nativen Al2O3-Schicht Verfahren Auswirkungen auf MEMS-Bestandteile Auswirkungen auf Bereiche unterhalb der Lücke zwischen zwei benachbarten Einzelspiegeln 20 Auswirkungen auf die Einzelspiegel 20 was wird geätzt? als Ätzstopp dient: was wird geätzt? als Ätzstopp dient: was wird geätzt? als Ätzstopp dient: Ätzverfahren zum Volumenätzen von Opfersilizium Opfersilizium SiO2 Opfersilizium Al2O3 - - HF-Dampfätzverfahren SiO2 funktionelles Silizium - Al2O3 - Al/Al2O3 Cl2-Plasmaätzverfahren - - Al2O3 SiO2 Al2O3 + Al + TaN MoSi-Abdeckung In the following two tables, two alternatives for a double protection of the EUV radiation-reflective coating are presented 28 during the production process, in particular during the last process steps, summarized: Table 1: Molybdenum-silicon double layers + cover / TaN / SiO 2 (from bottom to top) method Effects on MEMS components Impact on areas below the gap between two adjacent individual mirrors 20 Effects on the individual levels 20 what is etched? serves as an etch stop: what is etched? serves as an etch stop: what is etched? serves as an etch stop: Etching process for volume etching of sacrificial silicon sacrificial silicon SiO 2 sacrificial silicon Al 2 O 3 sacrificial silicon SiO 2 RF Dampfätzverfahren SiO 2 functional silicon - Al 2 O 3 SiO 2 , (a little) TaN TaN Cl 2 plasma etching - - Al 2 O 3 SiO 2 TaN MoSi cover Table 2: Molybdenum-silicon double layers + cover / TaN / Al covered by a several nanometer-thick native Al 2 O 3 layer method Effects on MEMS components Impact on areas below the gap between two adjacent individual mirrors 20 Effects on the individual levels 20 what is etched? serves as an etch stop: what is etched? serves as an etch stop: what is etched? serves as an etch stop: Etching process for volume etching of sacrificial silicon sacrificial silicon SiO 2 sacrificial silicon Al 2 O 3 - - RF Dampfätzverfahren SiO 2 functional silicon - Al 2 O 3 - Al / Al 2 O 3 Cl 2 plasma etching - - Al 2 O 3 SiO 2 Al 2 O 3 + Al + TaN MoSi cover

Wie sich gezeigt hat, kann selbst im Falle einer empfindlichen EUV-strahlungsreflektierenden Beschichtung 28, bei welcher keinerlei Einschränkungen im Hinblick auf ihre Reflektivität tolerierbar sind, ist das Aufbringen der strahlungsreflektierenden Beschichtung 28 in das MEMS-Verfahren integriert werden. Die EUV-strahlungsreflektierende Beschichtung 28 wird insbesondere bei niedrigen Temperaturen mit einer Schutzschicht aus SiO2 versehen. Diese Schutzschicht wird erst im abschließenden Ätzschritt mit Flusssäuredampf entfernt. Die EUV-strahlungsreflektierende Beschichtung 28 wird somit erst im abschließenden Ätzschritt im Flusssäuredampf freigelegt. As has been shown, even in the case of a sensitive EUV radiation-reflective coating 28 in which no restrictions on its reflectivity are tolerable, is the application of the radiation-reflective coating 28 integrated into the MEMS process. The EUV radiation-reflecting coating 28 is provided with a protective layer of SiO 2 , especially at low temperatures. This protective layer is removed in the final etching step with hydrofluoric acid vapor. The EUV radiation-reflecting coating 28 is thus exposed in the final etching step in the hydrofluoric acid vapor.

Der abschließende Ätzschritt in Flusssäure beeinträchtigt die EUV-strahlungsreflektierende Beschichtung 28 nicht, da die oberste Schicht eine Siliziumschicht mit oder ohne Abdeckung aus Ruthenium aufweist. The final etch step in hydrofluoric acid affects the EUV radiation reflective coating 28 not, because the uppermost layer has a silicon layer with or without ruthenium coating.

Vorteile der vorhergehend beschriebenen Verfahren sind insbesondere die kürzere Verfahrensdauer, die geringere Anzahl an Verfahrensschritten sowie die Tatsache, dass die Auslösung der Einzelspiegel 20 aus dem Wafer 34 gleichzeitig mit der Freilegung der strahlungsreflektierenden Beschichtung 28 geschieht, was zu einer höheren Fertigungsausbeute führt. Außerdem wird – wie bereits beschrieben – sichergestellt, dass die strahlungsreflektierende Beschichtung 28 nicht in die Bereiche hinter/unter den Einzelspiegeln 20 gelangen kann. Advantages of the methods described above are, in particular, the shorter process duration, the smaller number of process steps and the fact that the triggering of the individual mirrors 20 from the wafer 34 simultaneously with the exposure of the radiation-reflective coating 28 happens, which leads to a higher production yield. In addition, as already described, it is ensured that the radiation-reflecting coating 28 not in the areas behind / below the individual mirrors 20 can get.

Schließlich handelt es sich bei dem abschließenden Ätzverfahren in Flusssäuredampf um einen rein chemischen Prozess, welcher daher keinen physischen Schaden an der kostbaren Abdeckung anrichten kann. Finally, the final etching process in hydrofluoric acid vapor is a purely chemical process, which therefore can not cause any physical damage to the precious cover.

Im Folgenden werden noch einmal schematisch unter Bezugnahme auf die 7A bis 9G beziehungsweise unter Bezugnahme auf die 10A bis 12F unterschiedliche Verfahrensabläufe zur Herstellung eines optischen MEMS-Bauelements, insbesondere in Form der Vielspiegel-Anordnung 19, beschrieben. The following are again schematically with reference to the 7A to 9G or with reference to the 10A to 12F different processes for the production of an optical MEMS device, in particular in the form of the multi-mirror arrangement 19 , described.

In den 7A bis 7J ist eine Abfolge von Zwischenprodukten und Verfahrensschritten zur Herstellung eines Spiegelwafers 52 dargestellt. In the 7A to 7J is a sequence of intermediates and process steps for making a mirror wafer 52 shown.

Ausgangspunkt (siehe 7A) bildet ein SOI-Wafer 53 (silicon on isolator wafer, Silizium-auf-Isolator-Wafer) mit einer oberen oder vorderen Siliziumschicht 54 und einer unteren oder hinteren Siliziumschicht 55, welche durch eine isolierende SiO2-Schicht 56 voneinander getrennt sind. Die vordere Siliziumschicht 54 umfasst die späteren Spiegelkörper 27. Die hintere Siliziumschicht 55 umfasst die späteren Sockel 41. Starting point (see 7A ) forms an SOI wafer 53 (silicon on insulator wafer) with a top or front silicon layer 54 and a lower or back silicon layer 55 . which by an insulating SiO 2 layer 56 are separated from each other. The front silicon layer 54 includes the later mirror body 27 , The back silicon layer 55 includes the later pedestals 41 ,

In einem ersten Strukturierungsschritt 57 werden rückseitige Öffnungen 58 in die hintere Siliziumschicht 55 und die SiO2-Schicht 56 eingebracht, insbesondere geätzt (siehe 7B). In a first structuring step 57 be back openings 58 in the back silicon layer 55 and the SiO 2 layer 56 introduced, in particular etched (see 7B ).

In einem nachfolgenden Auffüllschritt 59 werden die Öffnungen 58 mit dotiertem polykristallinen Silizium 60 aufgefüllt (siehe 7C). Die Füllungen werden anschließend mittels eines chemisch mechanischen Polierverfahren (CMP-Verfahren) planarisiert. Die Füllungen 60 dienen dem elektrischen Zugang und der Kontaktierung des späteren Spiegelkörpers 27. In a subsequent filling step 59 be the openings 58 with doped polycrystalline silicon 60 filled up (see 7C ). The fillings are then planarized by a chemical mechanical polishing (CMP) process. The fillings 60 serve the electrical access and the contacting of the later mirror body 27 ,

In einem weiteren Strukturierungsschritt 61 werden Gräben 62 in die Siliziumschicht 54 eingebracht. Die Gräben 62 dienen dazu, die späteren Spiegelkörper 27 seitlich zu definieren (siehe 7D). In a further structuring step 61 become trenches 62 in the silicon layer 54 brought in. The trenches 62 serve to the later mirror body 27 to define laterally (see 7D ).

In einem nachfolgenden Abscheidungsschritt 63 werden die Gräben 62 mit aus TEOS (Tetraethylorthosilikat) 64 erzeugten SiO2 gefüllt. Außerdem wird im Abscheidungsschritt 63 die Vorderseite 37 des SOI-Wafers 53 mit TEOS 64 überzogen (siehe 7E). In a subsequent deposition step 63 become the trenches 62 with TEOS (tetraethylorthosilicate) 64 produced SiO 2 filled. In addition, in the deposition step 63 the front 37 of the SOI wafer 53 with TEOS 64 coated (see 7E ).

In einem nachfolgenden Ätzschritt 65 wird die oberste SiO2-Schicht entfernt (siehe 7F). Optional kann die Vorderseite 37 noch einmal geglättet werden. Hierfür kann ein CMP-Verfahren vorgesehen sein. In a subsequent etching step 65 the uppermost SiO 2 layer is removed (see 7F ). Optionally, the front 37 to be smoothed once more. For this purpose, a CMP method can be provided.

Sodann wird die strahlungsreflektierende Beschichtung 28 im Abscheidungsschritt 36 auf die Vorderseite 37 des SOI-Wafers 53 aufgebracht (siehe 7G). Im Falle einer Verwendung der Vielspiegel-Anordnung 19 mit EUV-Strahlung 10 umfasst die strahlungsreflektierende Beschichtung 28 eine Vielzahl von Molybdän-Silizium-Doppelschichten. Sie umfasst außerdem eine Abdeckung aus Ruthenium. Then, the radiation-reflective coating 28 in the deposition step 36 on the front 37 of the SOI wafer 53 applied (see 7G ). In case of using the multi-mirror arrangement 19 with EUV radiation 10 includes the radiation-reflective coating 28 a variety of molybdenum-silicon bilayers. It also includes a ruthenium cover.

Im nachfolgenden Strukturierungsschritt 38 wird die strahlungsreflektierende Beschichtung 28 strukturiert. Hierbei werden die späteren Reflexionsflächen 26 der Einzelspiegel 20 definiert. Im Falle der EUV-strahlungsreflektierenden Beschichtung 28 ist zur Strukturierung 38 ein reaktives Ionenätzverfahren mit Chlor (Cl2) und Sauerstoff (O2) vorgesehen. In the subsequent structuring step 38 becomes the radiation-reflective coating 28 structured. Here are the later reflection surfaces 26 the individual mirror 20 Are defined. In the case of the EUV radiation-reflecting coating 28 is for structuring 38 a reactive ion etching with chlorine (Cl 2 ) and oxygen (O 2 ) provided.

Sodann wird im Abscheidungsschritt 44 die Schutzschicht 35 auf die strahlungsreflektierende Beschichtung 28 aufgebracht. Hierfür ist insbesondere ein Sputter-Verfahren vorgesehen. Als Schutzschicht 35 dient insbesondere eine Lage aus SiO2. Then in the deposition step 44 the protective layer 35 on the radiation-reflective coating 28 applied. For this purpose, in particular a sputtering method is provided. As a protective layer 35 in particular, a layer of SiO 2 is used .

Sodann wird in einem Rückseitenstrukturierungsschritt 66 die Rückseite des SOI-Wafers 53 strukturiert (siehe 7J). Hierfür ist insbesondere ein reaktives Ionentiefenätzverfahren (DRIE-Verfahren) vorgesehen. Als Ätzstopp dient die SiO2-Schicht 56. Im Rückseitenstrukturierungsschritt 66 werden insbesondere die Sockel 41 ausgebildet. Then, in a back side patterning step 66 the back of the SOI wafer 53 structured (see 7J ). For this purpose, in particular a reactive ion deep etching (DRIE) method is provided. The etch stop is the SiO 2 layer 56 , In the back structuring step 66 in particular the pedestals 41 educated.

In der 7J ist schematisch der Spiegelwafer 52 dargestellt, welcher fertig vorbereitet ist, um mit einem Aktuatorwafer 67 zusammengefügt, insbesondere gebondet zu werden. In the 7J is schematically the mirror wafer 52 which is ready to work with an actuator wafer 67 joined, in particular, to be bonded.

In den 8A bis 8G ist schematisch eine Abfolge von Zwischenprodukten und Verfahrensschritten zur Herstellung des Aktuatorwafers 67 dargestellt. Als Ausgangspunkt (siehe 8A) dient ein SOI-Wafer 68 mit einer oberen/vorderen Siliziumschicht 69, welcher von einer unteren/hinteren Siliziumschicht 70 durch eine isolierende SiO2-Schicht 71 getrennt ist. In the 8A to 8G is a schematic sequence of intermediates and process steps for the production of the actuator wafer 67 shown. As a starting point (see 8A ) serves an SOI wafer 68 with an upper / front silicon layer 69 , which of a lower / rear silicon layer 70 through an insulating SiO 2 layer 71 is disconnected.

Die obere Siliziumschicht 69 weist eine Dicke auf, welche gerade der Dicke der späteren Gelenkstruktur 29, insbesondere der Dicke von herzustellendem Haltefedern zur Aufhängung der Einzelspiegel 20, entspricht. The upper silicon layer 69 has a thickness which is just the thickness of the later hinge structure 29 , in particular the thickness of retaining springs to be produced for suspending the individual mirrors 20 , corresponds.

In einem ersten Strukturierungsschritt 72 wird die rückseitige Siliziumschicht 70 strukturiert (siehe 8B). Hierbei werden insbesondere Öffnungen 73 in die Siliziumschicht 70 eingebracht. Zum Einbringen der Öffnungen 73 in die Siliziumschicht 70 ist ein Ätzverfahren, insbesondere ein DRIE-Verfahren, vorgesehen. Die Öffnungen 73 dienen der Ausbildung späterer Durchkontaktierungen (TSV, through silicon vias) sowie optional vertikalen Elektroden. Als Ätzstopp dient die SiO2-Schicht 71. In a first structuring step 72 becomes the backside silicon layer 70 structured (see 8B ). In this case, in particular openings 73 in the silicon layer 70 brought in. For inserting the openings 73 in the silicon layer 70 is an etching method, in particular a DRIE method, is provided. The openings 73 serve for the formation of later vias (TSV) as well as optional vertical electrodes. The etch stop is the SiO 2 layer 71 ,

In einem nachfolgenden Oxidationsschritt 74 werden die Öffnungen 73 mit einer elektrisch isolierenden SiO2-Schicht 75 überzogen (siehe 8C). Für den Oxidationsschritt 74 ist insbesondere eine thermische Oxidation vorgesehen. In a subsequent oxidation step 74 be the openings 73 with an electrically insulating SiO 2 layer 75 coated (see 8C ). For the oxidation step 74 In particular, a thermal oxidation is provided.

In einem nachfolgenden Auffüllschritt 76 werden die Öffnungen 73 mit einer Füllung 77 aus dotiertem Poly-Silizium aufgefüllt (siehe 8D). Anschließend wird die Rückseite 78 des SOI-Wafers 68 mit einem CMP-Verfahren poliert. In a subsequent filling step 76 be the openings 73 with a filling 77 made of doped poly-silicon filled (see 8D ). Subsequently, the back is 78 of the SOI wafer 68 polished with a CMP process.

Als Stopp für das Polierverfahren dient die rückseitige SiO2-Schicht 75. As a stop for the polishing process is the backside SiO 2 layer 75 ,

In einem nachfolgenden zweistufigen Ätzverfahren 79 werden Zugangsöffnungen 80 in die vordere Siliziumschicht 69 und die darunterliegende SiO2-Schicht 71 eingebracht (siehe 8E). Die Zugangsöffnungen 80 bilden einen Zugang zu den Füllungen 77 für die späteren TSV beziehungsweise zu funktionellen Silizium-Strukturen. In a subsequent two-stage etching process 79 become access openings 80 in the front silicon layer 69 and the underlying SiO 2 layer 71 introduced (see 8E ). The access openings 80 form an access to the fillings 77 for the later TSV or functional silicon structures.

In einem nachfolgenden Abscheidungsschritt 81 werden Metallabscheidungen 82, insbesondere aus Gold oder Aluminium, in die Zugangsöffnungen 80 und die daran angrenzenden Bereiche auf der Siliziumschicht 69 abgeschieden (siehe 8F). Die Metallabscheidungen 82 können außerdem strukturiert werden. Sie dienen der elektrischen Kontaktierung der späteren TSV und/oder funktioneller Silizium-Strukturen, insbesondere zentraler Elektroden. Sie können außerdem Bondingpads, insbesondere für eutektisches Bonden des Aktuatorwafers 67 mit dem Spiegelwafer 52, bilden. In a subsequent deposition step 81 become metal deposits 82 , in particular of gold or aluminum, in the access openings 80 and the adjoining areas on the silicon layer 69 isolated (see 8F ). The metal deposits 82 can also be structured. They serve for the electrical contacting of the later TSV and / or functional silicon structures, in particular central electrodes. You can also use bonding pads, especially for eutectic bonding of the actuator wafer 67 with the mirror wafer 52 , form.

In einem nachfolgenden Strukturierungsschritt 83 werden Grabenstrukturen 84 in die vordere Siliziumschicht 69 eingebracht. Hierfür ist ein Ätzverfahren vorgesehen. Als Ätzstopp dient die SiO2-Schicht 71. Die Grabenstrukturen 84 dienen der Ausbildung der Gelenkstrukturen 29 beziehungsweise deren Bestandteile, insbesondere der Ausbildung von Federn zur Aufhängung/Lagerung der Einzelspiegel 20. Die Grabenstrukturen 84 dienen außerdem der elektrischen Isolierung der Metall-Abscheidungen 82. In a subsequent structuring step 83 become trench structures 84 in the front silicon layer 69 brought in. For this purpose, an etching process is provided. The etch stop is the SiO 2 layer 71 , The trench structures 84 serve the formation of joint structures 29 or their components, in particular the formation of springs for suspension / storage of the individual mirror 20 , The trench structures 84 also serve the electrical insulation of the metal deposits 82 ,

In der 8G ist schematisch dar Aktuatorwafer 67 dargestellt, in einem Zustand, in welchem er fertig zum Bonden mit dem Spiegelwafer 52 ist. In the 8G is schematically illustrated actuator wafer 67 shown in a state in which he is ready to be bonded with the mirror wafer 52 is.

In den 9A bis 9G ist schematisch das Zusammenfügen des Spiegelwafers 52 mit dem Aktuatorwafer 67 sowie die nachfolgende Prozessierung des dadurch gebildeten Waferstapels 85 dargestellt. In the 9A to 9G is schematically the joining of the mirror wafer 52 with the actuator wafer 67 and the subsequent processing of the wafer stack formed thereby 85 shown.

Zur Verbindung des Spiegelwafers 52 mit dem Aktuatorwafer 67 ist ein Fügeschritt 86 vorgesehen. Zum Zusammenfügen des Spiegelwafers 52 und des Aktuatorwafers 67 ist insbesondere ein Si/Al-Bondingverfahren, oder ein eutektisches Si/Au-Bondingverfahren, vorgesehen. Dies ist schematisch in der 9A dargestellt. Der Waferstapel 85, welcher aus dem Spiegelwafer 52 und dem Aktuatorwafer 67 gebildet wird, ist schematisch in der 9B dargestellt. To connect the mirror wafer 52 with the actuator wafer 67 is a joining step 86 intended. To assemble the mirror wafer 52 and the actuator wafer 67 In particular, Si / Al bonding method or eutectic Si / Au bonding method is provided. This is schematically in the 9A shown. The wafer stack 85 which is from the mirror wafer 52 and the actuator wafer 67 is formed is schematically in the 9B shown.

Sodann werden in einem Metallisierungsschritt 87 metallische Strukturen 88 auf die Rückseite des Aktuatorwafers 67 aufgebracht. Die metallischen Strukturen 88 dienen als Kontaktflächen und/oder als Verbindungselemente (Bondingpads). Then in a metallization step 87 metallic structures 88 on the back of the actuator wafer 67 applied. The metallic structures 88 serve as contact surfaces and / or as connecting elements (bonding pads).

In einem darauffolgenden Strukturierungsverfahren 89 wird zunächst eine Schicht aus Photo-Resist 90 auf die Rückseite des Waferstapels 85 aufgebracht. Das Photo-Resist 90 überdeckt insbesondere die metallischen Strukturen 88, insbesondere vollständig. Durch das Photo-Resist 90 wird ein Rahmen für einen nachfolgenden Ätzschritt definiert. Die nicht durch den Rahmen abgedeckten Bereiche, insbesondere die sich in Vertikalrichtung daran anschließenden Volumenbereiche mit Opfersilizium, werden in einem Ätzschritt entfernt. Zur Entfernung der Bereiche mit Opfersilizium ist insbesondere ein DRIE-Verfahren in SF6-Plasma vorgesehen. An den Seiten der zu entfernenden Bereiche bilden die vertikalen SiO2-Schichten 75 jeweils einen Ätzstopp. In a subsequent structuring process 89 First, a layer of photo-resist 90 on the back of the wafer stack 85 applied. The photo-resist 90 covers in particular the metallic structures 88 , in particular completely. Through the photo-resist 90 a frame is defined for a subsequent etching step. The areas not covered by the frame, in particular the volume areas with sacrificial silicon adjoining them in the vertical direction, are removed in an etching step. To remove the areas with sacrificial silicon, in particular a DRIE method in SF 6 plasma is provided. On the sides of the areas to be removed form the vertical SiO 2 layers 75 one etch stop each.

Durch das Strukturierungsverfahren 89 werden die Gelenkstrukturen 29, insbesondere die Federelemente derselben, mittels welchen die Einzelspiegel 20 auf dem Aktuatorwafer 67 gelagert sind, freigelegt (siehe 9D). Außerdem werden zentrale Elektrodenstifte 91 im Strukturierungsverfahren 89 freigelegt. Through the structuring process 89 become the joint structures 29 , in particular the spring elements of the same, by means of which the individual mirrors 20 on the actuator wafer 67 are stored, exposed (see 9D ). In addition, central electrode pins 91 in the structuring process 89 exposed.

In einem weiteren Strukturierungsschritt 92 wird die Vorderseite der noch nicht aus dem Wafer 34 ausgelösten Einzelspiegel 20, insbesondere die durch die Schutzschicht 35 aus SiO2 abgedeckte strahlungsreflektierende Beschichtung 28, mit einer Maske 93 aus Photo-Resist versehen (siehe 9E). Sie wird dadurch gegen einen nachfolgenden Ätzschritt geschützt. In diesem Ätzschritt werden somit lediglich die durch die Maske 93 nicht abgedeckten Bereiche der Schutzschicht 35 entfernt. Hierbei handelt es sich insbesondere um Bereiche in den Zwischenräumen zwischen den späteren Einzelspiegeln 20. Die Schutzschicht 35 wird in diesen Bereichen bis zum Opfersilizium 94 in den Bereichen zwischen den späteren Spiegelkörpern 27 entfernt. In a further structuring step 92 the front of the is not yet out of the wafer 34 triggered individual mirror 20 , in particular those through the protective layer 35 SiO 2 covered by radiation reflective coating 28 , with a mask 93 made of photo-resist (see 9E ). It is thereby protected against a subsequent etching step. In this etching step, therefore, only those through the mask 93 uncovered areas of the protective layer 35 away. These are in particular areas in the spaces between the later individual mirrors 20 , The protective layer 35 in these areas is up to the sacrificial silicon 94 in the areas between the later mirror bodies 27 away.

Das Photo-Resist 90 und die Maske 93 werden nach der Entfernung der SiO2-Bereiche 75 beziehungsweise 35 wieder entfernt. Dies ist in den den Prozessablauf schematisch wiedergebenden Figuren nicht eigens dargestellt. The photo-resist 90 and the mask 93 are after the removal of the SiO 2 regions 75 respectively 35 removed again. This is not specifically shown in the figures schematically reproducing the process flow.

Sodann wird in einem Entfernungsschritt 95 das Opfersilizium 94 entfernt (siehe 9F). Hierzu ist wiederum ein Ätzverfahren vorgesehen. Als seitlicher und vertikaler Ätzstopp dienen die SiO2-Schichten 64 beziehungsweise 56. Durch die Entfernung des Opfersiliziums 94 werden die Lücken 33 zwischen den Spiegelkörpern 27 geöffnet. Then it is in a removal step 95 the sacrificial silicon 94 removed (see 9F ). For this purpose, an etching process is again provided. The SiO 2 layers serve as lateral and vertical etching stop 64 respectively 56 , By the removal of the sacrificial silicon 94 be the gaps 33 between the mirror bodies 27 open.

In einem abschließenden Auslöseschritt 96 werden die verbliebenen, nicht benötigten internen SiO2-Bereiche/-Schichten entfernt. Hierdurch werden einerseits die Einzelspiegel 20 aus dem Wafer 34, insbesondere dem daraus hergestellten Spiegelwafer 52 ausgelöst. Gleichzeitig wird dadurch die strahlungsreflektierende Beschichtung 28 freigelegt. Dasselbe gilt für die Federelemente der Gelenkstrukturen 29 sowie die vertikalen Aktuatorelektroden 97 sowie die zentralen Elektroden 98 auf den zentralen Elektrodenstiften 91. In a final triggering step 96 The remaining, unnecessary internal SiO 2 regions / layers are removed. As a result, on the one hand, the individual mirror 20 from the wafer 34 , in particular the mirror wafer produced therefrom 52 triggered. At the same time, this makes the radiation-reflecting coating 28 exposed. The same applies to the spring elements of the joint structures 29 as well as the vertical actuator electrodes 97 as well as the central electrodes 98 on the central electrode pins 91 ,

Die Einzelspiegel 20 sind in diesem Zustand von den Gelenkstrukturen 29 beweglich gehalten. Sie sind insbesondere verschwenkbar. Sie sind insbesondere aktuatorisch verlagerbar. Sie sind insbesondere durch Beaufschlagung der Aktuator-Elektroden 97 und/oder der zentralen Elektroden 98 mit einer Aktuatorspannung verlagerbar. The individual mirrors 20 are in this state of the joint structures 29 kept movable. They are in particular pivotable. They are in particular actuarially displaceable. They are in particular by acting on the actuator electrodes 97 and / or the central electrodes 98 displaceable with an actuator voltage.

Die räumlich geometrische Struktur der in den Figuren dargestellten Aktuatorelektroden 97 ist rein exemplarisch zu verstehen. Die Aktuatorelektroden können je nach Bedarf auch alternative Ausbildungen und/oder Anordnungen aufweisen. Entsprechend können auch zusätzliche Sensorelektroden vorgesehen sein. The spatial geometric structure of the actuator electrodes shown in the figures 97 is purely exemplary to understand. The actuator electrodes may also have alternative configurations and / or arrangements as needed. Accordingly, additional sensor electrodes may be provided.

Hierfür ist ein Ätzverfahren mit Flusssäuredampf vorgesehen. Die Einzelspiegel 20 werden bei diesem Beispiel durch zwei vertikale reaktive Ionentiefenätzverfahrensschritte ausgelöst. For this purpose, an etching process with hydrofluoric acid vapor is provided. The individual mirrors 20 are triggered by two vertical reactive ion etching steps in this example.

Im Folgenden wird unter Bezugnahme auf die 10A bis 10F, 11A bis 11J und 12A bis 12F eine weitere Alternative eines Verfahrens zur Herstellung der Vielspiegel-Anordnung 19 beschrieben. Identische Verfahrensschritte sowie identische Bestandteile der Zwischenprodukte erhalten dieselben Bezugszeichen wie bei dem vorhergehend beschriebenen Beispiel, auf das hiermit verwiesen wird. The following is with reference to the 10A to 10F . 11A to 11J and 12A to 12F a further alternative of a method for producing the multi-mirror arrangement 19 described. Identical process steps and identical constituents of the intermediates are given the same reference numerals as in the previously described example, to which reference is hereby made.

Insbesondere die Verfahrensschritte bei der Herstellung des Spiegelwafers 52 entsprechen denen unter Bezugnahme auf die 7A bis 7I dargestellten Verfahrensschritten und Zwischenprodukten. In particular, the process steps in the production of the mirror wafer 52 correspond to those with reference to the 7A to 7I illustrated process steps and intermediates.

Anstelle der Rückseitenstrukturierung zur Freilegung der Sockel 41 ist gemäß der in den 10A bis 10F dargestellten Alternative ein Rückseitenstrukturierungsschritt 99 vorgesehen, bei welchem eine Grabenstruktur 100 in die untere Siliziumschicht 55 eingebracht wird (siehe 10J). Die Grabenstruktur 100 definiert die seitlichen Begrenzungen der Sockel 41. Als Ätzstopp für den Rückseitenstrukturierungsschritt 99 dient die SiO2-Schicht 56. Instead of the back side structuring to expose the pedestals 41 is according to the in the 10A to 10F illustrated alternative, a back side structuring step 99 provided in which a trench structure 100 in the lower silicon layer 55 is introduced (see 10J ). The trench structure 100 defines the lateral boundaries of the pedestals 41 , As an etch stop for the backside patterning step 99 serves the SiO 2 layer 56 ,

In einem nachfolgenden Auffüllschritt 101 wird die Grabenstruktur 100 mit einer Füllung 102 aufgefüllt. Als Füllung 102 dient insbesondere TEOS. In a subsequent filling step 101 becomes the trench structure 100 with a filling 102 refilled. As a filling 102 is used in particular TEOS.

In einem nachfolgenden weiteren Rückseitenstrukturierungsschritt 103 wird das nicht benötigte Opfersilizium 104 der Siliziumschicht 55 entfernt. Dadurch werden die Sockel 41 freigelegt (siehe 10L). In a subsequent further back-side structuring step 103 becomes the unneeded sacrificial silicon 104 the silicon layer 55 away. This will make the sockets 41 exposed (see 10L ).

In einem nachfolgenden Ätzschritt 105 werden die rückseitigen Bereiche der SiO2-Schicht 56 im Bereich der späteren Lücken 33 zwischen den Spiegelkörpern 27 entfernt. Hierfür ist die Aufbringung einer Maske aus Photo-Resist (nicht dargestellt) und ein nachfolgendes reaktives Ionenätzverfahren vorgesehen. Als Ätzstopp dient ein Bereich mit Opfersilizium 104 auf der Siliziumschicht 54. In a subsequent etching step 105 become the back areas of the SiO 2 layer 56 in the area of the later gaps 33 between the mirror bodies 27 away. For this purpose, the application of a mask of photoresist (not shown) and a subsequent reactive ion etching process is provided. The etch stop is an area of sacrificial silicon 104 on the silicon layer 54 ,

Der in der 10M schematisch dargestellte Spiegelwafer 52 ist fertig, um mit einem Aktuatorwafer zusammengefügt zu werden. The Indian 10M schematically represented mirror wafers 52 is ready to be put together with an actuator wafer.

Zur Herstellung des Aktuatorwafers 67 dient wiederum ein SOI-Wafer mit einer oberen Siliziumschicht 69, einer unteren Siliziumschicht 70 und einer dazwischen angeordneten SiO2-Schicht 71 (siehe 11A). Für weitere Details sei auf die vorhergehende Beschreibung verwiesen. For the production of the actuator wafer 67 again serves an SOI wafer with an upper silicon layer 69 , a lower silicon layer 70 and an SiO 2 layer interposed therebetween 71 (please refer 11A ). For further details, please refer to the previous description.

Im ersten Strukturierungsschritt 72 werden gemäß der in den 11A bis 11J dargestellten Alternative des Verfahrensablaufs ausschließlich Öffnungen 73 für die späteren Durchkontaktierungen (TSV) in die untere Siliziumschicht 70 eingebracht (siehe 11B). In the first structuring step 72 be according to the in the 11A to 11J illustrated alternative of the procedure exclusively openings 73 for the later plated-through holes (TSV) in the lower silicon layer 70 introduced (see 11B ).

Die Öffnungen 73 werden wie zuvor beschrieben im Oxidationsschritt 74 mit einer SiO2-Schicht 75 überzogen und anschließend im Auffüllschritt 76 mit den Füllungen 77 aus dotiertem Poly-Silizium aufgefüllt. The openings 73 are as described above in the oxidation step 74 with a SiO 2 layer 75 coated and then in the filling step 76 with the fillings 77 made up of doped poly-silicon.

Auch bezüglich des Ätzverfahrens 79 und des Abscheidungsschritts 81 wird auf die vohergehende Beschreibung verwiesen. Also regarding the etching process 79 and the deposition step 81 Reference is made to the description above.

In den nachfolgenden Strukturierungsschritten 106 werden zunächst die obere Siliziumschicht 69 und anschließend die vergrabene SiO2-Schicht 71 strukturiert (siehe 11G). Hierzu ist ein zweistufiges Ätz-Verfahren vorgesehen. Hierdurch werden die Federelemente der späteren Gelenkstrukturen 29 strukturiert, insbesondere ausgebildet. Außerdem werden Grabenstrukturen 107 zur Isolierung von Silizium-/Metallkontakten 108, zwischen unterschiedlichen Drähten und vom Rest des Substratmaterials ausgebildet. Zur Definition der nicht zu ätzenden Bereiche auf der Vorderseite des Aktuatorwafers 67 ist eine hierbei Maskierung 111 aus Photo-Resist vorgesehen. In the following structuring steps 106 First, the upper silicon layer 69 and then the buried SiO 2 layer 71 structured (see 11G ). For this purpose, a two-stage etching process is provided. As a result, the spring elements of the later joint structures 29 structured, in particular designed. In addition, trench structures 107 for the isolation of silicon / metal contacts 108 formed between different wires and the rest of the substrate material. To define the non-corrosive areas on the front of the actuator wafer 67 is a masking here 111 from photo-resist provided.

In einem nachfolgenden Abscheideschritt 109 wird eine Schutzschicht 110 zum Schutz der funktionellen Siliziumstrukturen, insbesondere der Gelenkstrukturen 29 abgeschieden (siehe 11H). In a subsequent deposition step 109 becomes a protective layer 110 for protecting the functional silicon structures, in particular the joint structures 29 isolated (see 11H ).

Die Schutzschicht 110 ist insbesondere aus SiO2. Zur Abscheidung der Schutzschicht 110 ist eine plasmaunterstützte chemische Gasphasenabscheidung (PECVD, plasma enhanced chemical vapour deposition) vorgesehen. Die Schutzschicht wird insbesondere bei einer Temperatur von höchstens 350°C abgeschieden. Hierdurch wird sichergestellt, dass die Metall-Abscheidungen 82 im Abscheideschritt 109 unversehrt bleiben. The protective layer 110 is in particular of SiO 2 . For the deposition of the protective layer 110 a plasma-enhanced chemical vapor deposition (PECVD) is provided. The protective layer is deposited in particular at a temperature of at most 350 ° C. This will ensure that the metal deposits 82 in the deposition step 109 stay intact.

Die Schutzschicht 110 dient insbesondere dem seitlichen Schutz sowie dem Schutz der Vorderseite der funktionellen Siliziumstrukturen, insbesondere der Gelenkstrukturen 29 im nachfolgenden Ätzverfahren zur Entfernung von Bereichen mit Opfersilizium. The protective layer 110 serves in particular the lateral protection and the protection of the front of the functional silicon structures, in particular the joint structures 29 in the subsequent etching process for the removal of areas with sacrificial silicon.

Sodann ist eine lithographische Maskierung von Photo-Resist 114 und eine nachfolgende Strukturierung mittels einen SiO2-Ätzverfahren 112 vorgesehen. Hierbei wird das Siliziumdioxid am Boden der Schicht, welche die Federelemente der Gelenkstruktur 29 bildet, und über den Bondpads entfernt. Dadurch wird sichergestellt, dass in einem späteren Verfahrensschritt Gas zum Ätzen von Opfersilizium Zugang zu den Bereichen mit Opfersilizium 113 unter der Gelenkstruktur 29 hat. Next is a lithographic mask of photoresist 114 and subsequent structuring by means of a SiO 2 etch process 112 intended. Here, the silicon dioxide at the bottom of the layer, which are the spring elements of the joint structure 29 forms, and removed over the bondpads. This ensures that, in a later step, gas for etching sacrificial silicon accesses the sacrificial silicon areas 113 under the joint structure 29 Has.

Zur Selektion der zu ätzenden Bereiche werden die nicht zu ätzenden Bereiche mit einer Maskierung 114 aus Photo-Resist versehen. Die Metall-Abscheidungen 82, welche zum Bonden des Aktuatorwafers 67 mit dem Spiegelwafer 52 dienen, bleiben hierbei freiliegend, das heißt sie werden nicht von der Maskierung 114 abgedeckt. For selection of the areas to be etched, the areas not to be etched are masked 114 made of photo-resist. The metal deposits 82 , which for bonding the actuator wafer 67 with the mirror wafer 52 serve, remain exposed, meaning they are not masked 114 covered.

In einem anschließenden Entfernungsschritt 115 wird die Maskierung 114 wieder entfernt (siehe 11J). In diesem Zustand ist der Aktuatorwafer 67 fertig, um mit dem Spiegelwafer 52 zusammengefügt zu werden. In a subsequent removal step 115 becomes the masking 114 removed again (see 11J ). In this state, the actuator wafer 67 ready to go with the mirror wafer 52 to be joined together.

Wie in der 12A schematisch dargestellt ist, werden im Folgenden die vorbereiteten Spiegelwafer 52 und Aktuatorwafer 67 bereitgestellt und präzise zueinander ausgerichtet und sodann im Fügeschritt 86, wie vorhergehend beschrieben, zum Waferstapel 85 zusammengefügt. (siehe 12B). Like in the 12A is shown schematically, the prepared mirror wafers in the following 52 and actuator wafers 67 provided and precisely aligned with each other and then in the joining step 86 as previously described, to the wafer stack 85 together. (please refer 12B ).

Auch der Metallisierungsschritt 87 entspricht dem der vorhergehenden Beschreibung. Also the metallization step 87 corresponds to the previous description.

Nach dem Metallisierungsschritt 87 ist direkt der Strukturierungsschritt 92 vorgesehen. Für die entsprechenden Details sei wiederum auf die vorhergehende Beschreibung verwiesen. After the metallization step 87 is directly the structuring step 92 intended. For the corresponding details, reference is again made to the preceding description.

Der Waferstapel 85 ist in dem in der 12D schematisch dargestellten Zustand abschließend für einen nachfolgenden Ätzschritt 116 vorbereitet. Er ist insbesondere derart vorbereitet, dass alle Bereiche, insbesondere Volumenbereiche, mit Opfersilizium, das heißt alle Volumenbereiche, welche entfernt werden sollen, für ein Ätzgas zugänglich sind, sowie das alle funktionellen Strukturen durch eine Schutzschicht, insbesondere aus SiO2, abgedeckt, insbesondere vollständig abgedeckt, insbesondere gegen ein unerwünschtes Ätzen geschützt sind. The wafer stack 85 is in the in the 12D schematically shown state for a subsequent etching step 116 prepared. In particular, it is prepared in such a way that all regions, in particular volume regions, with sacrificial silicon, ie all volume regions which are to be removed, are accessible to an etching gas, and all functional structures are covered, in particular completely, by a protective layer, in particular of SiO 2 covered, in particular protected against undesired etching.

Das Ergebnis des Ätzschrittes 116, das heißt aus dem Waferstapel 85 erhaltene Zwischenprodukt nach Durchführung des Ätzschrittes 116 ist schematisch in der 12E dargestellt. Durch den Ätzschritt 116 werden insbesondere die Lücken 33 zwischen den Spiegelkörpern 27 geöffnet. Hierdurch, das heißt nach der Separierung der benachbarten Spiegelkörper 27, hat das Ätzgas Zugang zu den Bereichen unter den Federelementen der Gelenkstrukturen 29. Das Opfersilizium 113 in diesen Bereichen wird durch das Ätzgas entfernt. Die Spiegel 20 sind somit in diesem Zustand verlagerbar. Sie sind insbesondere aus dem Wafer 34, insbesondere dem Spiegelwafer 52, ausgelöst. Für den Ätzschritt 116 ist insbesondere ein isotropes Ätzverfahren zur Entfernung von Opfersilizium vorgesehen. Hierfür kann vorzugsweise ein Ätzen mittels SF6-Plasma oder mittels XeF2-Gas vorgesehen sein. The result of the etching step 116 that is, from the wafer stack 85 obtained intermediate product after carrying out the etching step 116 is schematic in the 12E shown. By the etching step 116 especially the gaps 33 between the mirror bodies 27 open. In this way, that is after the separation of the adjacent mirror body 27 , the etching gas has access to the areas under the spring elements of the joint structures 29 , The sacrificial silicon 113 in these areas is removed by the etching gas. The mirror 20 are thus displaced in this state. They are especially from the wafer 34 , in particular the mirror wafer 52 , triggered. For the etching step 116 In particular, an isotropic etching process for removing sacrificial silicon is provided. For this purpose, an etching by means of SF 6 plasma or by means of XeF 2 gas can preferably be provided.

Mittels eines weiteren Ätzschritts 117 werden sämtliche internen Oxidschichten entfernt. Außerdem wird die strahlungsreflektierende Beschichtung 28 und damit die Reflexionsflächen 26 der Einzelspiegel 20 freigelegt ebenso wie die Gelenkstrukturen 29. By means of a further etching step 117 All internal oxide layers are removed. In addition, the radiation-reflective coating 28 and thus the reflection surfaces 26 the individual mirror 20 exposed as well as the joint structures 29 ,

In dieser Alternative werden die Einzelspiegel 20 durch einen einzigen Ätzschritt, den Ätzschritt 116, aus dem Wafer 34, insbesondere dem Spiegelwafer 52, ausgelöst. Anschließend werden nicht benötigte SiO2-Schutzschichten durch Flusssäuredampf entfernt. In this alternative, the individual mirrors 20 by a single etching step, the etching step 116 , from the wafer 34 , in particular the mirror wafer 52 , triggered. Subsequently, unnecessary SiO 2 protective layers are removed by hydrofluoric acid vapor.

Durch die vorhergehend beschriebenen Verfahren zur Herstellung der Vielspiegel-Anordnung 19 wird die Aufbringung und Strukturierung der strahlungsreflektierenden Beschichtung 28 auf die Spiegelkörper 27 auf einem Wafer-Level durchgeführt. Sie wird in ein MEMS-Verfahren integriert. Das Auslösen der Einzelspiegel 20 erfolgt insbesondere erst nach der Aufbringung der strahlungsreflektierenden Beschichtung 28 auf die Spiegelkörper 27. Hierdurch werden die Vorteile der Parallelisierung des Herstellungsverfahrens genutzt, die benötigte Zeit zur Herstellung der Vielspiegel-Anordnung 19 und die Kosten sind reduziert sowie die Ausbeute gesteigert. By the previously described method for producing the multi-mirror arrangement 19 becomes the application and structuring of the radiation-reflective coating 28 on the mirror body 27 performed on a wafer level. It is integrated into a MEMS process. The triggering of the individual mirror 20 in particular takes place only after the application of the radiation-reflecting coating 28 on the mirror body 27 , As a result, the advantages of the parallelization of the manufacturing process are used, the time required to produce the multi-mirror arrangement 19 and the costs are reduced and the yield increased.

Die verfahrensgemäß hergestellten Vielspiegel-Anordnungen 19 weisen auch konstruktive Besonderheiten und Vorteile auf. Beispielsweise brauchen die Spiegelkörper 27 vor Aufbringung der strahlungsreflekierenden Beschichtung 28 nicht mit einer Maskierung versehen zu werden. Die strahlungsreflektierende Beschichtung 28 kann daher die Vorderseite der Spiegelkörper 27 vollständig überdecken. Gleichzeitig wird durch das ganzflächige Aufbringen der strahlungsreflektierenden Beschichtung 28 auf den Wafer 34 beziehungsweise aus die vordere Siliziumschicht 54 und den nachfolgenden Strukturierungsschritt 38 sichergestellt, dass die an die Vorderseite des Spiegelkörpers 27 angrenzenden Seiten desselben vollständig frei von der strahlungsreflektierenden Beschichtung 28 sind. Es wird insbesondere sichergestellt, dass es nicht zu einer parasitären Ablagerung der strahlungsreflektierenden Beschichtung 28 im Bereich zwischen, hinter oder unter den Spiegelkörpern 27 kommt. Hierdurch lässt sich insbesondere die Gefahr einer Beeinträchtigung elektrischer/elektronischer Bauteile, welche in diesen Bereichen angeordnet sind, insbesondere die Gefahr von Kurzschlüssen, vermeiden. The multi-mirror arrangements produced according to the method 19 also have design features and benefits. For example, the mirror bodies need 27 before application of the radiation-reflecting coating 28 not to be masked. The radiation-reflecting coating 28 can therefore be the front of the mirror body 27 completely cover up. At the same time, the application of the radiation-reflecting coating over the whole area is applied 28 on the wafer 34 or from the front silicon layer 54 and the subsequent structuring step 38 Ensure that the front of the mirror body 27 adjacent sides thereof completely free of the radiation-reflective coating 28 are. In particular, it is ensured that there is no parasitic deposition of the radiation-reflecting coating 28 in the area between, behind or under the mirror bodies 27 comes. This makes it possible, in particular, to avoid the risk of impairment of electrical / electronic components which are arranged in these areas, in particular the danger of short circuits.

Die Alternativen der Verfahren wurden unter Bezugnahme auf eine Vielspiegel-Anordnung 19, welche zur Verwendung in einer Beleuchtungsoptik 4 einer Projektionsbelichtungsanlage 1 für die Mikrolithographie, insbesondere für die EUV-Lithographie, vorgesehen ist, exemplarisch dargestellt. Sie sind problemlos auf andere optische Bauelemente, insbesondere auf Spiegel-Arrays zur Formung eines Beleuchtungsstrahls, geeignet. Der Beleuchtungsstrahl kann Strahlung aus unterschiedlichen Wellenlängenbereichen, insbesondere DUV-Strahlung, VUV-Strahlung oder EUV-Strahlung, umfassen. The alternatives of the methods were described with reference to a multi-mirror arrangement 19 which are for use in a lighting optical system 4 a projection exposure system 1 for microlithography, in particular for EUV lithography, is provided by way of example. They are easily suitable for other optical components, in particular mirror arrays for shaping an illumination beam. The illumination beam may comprise radiation from different wavelength ranges, in particular DUV radiation, VUV radiation or EUV radiation.

Auch die konstruktiven Details der Vielspiegel-Anordnung 19, insbesondere die Lagerung der Einzelspiegel 20 mit Hilfe der Gelenkstrukturen 29, und die Ausbildung und/oder Anordnung von Aktuator-/Sensorstrukturen ist rein exemplarisch zu verstehen. Also the constructive details of the multi-mirror arrangement 19 , in particular the storage of individual mirrors 20 with the help of joint structures 29 , and the design and / or arrangement of actuator / sensor structures is to be understood as purely exemplary.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • DE 102011006100 A1 [0002] DE 102011006100 A1 [0002]
  • DE 102015220018 [0002] DE 102015220018 [0002]
  • DE 102014219648 A1 [0002] DE 102014219648 A1 [0002]
  • WO 2014140015 A1 [0002] WO 2014140015 A1 [0002]
  • WO 2010/049076 A2 [0050] WO 2010/049076 A2 [0050]
  • EP 1225481 A2 [0054] EP 1225481 A2 [0054]
  • WO 2012/130768 A2 [0064] WO 2012/130768 A2 [0064]
  • DE 102013206529 A1 [0070] DE 102013206529 A1 [0070]

Claims (15)

Verfahren zur Herstellung einer Vielspiegel-Anordnung (19) mit einer Vielzahl verlagerbarer Einzelspiegel (20) umfassend die folgenden Schritte: 1.1. Bereitstellen eines ersten Wafers (34; 53) zur Herstellung einer Vielspiegel-Anordnung (19), 1.2. Aufbringen einer strahlungsreflektierenden Beschichtung (28) auf eine Vorderseite (37) des ersten Wafers (34; 53), 1.3. Auslösen einer Vielzahl von Einzelspiegeln (20) aus dem ersten Wafer (34; 53), 1.4. wobei die strahlungsreflektierende Beschichtung (28) auf die Vorderseite (37) des ersten Wafers (34; 53) aufgebracht wird, bevor die Einzelspiegel (20) aus dem Wafer (34; 53) ausgelöst werden. Method for producing a multi-mirror arrangement ( 19 ) with a plurality of displaceable individual mirrors ( 20 ) comprising the following steps: 1.1. Providing a first wafer ( 34 ; 53 ) for producing a multi-mirror arrangement ( 19 1.2. Applying a radiation-reflecting coating ( 28 ) on a front side ( 37 ) of the first wafer ( 34 ; 53 ), 1.3. Triggering a plurality of individual mirrors ( 20 ) from the first wafer ( 34 ; 53 1.4. wherein the radiation-reflecting coating ( 28 ) on the front ( 37 ) of the first wafer ( 34 ; 53 ) is applied before the individual mirrors ( 20 ) from the wafer ( 34 ; 53 ) to be triggered. Verfahren gemäß Anspruch 1, dadurch gekennzeichnet, dass das Aufbringen der strahlungsreflektierenden Beschichtung (28) in ein MEMS-Verfahren integriert ist. Method according to claim 1, characterized in that the application of the radiation-reflecting coating ( 28 ) is integrated into a MEMS process. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die strahlungsreflektierende Beschichtung (28) ganzflächig auf die Vorderseite (37) des ersten Wafers (34; 53) aufgebracht wird. Method according to one of the preceding claims, characterized in that the radiation-reflecting coating ( 28 ) all over the front ( 37 ) of the first wafer ( 34 ; 53 ) is applied. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die strahlungsreflektierende Beschichtung (28) nach ihrem Aufbringen auf die Vorderseite (37) des Wafers (34; 53) strukturiert wird Method according to one of the preceding claims, characterized in that the radiation-reflecting coating ( 28 ) after being applied to the front ( 37 ) of the wafer ( 34 ; 53 ) is structured Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass vor und/oder nach dem Aufbringen der strahlungsreflektierenden Beschichtung (28) auf die Vorderseite (37) des ersten Wafers (34; 53) Strukturierungsschritte zur Strukturierung der ersten Wafers (34; 53) vorgesehen sind. Method according to one of the preceding claims, characterized in that before and / or after the application of the radiation-reflecting coating ( 28 ) on the front ( 37 ) of the first wafer ( 34 ; 53 ) Structuring steps for structuring the first wafer ( 34 ; 53 ) are provided. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass es sich bei der strahlungsreflektierenden Beschichtung (28) um eine DUV-, VUV- oder EUV-reflektierende Beschichtung handelt. Method according to one of the preceding claims, characterized in that it is in the radiation-reflective coating ( 28 ) is a DUV, VUV or EUV reflective coating. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass auf die strahlungsreflektierende Beschichtung (28) eine Schutzschicht (35) aufgebracht wird. Method according to one of the preceding claims, characterized in that the radiation-reflecting coating ( 28 ) a protective layer ( 35 ) is applied. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass zum Auslösen der Einzelspiegel (20) aus dem ersten Wafer (34; 53) ein Ätzverfahren vorgesehen ist. Method according to one of the preceding claims, characterized in that for triggering the individual mirrors ( 20 ) from the first wafer ( 34 ; 53 ) An etching process is provided. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der erste Wafer (34; 53) vor dem Auslösen der Einzelspiegel (20) mit einem zweiten Wafer (67) zu einem Waferstapel (85) verbunden wird. Method according to one of the preceding claims, characterized in that the first wafer ( 34 ; 53 ) before triggering the individual levels ( 20 ) with a second wafer ( 67 ) to a wafer stack ( 85 ) is connected. Vielspiegel-Anordnung (19) für eine Beleuchtungsoptik (4) einer Projektionsbelichtungsanlage (1) umfassend 10.1. eine Vielzahl von Einzelspiegeln (20), 10.1.1. welche aktuatorisch verlagerbar auf einem Haltesubstrat (30) angeordnet sind, und 10.1.2. welche jeweils einen Spiegelkörper (27) mit einer strahlungsreflektierenden Beschichtung (28) aufweisen, 10.2. wobei die strahlungsreflektierende Beschichtung (28) eine Vorderseite des Spiegelkörpers (27) vollständig überdeckt, und 10.3. wobei die an die Vorderseite angrenzenden Seiten des Spiegelkörpers (27) und/oder das Haltesubstrat (30) vollständig frei von der strahlungsreflektierenden Beschichtung (28) sind. Multi-mirror arrangement ( 19 ) for an illumination optics ( 4 ) of a projection exposure apparatus ( 1 ) comprising 10.1. a variety of individual mirrors ( 20 10.1.1. which actuators can be displaced on a holding substrate ( 30 ), and 10.1.2. which each have a mirror body ( 27 ) with a radiation-reflecting coating ( 28 ), 10.2. wherein the radiation-reflecting coating ( 28 ) a front of the mirror body ( 27 ) completely covered, and 10.3. wherein the front side adjacent sides of the mirror body ( 27 ) and / or the holding substrate ( 30 ) completely free of the radiation-reflecting coating ( 28 ) are. Beleuchtungsoptik (4) für eine Projektionsbelichtungsanlage (1) zur Überführung von Beleuchtungsstrahlung (10) zu einem Objektfeld (5) mit mindestens einer Vielspiegel-Anordnung gemäß Anspruch 10 Illumination optics ( 4 ) for a projection exposure apparatus ( 1 ) for the transfer of illumination radiation ( 10 ) to an object field ( 5 ) with at least one multi-mirror arrangement according to claim 10 Beleuchtungssystem (2) für eine Projektionsbelichtungsanlage (1) umfassend 12.1. eine Beleuchtungsoptik (4) gemäß Anspruch 11 und 12.2. eine Strahlungsquelle (3). Lighting system ( 2 ) for a projection exposure apparatus ( 1 comprising 12.1. an illumination optics ( 4 ) according to claim 11 and 12.2. a radiation source ( 3 ). Projektionsbelichtungsanlage (2) für die Mikrolithographie umfassend 13.1. ein Beleuchtungssystem (2) gemäß Anspruch 12 und 13.2. eine Projektionsoptik (7) zur Abbildung eines in einem Objektfeld (5) angeordneten Retikels auf einen in einem Bildfeld (8) angeordneten Wafer. Projection exposure apparatus ( 2 ) for microlithography 13.1. a lighting system ( 2 ) according to claim 12 and 13.2. a projection optics ( 7 ) for mapping one into an object field ( 5 ) arranged on a reticle in an image field ( 8th ) arranged wafers. Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements umfassend die folgenden Schritte: 14.1. Bereitstellen einer Projektionsbelichtungsanlage (1) nach Anspruch 13, 14.2. Bereitstellen eines Substrats, auf das zumindest teilweise eine Schicht aus einem lichtempfindlichen Material aufgebracht ist, 14.3. Bereitstellen eines Retikels mit abzubildenden Strukturen, 14.4. Projizieren mindestens eines Teils des Retikels auf einen Bereich der lichtempfindlichen Schicht mittels der Projektionsbelichtungsanlage (1), 14.5. Entwickeln der belichteten lichtempfindlichen Schicht. A method of making a micro- or nanostructured device comprising the steps of: 14.1. Providing a projection exposure apparatus ( 1 ) according to claim 13, 14.2. Providing a substrate on which at least partially a layer of a photosensitive material is applied, 14.3. Providing a reticle with structures to be imaged, 14.4. Projecting at least a portion of the reticle onto a portion of the photosensitive layer by means of the projection exposure apparatus ( 1 ), 14.5. Developing the exposed photosensitive layer. Bauelement hergestellt nach einem Verfahren gemäß Anspruch 14.  Component produced by a method according to claim 14.
DE102015225535.2A 2015-12-17 2015-12-17 Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors Ceased DE102015225535A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102015225535.2A DE102015225535A1 (en) 2015-12-17 2015-12-17 Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102015225535.2A DE102015225535A1 (en) 2015-12-17 2015-12-17 Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors

Publications (1)

Publication Number Publication Date
DE102015225535A1 true DE102015225535A1 (en) 2016-10-27

Family

ID=57110696

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015225535.2A Ceased DE102015225535A1 (en) 2015-12-17 2015-12-17 Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors

Country Status (1)

Country Link
DE (1) DE102015225535A1 (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1225481A2 (en) 2001-01-23 2002-07-24 Carl Zeiss Semiconductor Manufacturing Technologies Ag Collector for an illumination system with wavelength of 193 nm
WO2010049076A2 (en) 2008-10-20 2010-05-06 Carl Zeiss Smt Ag Optical module for guiding a radiation beam
DE102011006100A1 (en) 2011-03-25 2012-09-27 Carl Zeiss Smt Gmbh Mirror array
DE102013206529A1 (en) 2013-04-12 2014-04-24 Carl Zeiss Smt Gmbh Micro actuator for shift of micro mirror of lighting system for projection exposure system, has lever arm extending in direction of actuation element and supported around pivotal axis
WO2014140015A1 (en) 2013-03-15 2014-09-18 Carl Zeiss Smt Gmbh Optical device
DE102013224631A1 (en) * 2013-11-29 2015-06-03 Robert Bosch Gmbh Micromirror and manufacturing method for at least one micromirror that can be arranged or arranged in a micromirror device
DE102014219648A1 (en) 2014-09-29 2015-10-15 Carl Zeiss Smt Gmbh Method for producing a mirror element
DE102015220018A1 (en) 2015-10-15 2016-10-06 Carl Zeiss Smt Gmbh Method for producing a microelectromechanical component with at least one movable component

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1225481A2 (en) 2001-01-23 2002-07-24 Carl Zeiss Semiconductor Manufacturing Technologies Ag Collector for an illumination system with wavelength of 193 nm
WO2010049076A2 (en) 2008-10-20 2010-05-06 Carl Zeiss Smt Ag Optical module for guiding a radiation beam
DE102011006100A1 (en) 2011-03-25 2012-09-27 Carl Zeiss Smt Gmbh Mirror array
WO2012130768A2 (en) 2011-03-25 2012-10-04 Carl Zeiss Smt Gmbh Mirror array
WO2014140015A1 (en) 2013-03-15 2014-09-18 Carl Zeiss Smt Gmbh Optical device
DE102013206529A1 (en) 2013-04-12 2014-04-24 Carl Zeiss Smt Gmbh Micro actuator for shift of micro mirror of lighting system for projection exposure system, has lever arm extending in direction of actuation element and supported around pivotal axis
DE102013224631A1 (en) * 2013-11-29 2015-06-03 Robert Bosch Gmbh Micromirror and manufacturing method for at least one micromirror that can be arranged or arranged in a micromirror device
DE102014219648A1 (en) 2014-09-29 2015-10-15 Carl Zeiss Smt Gmbh Method for producing a mirror element
DE102015220018A1 (en) 2015-10-15 2016-10-06 Carl Zeiss Smt Gmbh Method for producing a microelectromechanical component with at least one movable component

Similar Documents

Publication Publication Date Title
DE60316122T2 (en) Microelectromechanical component and manufacturing method for a microelectromechanical component
WO2010079133A2 (en) Individual mirror for constructing a faceted mirror, in particular for use in a projection exposure system for microlithography
DE102013206529A1 (en) Micro actuator for shift of micro mirror of lighting system for projection exposure system, has lever arm extending in direction of actuation element and supported around pivotal axis
WO2010049076A2 (en) Optical module for guiding a radiation beam
CN101284643A (en) Method for fabricating a structure for a microelectromechanical systems (MEMS) device
DE20122615U1 (en) Projection system with array of rectangular micro-mirror elements for providing images at angles depending on mirror tilt angle in light ray steering system
DE102016213026A1 (en) Sensor means
DE102018220629A1 (en) Mirror for an illumination optics of a projection exposure system with a spectral filter in the form of a grating structure and method for producing a spectral filter in the form of a grating structure on a mirror
DE102017205629A1 (en) Method and apparatus for repairing defects of a photolithographic mask for the EUV range
TW201214516A (en) Pattern forming method and method for producing device
WO2015028450A1 (en) Optical component
DE102013204546A1 (en) Optical component
DE102009034502A1 (en) Optical module for guiding extreme UV radiation beam in lighting system of microlithographic projection-illumination system, has central control unit in signal connection to integrated electronic displacement circuit
EP2841977B1 (en) Optical component for guiding a radiation beam
DE112004002199B4 (en) A method of making an extreme ultraviolet radiation reflective mask using atomic force microscope lithography
EP3039485B1 (en) Micromirror array
DE102015220018A1 (en) Method for producing a microelectromechanical component with at least one movable component
WO2015107116A1 (en) Euv mirror and optical system comprising euv mirror
WO2015124553A1 (en) Method for illuminating an object field of a projection exposure system
DE102015225535A1 (en) Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors
WO2023061713A1 (en) Multi-mirror array
DE102011082065A1 (en) Field facet-mirror array for microlithography manufacturing of microchip, has total reflecting surface with two regions displaced against each other and forming diffraction structure for diffraction of radiation in preset wavelength range
EP3362854A1 (en) Microstructure and method for producing a microstructure in a photolithography technique
DE102015211472A1 (en) MICRO-ELECTROMECHANICAL SYSTEM AND METHOD FOR MANUFACTURING A MICRO-ELECTROMECHANICAL SYSTEM
DE102020205123A1 (en) Facet assembly for a facet mirror

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R230 Request for early publication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final