DE102015220018A1 - Method for producing a microelectromechanical component with at least one movable component - Google Patents

Method for producing a microelectromechanical component with at least one movable component Download PDF

Info

Publication number
DE102015220018A1
DE102015220018A1 DE102015220018.3A DE102015220018A DE102015220018A1 DE 102015220018 A1 DE102015220018 A1 DE 102015220018A1 DE 102015220018 A DE102015220018 A DE 102015220018A DE 102015220018 A1 DE102015220018 A1 DE 102015220018A1
Authority
DE
Germany
Prior art keywords
mirror
joining
functional structures
actuator
parts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102015220018.3A
Other languages
German (de)
Inventor
Yanko Sarov
Markus Hauf
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102015220018.3A priority Critical patent/DE102015220018A1/en
Publication of DE102015220018A1 publication Critical patent/DE102015220018A1/en
Ceased legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/002Aligning microparts
    • B81C3/005Passive alignment, i.e. without a detection of the position of the elements or using only structural arrangements or thermodynamic forces
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • G02B26/0833Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD
    • G02B26/0841Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements the reflecting element being a micromechanical device, e.g. a MEMS mirror, DMD the reflecting element being moved or deformed by electrostatic means
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70075Homogenization of illumination intensity in the mask plane by using an integrator, e.g. fly's eye lens, facet mirror or glass rod, by using a diffusing optical element or by beam deflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70825Mounting of individual elements, e.g. mounts, holders or supports
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • B81B2201/042Micromirrors, not used as optical switches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0174Manufacture or treatment of microstructural devices or systems in or on a substrate for making multi-layered devices, film deposition or growing
    • B81C2201/019Bonding or gluing multiple substrate layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/05Aligning components to be assembled
    • B81C2203/052Passive alignment, i.e. using only structural arrangements or thermodynamic forces without an internal or external apparatus
    • B81C2203/054Passive alignment, i.e. using only structural arrangements or thermodynamic forces without an internal or external apparatus using structural alignment aids, e.g. spacers, interposers, male/female parts, rods or balls

Abstract

Bei einem Verfahren zur Herstellung eines MEMS-Bauelements (30) mit mindestens einem beweglichen Bestandteil werden separate Fügeteile (71, 72) hergestellt und in einem Fügeschritt präzise zusammengefügt.In a method for producing a MEMS component (30) with at least one movable component, separate joining parts (71, 72) are produced and precisely assembled in a joining step.

Description

Die Erfindung betrifft ein Verfahren zur Herstellung eines mikroelektromechanischen Bauelements mit mindestens einem beweglichen Bestandteil, insbesondere eines Mikrospiegels, insbesondere eines verlagerbaren Mikrospiegels für ein Mikrospiegelarray einer Projektionsbelichtungsanlage, insbesondere einer EUV-Projektionsbelichtungsanlage. Die Erfindung betrifft weiterhin einen Mikrospiegel, ein Mikrospiegelarray mit einem derartigen Mikrospiegel, ein optisches System mit einem derartigen Mikrospiegelarray sowie eine Projektionsbelichtungsanlage mit einem derartigen optischen System. Schließlich betrifft die Erfindung ein Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements sowie ein verfahrensgemäß hergestelltes Bauelement. The invention relates to a method for producing a microelectromechanical component having at least one movable component, in particular a micromirror, in particular a displaceable micromirror for a micromirror array of a projection exposure apparatus, in particular an EUV projection exposure apparatus. The invention further relates to a micromirror, a micromirror array with such a micromirror, an optical system having such a micromirror array and a projection exposure apparatus with such an optical system. Finally, the invention relates to a method for producing a micro- or nanostructured component as well as a device manufactured according to the method.

Eine Projektionsbelichtungsanlage mit einem Mikrospiegelarray ist beispielsweise aus der WO 2012/130768 A2 und der DE 10 2013 204 546 A1 bekannt. A projection exposure apparatus with a micromirror array is known, for example, from US Pat WO 2012/130768 A2 and the DE 10 2013 204 546 A1 known.

Es besteht fortwährend Bedarf, ein derartiges Mikrospiegelarray sowie dessen Bestandteile und ein Verfahren zu deren Herstellung zu verbessern. There is a continuing need to improve such a micromirror array and its components and a method of making the same.

Diese Aufgabe wird unter anderem durch ein Verfahren gemäß Anspruch 1 gelöst. This object is achieved inter alia by a method according to claim 1.

Der Kern der Erfindung besteht darin, ein mikroelektromechanisches Bauelement aus zwei oder mehr Fügeteilen herzustellen, welche in einem Fügeschritt mit einer hohen Präzision zusammengefügt werden. Das mikroelektromechanische Bauelement wird somit aus zunächst separaten Bestandteilen zusammengesetzt. Überraschenderweise hat sich gezeigt, dass sich hierdurch die Herstellung des mikroelektromechanischen Bauelements vereinfachen lässt. Der bewegliche Bestandteil wird insbesondere nach dem Zusammenfügen der Fügeteile ausgelöst, insbesondere freigeätzt. Er ist insbesondere mindestens bis zum Zusammenfügen der Fügeteile mit einem von diesen fix verbunden. The essence of the invention is to produce a microelectromechanical component of two or more parts to be joined together in a joining step with high precision. The microelectromechanical component is thus composed of initially separate components. Surprisingly, it has been found that this makes it possible to simplify the production of the microelectromechanical component. The movable component is triggered in particular after the joining of the joining parts, in particular etched free. He is in particular at least until joining the joining parts with one of these fixed.

Zwar ist für das Zusammenfügen der einzelnen Bestandteile eine hohe Genauigkeit notwendig, jedoch ist die erforderliche Präzision erreichbar, insbesondere mit Hilfe von Justierungs- und/oder Ausrichtungsstrukturen auf den Fügeteilen. Although a high accuracy is necessary for the assembly of the individual components, however, the required precision can be achieved, in particular with the aid of adjustment and / or alignment structures on the parts to be joined.

Die Fügeteile werden insbesondere mit einer Genauigkeit von besser als 5 µm, insbesondere besser als 3 µm, insbesondere besser als 2 µm, insbesondere besser als 1 µm zusammengefügt. Die Genauigkeit bezieht sich insbesondere auf mindestens eine Raumrichtung, insbesondere eine Richtung senkrecht zu einer Flächennormalen des Substrats zur Herstellung des ersten Fügeteils. Die Genauigkeit bezieht sich insbesondere auf zwei senkrecht zueinander verlaufende Raumrichtungen senkrecht zur Flächennormalen. Die Genauigkeit kann sich auch auf die Richtung der Flächennormalen beziehen. Sie bezieht sich vorzugsweise auf sämtliche drei Raumrichtungen. The parts to be joined in particular with an accuracy of better than 5 .mu.m, in particular better than 3 .mu.m, in particular better than 2 .mu.m, in particular better than 1 micron assembled. The accuracy relates in particular to at least one spatial direction, in particular a direction perpendicular to a surface normal of the substrate for producing the first joining part. The accuracy relates in particular to two mutually perpendicular spatial directions perpendicular to the surface normal. The accuracy may also refer to the direction of the surface normals. It preferably refers to all three spatial directions.

Grundsätzlich kann die Genauigkeit, mit welcher die Fügeteile zusammengefügt werden, in unterschiedlichen Raumrichtungen unterschiedlich sein. Sie kann insbesondere in Richtung senkrecht zur Flächennormalen besser sein als in Richtung parallel hierzu. In principle, the accuracy with which the joining parts are joined together can be different in different spatial directions. It may be better in particular in the direction perpendicular to the surface normal than in the direction parallel thereto.

Die Genauigkeit bezieht sich insbesondere auf die Anordnung des oder der beweglichen Bestandteile des optischen Bauelements relativ zu den unbeweglichen, fixen Bestandteilen. Aufgrund der hohen Genauigkeit kann sichergestellt werden, dass der oder die beweglichen Bestandteile ihren vorgesehenen Bewegungsumfang vollständig ausschöpfen können, ohne mit anderen Bestandteilen des optischen Bauelements zu kollidieren. Es kann insbesondere ein Mindestabstand in einer oder mehreren vorgegebenen Raumrichtungen zu sämtlichen anderen Bestandteilen des optischen Bauelements sichergestellt werden. The accuracy relates in particular to the arrangement of the movable component or components of the optical component relative to the immovable, fixed components. Due to the high accuracy, it can be ensured that the movable component or components can fully exploit their intended range of motion without colliding with other components of the optical component. In particular, a minimum distance in one or more predetermined spatial directions to all other components of the optical component can be ensured.

Der mindestens eine bewegliche Bestandteil weist insbesondere über seinen gesamten Bewegungsumfang einen vorgegebenen Mindestabstand zu sämtlichen anderen Bestandteilen des optischen Bauelements auf. Der Mindestabstand kann insbesondere im Bereich von 0,1 µm bis 10 µm, insbesondere im Bereich von weniger als 5 µm, insbesondere weniger als 3 µm, insbesondere weniger als 2 µm, insbesondere weniger als 1 µm liegen. The at least one movable component has in particular over its entire range of motion a predetermined minimum distance to all other components of the optical component. The minimum distance may in particular be in the range of 0.1 μm to 10 μm, in particular in the range of less than 5 μm, in particular less than 3 μm, in particular less than 2 μm, in particular less than 1 μm.

Bei dem mikroelektromechanischen Bauelement (MEMS-Bauelement) handelt es sich insbesondere um einen Mikrospiegel, insbesondere einen verlagerbaren Mikrospiegel, insbesondere einen Mikrospiegel für ein Mikrospiegelarray, das heißt eine Mehrspiegelanordnung, insbesondere für eine Projektionsbelichtungsanlage für die Mikrolithographie, insbesondere für die EUV-Lithographie. The microelectromechanical component (MEMS component) is in particular a micromirror, in particular a displaceable micromirror, in particular a micromirror for a micromirror array, that is to say a multi-mirror arrangement, in particular for a projection exposure apparatus for microlithography, in particular for EUV lithography.

Bei den funktionellen Strukturen kann es sich um beliebige Strukturen mit einer MEMS-Fuktion handeln. Es handelt sich insbesondere um Aktuatoren, insbesondere mit Elektroden, insbesondere mit Kammelektroden, Sensoren, insbesondere mit Elektroden, insbesondere mit Kammelektroden, Biegestrukturen, Gelenkstrukturen, insbesondere Festkörpergelenkstrukturen, insbesondere kardanische Gelenkstrukturen oder ähnliches. Details werden anhand der Beschreibung der Ausführungsbeispiele deutlich. The functional structures may be any structures with a MEMS function. These are in particular actuators, in particular with electrodes, in particular with comb electrodes, sensors, in particular with electrodes, in particular with comb electrodes, bending structures, joint structures, in particular solid-body joint structures, in particular gimbal joint structures or the like. Details will become apparent from the description of the embodiments.

Bei den funktionellen Strukturen handelt es sich insbesondere um Strukturen, welche im fertigen Zustand des mikroelektromechanischen Bauelements verlagerbar und/oder verformbar sind. The functional structures are, in particular, structures that are displaceable and / or deformable in the finished state of the microelectromechanical component.

Gemäß einem Aspekt der Erfindung weisen die funktionellen Strukturen der beiden Fügeteile nach dem Zusammenfügen der Fügeteile einen Überlapp z in Richtung parallel zur zentralen Flächennormalen des ersten Substrats auf. Der Überlapp z beträgt insbesondere mindestens 5 µm, insbesondere mindestens 10 µm, insbesondere mindestens 20 µm, insbesondere mindestens 30 µm, insbesondere mindestens 40 µm, insbesondere mindestens 50 µm. Der Überlapp kann hierbei in einer unverschwenkten Neutralposition des mikroelektromechanischen Bauelements, insbesondere des Mikrospiegels, gemessen werden. Es ist auch möglich, dass sich die Angabe zum Überlapp auf die maximal verschwenkte Position des mikroelektromechanischen Bauelements, insbesondere des Mikrospiegels, bezieht. Sie kann sich insbesondere auf den gesamten Verlagerungsumfang des Bauelements beziehen. According to one aspect of the invention, the functional structures of the two joining parts after the joining of the joining parts on an overlap z in the direction parallel to the central surface normal of the first substrate. The overlap z is in particular at least 5 μm, in particular at least 10 μm, in particular at least 20 μm, in particular at least 30 μm, in particular at least 40 μm, in particular at least 50 μm. The overlap can hereby be measured in an untwisted neutral position of the microelectromechanical component, in particular of the micromirror. It is also possible for the indication of the overlap to refer to the maximum pivoted position of the microelectromechanical component, in particular of the micromirror. It can relate in particular to the entire extent of displacement of the component.

Aufgrund der hohen Präzision beim Zusammenfügen der Fügeteile hat sich dies als möglich herausgestellt. Due to the high precision in joining the joining parts, this has been found to be possible.

Die funktionellen Strukturen der beiden Fügeteile weisen insbesondere einen minimalen Abstand in Richtung senkrecht zur Flächennormalen des ersten Substrats von mindestens 1 µm, insbesondere mindestens 2µm, insbesondere mindestens 3 µm, insbesondere mindestens 5 µm auf. Der minimale Abstand kann hierbei in einer unverschwenkten Neutralposition des mikroelektromechanischen Bauelements, insbesondere des Mikrospiegels, gemessen werden. Es ist auch möglich, dass sich die Angabe zum minimalen Abstand auf die maximal verschwenkte Position des mikroelektromechanischen Bauelements, insbesondere des Mikrospiegels, bezieht. Sie kann sich insbesondere auf den gesamten Verlagerungsumfang des Bauelements beziehen. In particular, the functional structures of the two joining parts have a minimum distance in the direction perpendicular to the surface normal of the first substrate of at least 1 μm, in particular at least 2 μm, in particular at least 3 μm, in particular at least 5 μm. The minimum distance can be measured here in an untwisted neutral position of the microelectromechanical component, in particular of the micromirror. It is also possible that the indication of the minimum distance relates to the maximum pivoted position of the microelectromechanical component, in particular of the micromirror. It can relate in particular to the entire extent of displacement of the component.

Insbesondere in letzterem Fall ist sichergestellt, dass die beiden Fügeteile bei einer Verschwenkung relativ zu einander im vorgesehenen Verschwenkbereich nicht miteinander kollidieren. In particular, in the latter case it is ensured that the two joining parts do not collide with each other in a pivoting relative to each other in the intended pivoting range.

Gemäß einem weiteren Aspekt der Erfindung ist vorgesehen, dass zumindest ein Teil der ersten funktionellen Strukturen mit zumindest einem Teil der zweiten funktionellen Strukturen nach dem Zusammenfügen der Fügeteile zusammenwirken oder gemeinsame funktionelle Strukturen bilden. According to a further aspect of the invention, it is provided that at least a part of the first functional structures interact with at least one part of the second functional structures after joining the joining parts or form common functional structures.

Die funktionellen Strukturen des fertigen mikroelektromechanischen Bauelements können mit anderen Worten zusammengesetzt sein. Sie können insbesondere aus Bestandteilen unterschiedlicher Fügeteile zusammengesetzt sein. Sie können hierbei ein- oder mehrteilig ausgebildet sein. The functional structures of the finished microelectromechanical component can be composed in other words. In particular, they can be composed of components of different parts to be joined. You can hereby be formed one or more parts.

Die funktionellen Strukturen der ersten und zweiten Fügeteile können insbesondere nach dem Zusammenfügen der Fügeteile ein Elektroden-Gegenelektroden-Paar bilden. Sie wirken in diesem Fall als gemeinsame Elektrodenstruktur zusammen. The functional structures of the first and second joining parts can form an electrode-counterelectrode pair, in particular after joining the joining parts. In this case, they act together as a common electrode structure.

Gemäß einem weiteren Aspekt der Erfindung werden alle Fügeteile derart zusammengefügt, dass die funktionellen Strukturen derselben nach dem Zusammenfügen unzugänglich sind. According to a further aspect of the invention, all the joining parts are assembled in such a way that their functional structures are inaccessible after assembly.

Hier kommt die Idee, separate Fügeteile mit funktionellen Strukturen herzustellen und erst nach der Herstellung der Fügeteile zusammenzufügen, besonders gut zum Tragen. Here comes the idea to make separate parts with functional structures and put together only after the production of the joining parts, especially good to wear.

Die funktionellen Strukturen bilden insbesondere eine Relief-Struktur, welche in Richtung entgegen der Flächennormalen von dem jeweiligen Substrat vorsteht. Die Fügeteile bilden mit anderen Worten Teile, insbesondere Halbteile, welche in Richtung der Flächennormalen des jeweiligen Substrats durch die flächige Substratstruktur begrenzt, insbesondere abgeschlossen, zur entgegengesetzten Richtung, insbesondere in den entgegengesetzten Halbraum, jedoch offen sind. Details ergeben sich insbesondere aus der Beschreibung der Ausführungsbeispiele anhand der Figuren. In particular, the functional structures form a relief structure which projects in the direction of the surface normal from the respective substrate. In other words, the parts to be joined form parts, in particular half parts, which are bounded in the direction of the surface normal of the respective substrate by the planar substrate structure, in particular closed, but open to the opposite direction, in particular into the opposite half space. Details result in particular from the description of the embodiments with reference to the figures.

Gemäß einem weiteren Aspekt der Erfindung sind zur Herstellung mindestens eines, insbesondere beider Fügeteile aus den Substraten jeweils vertikale Strukturierungsschritte vorgesehen. Es sind insbesondere ausschließlich vertikale Strukturierungsschritte vorgesehen. In accordance with a further aspect of the invention, vertical structuring steps are respectively provided for producing at least one, in particular both joining parts from the substrates. In particular, only vertical structuring steps are provided.

Auf Abscheidungsschnitte zur Abscheidung von Material zur Herstellung der funktionellen Strukturen kann vollständig verzichtet werden. Selbstverständlich können für die vertikalen Strukturierungsschnitte Maskierungen aufgebracht werden. Diese werden jedoch anschließend wieder entfernt. Deposition cuts for the deposition of material for the production of the functional structures can be completely dispensed with. Of course, masking can be applied to the vertical patterning cuts. These will be removed afterwards.

Als Strukturierungsschritte sind insbesondere ausschließlich Auslöseschritte, insbesondere Ätzschritte, beispielsweise isotropisches oder anisotropisches Ätzen oder Tiefenätzen, insbesondere sogenanntes reaktives Ionenätzen (DRIE, deep reactive ion etching, tiefes reaktives Ionenätzen) vorgesehen. Die funktionellen Strukturen werden insbesondere aus den Substraten herauspräpariert, insbesondere herausgeschnitten und/oder herausgeätzt. Im Gegensatz zu anderen Herstellungsverfahren ist es möglich, jedoch nicht absolut notwendig, abgesehen von Maskierungsschritten, vollständig auf Abscheidungsverfahren zu verzichten. Es kann insbesondere auf Abscheidungsverfahren zur Abscheidung von Material, aus welchem die funktionellen Strukturen hergestellt werden, verzichtet werden. Dies führt zu einer erheblichen Zeitersparnis. Dies führt außerdem zu einer besseren Kontrollierbarkeit, insbesondere einer besseren Präzision bei der Herstellung der Fügeteile. The structuring steps are in particular exclusively triggering steps, in particular etching steps, for example isotropic or anisotropic etching or deep etching, in particular so-called reactive ion etching (DRIE, deep reactive ion etching, deep reactive ion etching). In particular, the functional structures are prepared from the substrates, in particular cut out and / or etched out. In contrast to other manufacturing processes, it is possible, but not absolutely necessary, to dispense completely with deposition processes, apart from masking steps. It can be applied in particular to deposition processes for the deposition of Material from which the functional structures are produced, are dispensed with. This leads to a considerable time savings. This also leads to a better controllability, in particular a better precision in the production of the parts to be joined.

Gemäß einem weiteren Aspekt der Erfindung sind die funktionellen Strukturen echt dreidimensional ausgebildet. Hierunter sei insbesondere verstanden, dass die funktionellen Strukturen in Richtung senkrecht zu einer Oberfläche des Substrats, aus welchem sie hergestellt sind, eine Erstreckung aufweisen, welche mindestens 5%, insbesondere mindestens 10%, insbesondere mindestens 20%, insbesondere mindestens 30%, insbesondere mindestens 40%, insbesondere mindestens 50% der Erstreckung des MEMS-Bauelements, insbesondere des Mikrospiegels, in dieser Richtung beträgt. Die Erstreckung der funktionellen Strukturen in Richtung parallel zur Flächennormalen des Substrats beträgt insbesondere mindestens 10 µm, insbesondere mindestens 20 µm, insbesondere mindestens 50 µm, insbesondere mindestens 100 µm, insbesondere mindestens 200 µm. Die funktionellen Strukturen sind insbesondere schräg, insbesondere senkrecht zu einer funktionellen Ebene angeordnet. Sie unterscheiden sich hierdurch deutlich von funktionellen Strukturen, welche durch eine Abfolge von Abscheidungs- beziehungsweise Auftragungs- und Strukturierungsschritten hergestellt werden. According to a further aspect of the invention, the functional structures are formed real three-dimensional. By this is meant in particular that the functional structures in the direction perpendicular to a surface of the substrate from which they are made have an extension which at least 5%, in particular at least 10%, in particular at least 20%, in particular at least 30%, in particular at least 40%, in particular at least 50% of the extent of the MEMS component, in particular of the micromirror, in this direction. The extent of the functional structures in the direction parallel to the surface normal of the substrate is in particular at least 10 μm, in particular at least 20 μm, in particular at least 50 μm, in particular at least 100 μm, in particular at least 200 μm. The functional structures are arranged in particular obliquely, in particular perpendicular to a functional plane. As a result, they differ significantly from functional structures which are produced by a sequence of deposition or application and structuring steps.

Gemäß einem weiteren Aspekt der Erfindung umfassen die ersten funktionellen Strukturen Kammfinger einer ersten Kammelektrode und die zweiten funktionellen Strukturen Kammfinger einer zweiten Kammelektrode, wobei die zweiten funktionellen Strukturen Kammfinger einer zweiten Kammelektrode, wobei die zweite Kammelektrode nach dem Zusammenfügen der Fügeteile eine Gegenelektrode zur ersten Kammelektrode bildet. According to a further aspect of the invention, the first functional structures comprise comb fingers of a first comb electrode and the second functional structures comb fingers of a second comb electrode, the second functional structures comb fingers of a second comb electrode, wherein the second comb electrode forms a counter electrode to the first comb electrode after joining the joining parts ,

Eine besonders vorteilhafte Anwendung des Verfahrens ist in einer Herstellung eines verlagerbaren Mikrospiegels zu sehen, wobei zur Verlagerung des Mikrospiegels Kammelektroden vorgesehen sind. Die Kammelektroden können auch eine Sensoreinrichtung insbesondere zur Erfassung der Verlagerungsposition des Mikrospiegels bilden. A particularly advantageous application of the method is to be seen in a production of a displaceable micromirror, wherein comb electrodes are provided for displacing the micromirror. The comb electrodes can also form a sensor device, in particular for detecting the displacement position of the micromirror.

Gemäß einem weiteren Aspekt der Erfindung bilden die ersten funktionellen Strukturen zusammen mit den zweiten funktionellen Strukturen nach dem Zusammenfügen der Fügeteile eine Biegestruktur, insbesondere eine Gelenkstruktur, insbesondere ein Festkörper-Gelenk, insbesondere eine kardanisches Festkörper-Gelenk. Die funktionellen Strukturen der beiden Fügeteile können insbesondere zu einer derartigen Struktur zusammengefügt, insbesondere gebondet, werden. According to a further aspect of the invention, the first functional structures form, together with the second functional structures, a bending structure, in particular a joint structure, in particular a solid-state joint, in particular a cardanic solid-state joint, after the joining parts have been assembled. The functional structures of the two joining parts can in particular be joined together, in particular bonded, to form such a structure.

Gemäß einem weiteren Aspekt der Erfindung werden die unterschiedlichen Fügeteile in parallelen Prozessen hergestellt. According to a further aspect of the invention, the different joining parts are produced in parallel processes.

Die Herstellung der unterschiedlichen Fügeteile ist somit unabhängig voneinander. Sie ist insbesondere gleichzeitig möglich. The production of the different joining parts is thus independent of each other. It is especially possible at the same time.

Auch dies führt zu einer Verbesserung des Verfahrens, insbesondere zu einer Zeitersparnis. Gemäß einem weiteren Aspekt der Erfindung werden die Fügeteile vor dem Zusammenfügen einem Testprozess unterzogen. This too leads to an improvement of the method, in particular to a time saving. According to a further aspect of the invention, the joining parts are subjected to a testing process before assembly.

Hierdurch wird der Ausschuss verringert. Es ist insbesondere möglich, die Fügeteile, insbesondere deren funktionelle Strukturen, vor dem Zusammenfügen auf eine einwandfreie Funktionalität zu überprüfen. Die Fügeteile können insbesondere zu einem Zeitpunkt überprüft werden, zu welchem die funktionellen Strukturen noch frei zugänglich sind. This reduces the rejects. In particular, it is possible to check the parts to be joined, in particular their functional structures, prior to assembly for proper functionality. The joining parts can be checked in particular at a time at which the functional structures are still freely accessible.

Gemäß einem weiteren Aspekt der Erfindung sind nach dem Zusammenfügen der Fügeteile weitere Prozessschritte, insbesondere zur Finalisierung, das heißt Fertigstellung, des mikroelektromechanischen Bauelements vorgesehen. Es kann insbesondere vorgesehen sein, optische und/oder elektrische und/oder mechanische Schnittstellen auf dem MEMS-Bauelement auszubilden. Das MEMS-Bauelement kann insbesondere mit elektrischen und/oder mechanischen Verbindungen oder Einschlüssen versehen werden. Auch eine MEMS-Funktionalisierung oder Aktivierung ist möglich. Beispielsweise kann eine Beschichtung, insbesondere eine optische Beschichtung, insbesondere eine reflexive Beschichtung, insbesondere eine EUV-reflektierende Beschichtung, auf eines der Substrate aufgebracht werden. Außerdem ist vorgesehen, die beweglichen Teile des MEMS-Bauelements, insbesondere des Mikrospiegels, nach dem Zusammenfügen der Fügeteile auszulösen, das heißt die Verlagerbarkeit herzustellen. Vorteilhafterweise bleiben die im fertigen Bauelement beweglichen Teile bis zum Zusammenfügen der Fügeteile mit dem jeweiligen Substrat mechanisch, insbesondere stoffschlüssig, insbesondere einteilig, verbunden. Dies dient dem Schutz der beweglichen Bauteile, insbesondere beim Fügeschritt. According to a further aspect of the invention, further process steps, in particular for the finalization, ie completion, of the microelectromechanical component are provided after joining the joining parts. In particular, it may be provided to form optical and / or electrical and / or mechanical interfaces on the MEMS component. The MEMS component can in particular be provided with electrical and / or mechanical connections or inclusions. Also a MEMS functionalization or activation is possible. For example, a coating, in particular an optical coating, in particular a reflective coating, in particular an EUV-reflective coating, can be applied to one of the substrates. In addition, it is provided to trigger the moving parts of the MEMS component, in particular of the micromirror, after the joining of the parts to be joined, that is to say to produce the displaceability. Advantageously, the moving parts in the finished component until the joining of the parts to be joined to the respective substrate mechanically, in particular cohesively, in particular in one piece, connected. This serves to protect the movable components, in particular during the joining step.

Die erfindungsgemäßen Aufgaben werden außerdem durch einen Mikrospiegel, insbesondere einem verlagerbaren Mikrospiegel, insbesondere für ein Mikrospiegelarray, insbesondere einer Projektionsbelichtungsanlage, insbesondere einer EUV-Projektionsbelichtungsanlage, gelöst. The objects according to the invention are also achieved by a micromirror, in particular a displaceable micromirror, in particular for a micromirror array, in particular a projection exposure apparatus, in particular an EUV projection exposure apparatus.

Bei dem Mikrospiegel sind zumindest die funktionellen Strukturen zumindest teilweise aus monokristallinem Silizium. Bezüglich der Definition, was unter funktionellen Strukturen zu verstehen ist, wird auf die vorhergehende Beschreibung verwiesen. Die funktionellen Strukturen sind insbesondere zu mindestens 50% aus monokristallinem Silizium. Vorteilhafterweise ist zumindest ein Teil der funktionellen Strukturen, insbesondere sämtliche funktionellen Strukturen, vollständig aus monokristallinem Silizium. Dies gilt insbesondere für Biegestrukturen, insbesondere Gelenkstruktur des Mikrospiegels, insbesondere das Festkörpergelenk, insbesondere das kardanische Gelenk zur Aufhängung des Mikrospiegels und/oder dessen Aktuator- und/oder Sensor-Einrichtung. Insbesondere die Kammelektroden können aus monokristallinem Silizium hergestellt sein. Sie können insbesondere vollständig aus monokristallinem Silizium hergestellt sein. In the micromirror, at least the functional structures are at least partially made of monocrystalline silicon. Regarding the definition of what is meant by functional structures refer to the previous description. The functional structures are in particular at least 50% monocrystalline silicon. Advantageously, at least part of the functional structures, in particular all functional structures, are completely made of monocrystalline silicon. This applies in particular to flexural structures, in particular joint structure of the micromirror, in particular the solid-body joint, in particular the gimbal joint for suspending the micromirror and / or its actuator and / or sensor device. In particular, the comb electrodes may be made of monocrystalline silicon. In particular, they can be made entirely from monocrystalline silicon.

Die funktionellen Strukturen weisen insbesondere einen Überlapp und/oder einen minimalen Abstand gemäß der vorherigen Beschreibung auf. The functional structures have in particular an overlap and / or a minimum distance according to the previous description.

Gemäß einem Aspekt der Erfindung ist der Spiegelkörper aus monokristallinem Silizium hergestellt. Er kann insbesondere – abgesehen von einer nachträglich aufgebrachten Beschichtung, insbesondere einer strahlungsreflektierenden Beschichtung, insbesondere einer EUVreflektierenden Beschichtung – aus monokristallinem Silizium bestehen. According to one aspect of the invention, the mirror body is made of monocrystalline silicon. In particular, apart from a subsequently applied coating, in particular a radiation-reflecting coating, in particular an EUV-reflecting coating, it can consist of monocrystalline silicon.

Der Mikrospiegel weist insbesondere eine Reflexionsfläche mit einer polygonalen oder einer runden Form auf. Die Reflexionsfläche kann insbesondere dreieckig, viereckig, insbesondere quadratisch, oder sechseckig ausgebildet sein. Sie weist insbesondere eine Kantenlänge oder einen Durchmesser im Bereich von 10 µm bis 10 mm, insbesondere im Bereich von 100 µm bis 1 mm auf. Andere Abmessungen sind ebenso möglich. In particular, the micromirror has a reflection surface with a polygonal or a round shape. The reflection surface can in particular be triangular, quadrangular, in particular square, or hexagonal. In particular, it has an edge length or a diameter in the range of 10 μm to 10 mm, in particular in the range of 100 μm to 1 mm. Other dimensions are also possible.

Der Mikrospiegel weist insbesondere eine EUV-reflektierende Beschichtung auf. In particular, the micromirror has an EUV-reflective coating.

Wie vorhergehend beschrieben sind die funktionellen Strukturen des Mikrospiegels durch Relief-Formung aus dem monolithischen Ausgangssubstrat. As previously described, the functional structures of the micromirror are by relief molding from the monolithic starting substrate.

Aufgrund der monokristallinen Struktur haben die funktionellen Strukturen besonders vorteilhafte Eigenschaften. Die Herstellung der funktionellen Strukturen aus monokristallinem Silizium führt insbesondere zu einer verbesserten Wärmeleitfähigkeit derselben. Sie führt außerdem zu verbesserten mechanischen und/oder elektrischen Eigenschaften. Außerdem wird die Präzision bei der Herstellung der funktionellen Strukturen verbessert sowie die Herstellung derselben erleichtert. Ein weiterer Vorteil besteht darin, dass die funktionellen Strukturen sehr gut reproduzierbar herstellbar sind und zwar mit einer geringen Toleranzbreite im Hinblick auf ihre Eigenschaften. Due to the monocrystalline structure, the functional structures have particularly advantageous properties. The production of the functional structures from monocrystalline silicon leads in particular to an improved thermal conductivity of the same. It also leads to improved mechanical and / or electrical properties. In addition, the precision in the production of the functional structures is improved and facilitates the production thereof. Another advantage is that the functional structures can be produced in a very reproducible manner, and indeed with a narrow tolerance range with respect to their properties.

Gemäß einem Aspekt der Erfindung weisen die funktionellen Strukturen eine Erstreckung in Richtung parallel zu einer zentralen Flächennormalen des Mikrospiegels auf, welche mindestens 5%, insbesondere mindestens 10%, insbesondere mindestens 20%, insbesondere mindestens 30%, insbesondere mindestens 40%, insbesondere mindestens 50% einer Erstreckung des Spiegelkörpers in Richtung senkrecht hierzu beträgt. According to one aspect of the invention, the functional structures have an extension in the direction parallel to a central surface normal of the micromirror, which is at least 5%, in particular at least 10%, in particular at least 20%, in particular at least 30%, in particular at least 40%, in particular at least 50 % of an extension of the mirror body in the direction perpendicular thereto.

Im Vergleich zu konventionell hergestellten Mikrospiegeln können die funktionellen Strukturen des Mikrospiegels ein sehr großes Aspektverhältnis aufweisen. In comparison to conventionally produced micromirrors, the functional structures of the micromirror can have a very high aspect ratio.

Bei der Erstreckung des Spiegelkörpers in Richtung senkrecht zur Flächennormalen kann es sich um eine Kantenlänge des Spiegelkörpers handeln. Es kann sich auch um die maximale Erstreckung des Spiegelkörpers in Richtung senkrecht zur Flächennormalen handeln. The extent of the mirror body in the direction perpendicular to the surface normal may be an edge length of the mirror body. It may also be the maximum extent of the mirror body in the direction perpendicular to the surface normal.

Durch ein derart großes Aspektverhältnis lassen sich die elektrischen und/oder mechanischen Eigenschaften der funktionellen Strukturen verbessern. Es lässt sich insbesondere die Kraftübertragung zwischen den Elektroden und den zugehörigen Gegenelektroden verbessern. Der Mikrospiegel weist insbesondere einen umschlossenen insbesondere eine gekapselte Anordnung, funktioneller mechanischer und/oder elektrischer Strukturen auf. Diese sind somit vor externen Einwirkungen geschützt. Die große vertikale Erstreckung der funktionellen Strukturen ermöglicht einen größeren Verlagerungsumfang, insbesondere einen größeren maximalen Kippwinkel. Sie führt außerdem zu einem verbesserten Sensorsignal, insbesondere einer höheren erreichbaren Sensitivität. By such a large aspect ratio, the electrical and / or mechanical properties of the functional structures can be improved. In particular, it is possible to improve the transmission of force between the electrodes and the associated counterelectrodes. In particular, the micromirror has an enclosed, in particular, an encapsulated arrangement, functional mechanical and / or electrical structures. These are thus protected against external influences. The large vertical extent of the functional structures allows a larger amount of displacement, in particular a larger maximum tilt angle. It also leads to an improved sensor signal, in particular a higher achievable sensitivity.

Gemäß einem weiteren Aspekt der Erfindung ist der Spiegelkörper relativ zur Tragestruktur verlagerbar, wobei die funktionellen Strukturen des ersten Fügeteils und die funktionellen Strukturen des zweiten Fügeteils über den gesamten Bewegungsumfang des Spiegelkörpers einen vorgegebenen Mindestabstand zueinander aufweisen. According to a further aspect of the invention, the mirror body is displaceable relative to the support structure, wherein the functional structures of the first joining part and the functional structures of the second joining part over the entire range of motion of the mirror body have a predetermined minimum distance from each other.

Der Mikrospiegel, insbesondere dessen funktionelle Strukturen, insbesondere der oder die verlagerbaren Bestandteile des Mikrospiegels, sind sehr präzise ausgebildet und/oder zueinander angeordnet. Zum Erreichen der hohen Präzision erweisen sich die bekannten und vorteilhaften Eigenschaften von monokristallinem Silizium als besonders nützlich und vorteilhaft. The micromirror, in particular its functional structures, in particular the one or more displaceable components of the micromirror, are of very precise design and / or arranged relative to one another. To achieve the high precision, the known and advantageous properties of monocrystalline silicon prove to be particularly useful and advantageous.

Die erfindungsgemäße Aufgabe wird außerdem durch ein Mikrospiegelarray mit Mikrospiegeln gemäß der vorhergehenden Beschreibung gelöst. The object according to the invention is also achieved by a micromirror micromirror array according to the preceding description.

Die Vorteile ergeben sich aus den vorhergehend beschriebenen. The advantages result from the previously described.

Die Anzahl der Mikrospiegel im Mikrospiegelarray kann mindestens 4, insbesondere mindestens 16, insbesondere mindestens 64, insbesondere mindestens 250, insbesondere mindestens 1000 betragen. The number of micromirrors in the micromirror array may be at least 4, in particular at least 16, in particular at least 64, in particular at least 250, in particular at least 1000.

Die Mikrospiegel weisen eine hohe Integrationsdichte auf. Die Integrationsdichte (Füll-Faktor) des Mikrospiegels beträgt insbesondere mindestens 0,5, insbesondere mindestens 0,6, insbesondere mindestens 0,7, insbesondere mindestens 0,8, insbesondere mindestens 0,9. The micromirrors have a high integration density. The integration density (filling factor) of the micromirror is in particular at least 0.5, in particular at least 0.6, in particular at least 0.7, in particular at least 0.8, in particular at least 0.9.

Die erfindungsgemäße Aufgabe wird außerdem durch ein optisches System für eine Projektionsbelichtungsanlage und eine Projektionsbelichtungsanlage mit einem entsprechenden optischen System mit mindestens einem Mikrospiegelarray gemäß der vorhergehenden Beschreibung gelöst. The object according to the invention is also achieved by an optical system for a projection exposure apparatus and a projection exposure apparatus having a corresponding optical system with at least one micromirror array according to the preceding description.

Die Vorteile ergeben sich wiederum aus den bereits beschriebenen. Eine weitere Aufgabe der Erfindung besteht darin, ein Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements zu verbessern. Diese Aufgabe wird durch die Bereitstellung einer erfindungsgemäßen Projektionsbelichtungsanlage gelöst. Die Vorteile ergeben sich wiederum aus den vorhergehend beschriebenen. Schließlich betrifft die Erfindung ein verfahrensgemäß hergestelltes Bauelement. The advantages again result from those already described. Another object of the invention is to improve a method of manufacturing a micro- or nanostructured device. This object is achieved by the provision of a projection exposure apparatus according to the invention. The advantages in turn result from the previously described. Finally, the invention relates to a device produced according to the method.

Dieses zeichnet sich durch eine erhöhte Präzision aus. This is characterized by an increased precision.

Weitere Aspekte, Vorteile und Einzelheiten der Erfindung ergeben sich aus der Beschreibung von Ausführungsbeispielen anhand der Zeichnungen. Es zeigen: Further aspects, advantages and details of the invention will become apparent from the description of embodiments with reference to the drawings. Show it:

1 eine schematische Darstellung einer Projektionsbelichtungsanlage und ihrer Bestandteile, 1 a schematic representation of a projection exposure system and its components,

2 eine schematische Darstellung eines optischen Bauelements mit einer Aktuator- und einer Sensoreinrichtung, 2 a schematic representation of an optical component with an actuator and a sensor device,

3 eine alternative Darstellung des optischen Bauelements gemäß 2 bei welcher der Spiegelkörper mit den daran angeordneten Gegenelektroden bzw. Abschirm-Elementen zur Seite geklappt ist, 3 an alternative representation of the optical component according to 2 in which the mirror body with the counterelectrodes or shielding elements arranged thereon is folded to the side,

4 schematisch eine Aufsicht auf den Ausschnitt IV in 3 mit einer schematischen Darstellung der elektrischen Verschaltung eines Teils der Sensor-Einrichtung, 4 schematically a plan view of the section IV in 3 with a schematic representation of the electrical connection of a part of the sensor device,

5 eine Ansicht gemäß 4, bei welcher die mit dem Spiegelkörper verbundenen Kammfinger nicht dargestellt sind, 5 a view according to 4 in which the comb fingers connected to the mirror body are not shown,

6 eine schematische Darstellung einer weiteren Variante des optischen Bauelements mit einem Gegengewicht um den Schwerpunkt des bewegten Spiegels in den Drehpunkt des Gelenks zu legen, 6 a schematic representation of a further variant of the optical component with a counterweight to place the center of gravity of the moving mirror in the pivot point of the joint,

7A bis 7E schematisch eine Abfolge von Zwischenprodukten und Verfahrensschritten bei der Herstellung eines ersten Fügeteils, 7A to 7E 1 is a schematic view of a sequence of intermediates and method steps in the production of a first joining part;

8 eine Ansicht der 7E von unten 8th a view of 7E from underneath

9A bis 9K eine Abfolge von Zwischenprodukten und Verfahrensschritten bei der Herstellung eines zweiten Fügeteils, 9A to 9K a sequence of intermediates and process steps in the manufacture of a second adherend,

10 eine Ansicht des Zwischenprodukts gemäß der 9B von oben, 10 a view of the intermediate product according to the 9B from above,

11 eine Ansicht des Zwischenprodukts gemäß 9K von oben, 11 a view of the intermediate according to 9K from above,

12A bis 12E eine schematische Ansicht der Zwischenprodukte und Verfahrensschritte bei der Herstellung des mikroelektromechanischen Bauelements aus den beiden Fügeteilen, insbesondere den Schritt des Zusammenfügens der beiden Fügeteile (12A) und nachfolgende Bearbeitungsschritte, 12A to 12E 3 shows a schematic view of the intermediates and method steps in the production of the microelectromechanical component from the two parts to be joined, in particular the step of assembling the two parts to be joined ( 12A ) and subsequent processing steps,

13 eine Ansicht des Zwischenprodukts gemäß der 12D von unten, 13 a view of the intermediate product according to the 12D from underneath,

14A bis 14F schematische Ansichten von Zwischenprodukten bei der Herstellung des zweiten Fügeteils einer Alternative, die Ansichten der 14B und 14C geben hierbei Ansichten desselben Zwischenprodukts mit unterschiedlichen Orientierungen wieder, 14A to 14F schematic views of intermediates in the production of the second joining part of an alternative, the views of the 14B and 14C give views of the same intermediate with different orientations,

15A eine Ansicht der Zwischenprodukte gemäß den 14A bis 14C von oben (die Linie XIV A-XIV A gibt hierbei die Ausrichtung der Darstellung gemäß der 14A wieder; die Linie XIV B-XIV B gibt hierbei die Ausrichtung der Darstellung gemäß der 14B wieder; die Linie XIV C-XIV C gibt die hierbei die Ausrichtung der Darstellung gemäß der 14C wieder), 15A a view of the intermediates according to the 14A to 14C from above (the line XIV A-XIV A hereby indicates the orientation of the representation according to the 14A again; the line XIV B-XIV B hereby indicates the orientation of the representation according to the 14B again; the line XIV C-XIV C hereby indicates the orientation of the representation according to the 14C again),

15B eine Ansicht der Zwischenprodukte gemäß den 14B und 14C von oben, 15B a view of the intermediates according to the 14B and 14C from above,

16A bis 16F schematisch eine Abfolge von Zwischenprodukten und Verfahrensschritten bei der Herstellung des ersten Fügeteils gemäß einer Variante mit einem Ausgleichgewicht, 16A to 16F 1 schematically shows a sequence of intermediates and method steps in the production of the first joining part according to a variant with a balance weight,

17 eine Ansicht des Zwischenprodukts gemäß der 16F von unten (die Linie XVI F-XVI F gibt hierbei die Ausrichtung der Darstellung gemäß der 16F wieder), 17 a view of the intermediate product according to the 16F from below (the line XVI F-XVI F indicates the orientation of the illustration according to the 16F again),

18A bis 18K schematisch Zwischenprodukte und Verfahrensschritte bei der Herstellung des zweiten Fügeteils gemäß einer Variante mit einem Ausgleichgewicht, 18A to 18K schematically intermediate products and method steps in the production of the second joining part according to a variant with a balance weight,

19 sehr schematisch eine Ansicht des Zwischenprodukts gemäß der 18D von oben (die Linie XVIII D-XVIII D gibt hierbei die Orientierung der 18D wieder), 19 very schematically a view of the intermediate product according to the 18D from above (the line XVIII D-XVIII D gives the orientation of the 18D again),

20 schematisch eine Darstellung des Zwischenprodukts gemäß der 18G von oben (die Linie XVIII G-XVIII G gibt hierbei wiederum die Orientierung der Darstellung der 18G wieder), 20 schematically a representation of the intermediate product according to the 18G from above (the line XVIII G-XVIII G again gives the orientation of the representation of the 18G again),

21 eine schematische Ansicht der Zwischenprodukte gemäß den 18I und 18J von oben (die Linie XVIII I-XVIII I gibt hierbei die Orientierung der Darstellung der 18I wieder; die Linie XVIII J-XVIII J gibt hierbei die Orientierung der Darstellung der 18J wieder), 21 a schematic view of the intermediates according to the 18I and 18J from above (the line XVIII I-XVIII I here gives the orientation of the representation of 18I again; the line XVIII J-XVIII J gives the orientation of the representation of the 18J again),

22 eine schematische Darstellung des Zwischenprodukts gemäß der 18K von oben (die Linie XVIII K-XVIII K gibt hierbei die Orientierung der Darstellung der 18K wieder), 22 a schematic representation of the intermediate according to the 18K from above (the line XVIII K-XVIII K gives the orientation of the representation of the 18K again),

23A bis 23H eine schematische Darstellung einer Abfolge von Zwischenprodukten und Verfahrensschritten bei der Herstellung des mikroelektromechanischen Bauelements aus den beiden Fügeteilen gemäß einer weiteren Variante mit einem Ausgleichgewicht, hierbei gibt 23C eine schematische Darstellung entlang der Linie XXIII-XXIII in 24 wieder, 23A to 23H a schematic representation of a sequence of intermediates and process steps in the production of the microelectromechanical component of the two parts to be joined according to a further variant with a balance weight, here are 23C a schematic representation along the line XXIII-XXIII in 24 again,

24 eine Darstellung des Zwischenprodukts gemäß der 23C von unten, 24 a representation of the intermediate according to the 23C from underneath,

25 eine schematische Ansicht des Bauelements gemäß der 23H entlang der Linie XXV-XXV, und 25 a schematic view of the device according to the 23H along the line XXV-XXV, and

26 eine schematische Darstellung der 23H entlang der Linie XXVI-XXVI. 26 a schematic representation of 23H along the line XXVI-XXVI.

Die dargestellten Figuren dienen lediglich der Verdeutlichung der Erfindung, insbesondere der aufeinanderfolgenden Verfahrensschritte. Bei den geschnittenen Ansichten handelt es sich insbesondere nicht nur um einfache Schnittdarstellungen entlang einer einzigen Schnittebene, sondern auch um schematische Darstellungen mit ausgewählten Details. Bei den Ansichten von oben beziehungsweise von unten sind teilweise auch einzelne Schichten und/oder Elemente entfernt beziehungsweise an sich verdeckte Elemente dargestellt. Aus Gründen der Übersichtlichkeit wurden entsprechende Elemente nicht mit einem eigenen Linientyp dargestellt. The figures shown are merely intended to illustrate the invention, in particular the successive process steps. The sectional views are in particular not only simple sectional views along a single cutting plane, but also schematic representations with selected details. In the views from above or from below, individual layers and / or elements are sometimes removed or hidden elements are shown. For reasons of clarity, corresponding elements have not been represented with their own line type.

Zunächst wird der allgemeine Aufbau einer Projektionsbelichtungsanlage 1 und deren Bestandteile beschrieben. Für Details diesbezüglich sei auf die WO 2010/049076 A2 verwiesen, die hiermit vollständig als Bestandteil der vorliegenden Anmeldung in diese integriert ist. Die Beschreibung des allgemeinen Aufbaus der Projektionsbelichtungsanlage 1 ist ausschließlich exemplarisch zu verstehen. Sie dient der Erläuterung einer möglichen Anwendung des Gegenstands der vorliegenden Erfindung. Der Gegenstand der vorliegenden Erfindung kann auch in anderen optischen Systemen, insbesondere in alternativen Varianten von Projektionsbelichtungsanlagen eingesetzt werden. First, the general structure of a projection exposure apparatus 1 and their components are described. For details in this regard is on the WO 2010/049076 A2 which is hereby incorporated in its entirety as part of the present application. The description of the general structure of the projection exposure apparatus 1 is to be understood only as an example. It serves to explain a possible application of the subject of the present invention. The subject matter of the present invention can also be used in other optical systems, in particular in alternative variants of projection exposure apparatuses.

1 zeigt schematisch in einem Meridionalschnitt eine Projektionsbelichtungsanlage 1 für die Mikrolithografie. Ein Beleuchtungssystem 2 der Projektionsbelichtungsanlage 1 hat neben einer Strahlungsquelle 3 eine Beleuchtungsoptik 4 zur Belichtung eines Objektfeldes 5 in einer Objektebene 6. Das Objektfeld 5 kann rechteckig oder bogenförmig mit einem x/y-Aspektverhältnis von beispielsweise 13/1 gestaltet sein. Belichtet wird hierbei ein im Objektfeld 5 angeordnetes und in der 1 nicht dargestelltes reflektierendes Retikel, das eine mit der Projektionsbelichtungsanlage 1 zur Herstellung mikro- bzw. nanostrukturierter Halbleiter-Bauelemente zu projizierende Struktur trägt. Eine Projektionsoptik 7 dient zur Abbildung des Objektfeldes 5 in ein Bildfeld 8 in einer Bildebene 9. Abgebildet wird die Struktur auf dem Retikel auf eine lichtempfindliche Schicht eines im Bereich des Bildfeldes 8 in der Bildebene 9 angeordneten Wafers, der in der Zeichnung nicht dargestellt ist. 1 schematically shows in a meridional section a projection exposure system 1 for microlithography. A lighting system 2 the projection exposure system 1 has next to a radiation source 3 an illumination optics 4 for the exposure of an object field 5 in an object plane 6 , The object field 5 may be rectangular or arcuate with an x / y aspect ratio of, for example, 13/1. One is exposed in the object field 5 arranged and in the 1 not shown reflective reticle, the one with the projection exposure system 1 contributes to the production of microstructured or nanostructured semiconductor devices to be projected structure. A projection optics 7 serves to represent the object field 5 in a picture field 8th in an image plane 9 , The structure on the reticle is imaged onto a photosensitive layer in the area of the image field 8th in the picture plane 9 arranged wafer, which is not shown in the drawing.

Das Retikel, das von einem nicht dargestellten Retikelhalter gehalten ist, und der Wafer, der von einem nicht dargestellten Waferhalter gehalten ist, werden beim Betrieb der Projektionsbelichtungsanlage 1 synchron in der y-Richtung gescannt. Abhängig vom Abbildungsmaßstab der Projektionsoptik 7 kann auch ein gegenläufiges Scannen des Retikels relativ zum Wafer stattfinden. The reticle, which is held by a reticle holder, not shown, and the wafer, which is held by a wafer holder, not shown, are in operation of the projection exposure apparatus 1 scanned synchronously in the y-direction. Depending on the imaging scale of the projection optics 7 can also take place an opposite scanning of the reticle relative to the wafer.

Bei der Strahlungsquelle 3 handelt es sich um eine EUV-Strahlungsquelle mit einer emittierten Nutzstrahlung im Bereich zwischen 5 nm und 30 nm. Es kann sich dabei um eine Plasmaquelle, beispielsweise um eine GDPP-Quelle (Plasmaerzeugung durch Gasentladung, Gas Discharge Produced Plasma), oder um eine LPP-Quelle (Plasmaerzeugung durch Laser, Laser Produced Plasma) handeln. Auch andere EUV-Strahlungsquellen, beispielsweise solche, die auf einem Synchrotron oder auf einem Free Electron Laser (Freie Elektronenlaser, FEL) basieren, sind möglich. At the radiation source 3 it is an EUV radiation source with an emitted useful radiation in the range between 5 nm and 30 nm. It can be a plasma source, for example a GDPP source (plasma generation by gas discharge, gas discharge produced plasma), or an LPP Source (plasma generation by laser, laser produced plasma). Other EUV sources, such as those on a synchrotron or on a Free Electron Lasers (FEL) based are possible.

EUV-Strahlung 10, die von der Strahlungsquelle 3 ausgeht, wird von einem Kollektor 11 gebündelt. Ein entsprechender Kollektor ist beispielsweise aus der EP 1 225 481 A2 bekannt. Nach dem Kollektor 11 propagiert die EUV-Strahlung 10 durch eine Zwischenfokusebene 12, bevor sie auf einen Feldfacettenspiegel 13 trifft. Der Feldfacettenspiegel 13 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die zur Objektebene 6 optisch konjugiert ist. Der Feldfacettenspiegel 13 kann beabstandet zu einer zur Objektebene 6 konjugierten Ebene angeordnet sein. Er wird in diesem Fall allgemein als erster Facettenspiegel bezeichnet. EUV radiation 10 coming from the radiation source 3 emanating from a collector 11 bundled. A corresponding collector is for example from the EP 1 225 481 A2 known. After the collector 11 propagates the EUV radiation 10 through an intermediate focus level 12 before moving to a field facet mirror 13 meets. The field facet mirror 13 is in a plane of illumination optics 4 arranged to the object level 6 is optically conjugated. The field facet mirror 13 can be spaced to one to the object plane 6 be arranged conjugate level. It is generally referred to as the first facet mirror in this case.

Die EUV-Strahlung 10 wird nachfolgend auch als Nutzstrahlung, Beleuchtungsstrahlung oder als Abbildungslicht bezeichnet. The EUV radiation 10 is hereinafter also referred to as useful radiation, illumination radiation or as imaging light.

Nach dem Feldfacettenspiegel 13 wird die EUV-Strahlung 10 von einem Pupillenfacettenspiegel 14 reflektiert. Der Pupillenfacettenspiegel 14 liegt entweder in der Eintrittspupillenebene der Projektionsoptik 7 oder in einer hierzu optisch konjugierten Ebene. Er kann auch beabstandet zu einer derartigen Ebene angeordnet sein. After the field facet mirror 13 becomes the EUV radiation 10 from a pupil facet mirror 14 reflected. The pupil facet mirror 14 lies either in the entrance pupil plane of the projection optics 7 or in a plane optically conjugated thereto. It can also be arranged at a distance from such a plane.

Der Feldfacettenspiegel 13 und der Pupillenfacettenspiegel 14 sind aus einer Vielzahl von Einzelspiegeln aufgebaut, die nachfolgend noch näher beschrieben werden. Dabei kann die Unterteilung des Feldfacettenspiegels 13 in Einzelspiegel derart sein, dass jede der Feldfacetten, die für sich das gesamte Objektfeld 5 ausleuchten, durch genau einen der Einzelspiegel repräsentiert wird. Alternativ ist es möglich, zumindest einige oder alle der Feldfacetten durch eine Mehrzahl derartiger Einzelspiegel aufzubauen. Entsprechendes gilt für die Ausgestaltung der den Feldfacetten jeweils zugeordneten Pupillenfacetten des Pupillenfacettenspiegels 14, die jeweils durch einen einzigen Einzelspiegel oder durch eine Mehrzahl derartiger Einzelspiegel gebildet sein können. The field facet mirror 13 and the pupil facet mirror 14 are constructed from a variety of individual mirrors, which are described in more detail below. In this case, the subdivision of the field facet mirror 13 be in individual mirrors such that each of the field facets, which for themselves the entire object field 5 Illuminate, represented by exactly one of the individual mirrors. Alternatively, it is possible to construct at least some or all of the field facets through a plurality of such individual mirrors. The same applies to the configuration of the pupil facets of the pupil facet mirror respectively assigned to the field facets 14 , which may each be formed by a single individual mirror or by a plurality of such individual mirrors.

Die EUV-Strahlung 10 trifft auf die beiden Facettenspiegel 13, 14 unter einem definierten Einfallswinkel auf. Die beiden Facettenspiegel werden insbesondere im Bereich eines normal incidence-Betriebs, d. h. mit einem Einfallswinkel, der kleiner oder gleich 25° zur Spiegelnormalen ist, mit der EUV-Strahlung 10 beaufschlagt. Auch eine Beaufschlagung unter streifendem Einfall (grazing incidence) ist möglich. Der Pupillenfacettenspiegel 14 ist in einer Ebene der Beleuchtungsoptik 4 angeordnet, die eine Pupillenebene der Projektionsoptik 7 darstellt bzw. zu einer Pupillenebene der Projektionsoptik 7 optisch konjugiert ist. Mithilfe des Pupillenfacettenspiegels 14 und einer abbildenden optischen Baugruppe in Form einer Übertragungsoptik 15 mit in der Reihenfolge des Strahlengangs für die EUV-Strahlung 10 bezeichneten Spiegeln 16, 17 und 18 werden die Feldfacetten des Feldfacettenspiegels 13 einander überlagernd in das Objektfeld 5 abgebildet. Der letzte Spiegel 18 der Übertragungsoptik 15 ist ein Spiegel für streifenden Einfall („Grazing incidence Spiegel“). Die Übertragungsoptik 15 wird zusammen mit dem Pupillenfacettenspiegel 14 auch als Folgeoptik zur Überführung der EUV-Strahlung 10 vom Feldfacettenspiegel 13 hin zum Objektfeld 5 bezeichnet. Das Beleuchtungslicht 10 wird von der Strahlungsquelle 3 hin zum Objektfeld 5 über eine Mehrzahl von Ausleuchtungskanälen geführt. Jedem dieser Ausleuchtungskanäle ist eine Feldfacette des Feldfacettenspiegels 13 und eine dieser nachgeordnete Pupillenfacette des Pupillenfacettenspiegels 14 zugeordnet. Die Einzelspiegel des Feldfacettenspiegels 13 und des Pupillenfacettenspiegels 14 können aktuatorisch verkippbar sein, sodass ein Wechsel der Zuordnung der Pupillenfacetten zu den Feldfacetten und entsprechend eine geänderte Konfiguration der Ausleuchtungskanäle erreicht werden kann. Es resultieren unterschiedliche Beleuchtungssettings, die sich in der Verteilung der Beleuchtungswinkel des Beleuchtungslichts 10 über das Objektfeld 5 unterscheiden. The EUV radiation 10 meets the two facet mirrors 13 . 14 at a defined angle of incidence. The two facet mirrors are in particular in the range of normal incidence operation, ie with an angle of incidence which is less than or equal to 25 ° to the mirror normal, with the EUV radiation 10 applied. Also, an application under grazing incidence (grazing incidence) is possible. The pupil facet mirror 14 is in a plane of illumination optics 4 arranged, which is a pupil plane of the projection optics 7 represents or to a pupil plane of the projection optics 7 is optically conjugated. Using the pupil facet mirror 14 and an imaging optical assembly in the form of a transmission optics 15 with in the order of the beam path for the EUV radiation 10 designated mirrors 16 . 17 and 18 become the field facets of the field facet mirror 13 overlapping each other in the object field 5 displayed. The last mirror 18 the transmission optics 15 is a grazing incidence mirror. The transmission optics 15 becomes along with the pupil facet mirror 14 also as a follow-up optics for the transfer of EUV radiation 10 from the field facet mirror 13 towards the object field 5 designated. The illumination light 10 is from the radiation source 3 towards the object field 5 guided over a plurality of illumination channels. Each of these illumination channels is a field facet of the field facet mirror 13 and one of these downstream pupil facets of the pupil facet mirror 14 assigned. The individual mirrors of the field facet mirror 13 and the pupil facet mirror 14 can be tiltable actuator, so that a change of the assignment of the pupil facets to the field facets and correspondingly a changed configuration of the illumination channels can be achieved. This results in different lighting settings, resulting in the distribution of the illumination angle of the illumination light 10 over the object field 5 differ.

Zur Erleichterung der Erläuterung von Lagebeziehungen wird nachfolgend unter anderem ein globales kartesisches xyz-Koordinatensystem verwendet. Die x-Achse verläuft in der 1 senkrecht zur Zeichenebene auf den Betrachter zu. Die y-Achse verläuft in der 1 nach rechts. Die z-Achse verläuft in der 1 nach oben. To facilitate the explanation of positional relationships, a global Cartesian xyz coordinate system is used below, among other things. The x-axis runs in the 1 perpendicular to the drawing plane towards the viewer. The y-axis runs in the 1 to the right. The z-axis runs in the 1 up.

Unterschiedliche Beleuchtungssettings können über eine Verkippung der Einzelspiegel des Feldfacettenspiegels 13 und einen entsprechenden Wechsel der Zuordnung dieser Einzelspiegel des Feldfacettenspiegels 13 zu den Einzelspiegeln des Pupillenfacettenspiegels 14 erreicht werden. Abhängig von der Verkippung der Einzelspiegel des Feldfacettenspiegels 13 werden die diesen Einzelspiegeln neu zugeordneten Einzelspiegel des Pupillenfacettenspiegels 14 so durch Verkippung nachgeführt, dass wiederum eine Abbildung der Feldfacetten des Feldfacettenspiegels 13 in das Objektfeld 5 gewährleistet ist. Different illumination settings can be achieved by tilting the individual mirrors of the field facet mirror 13 and a corresponding change of the assignment of these individual mirrors of the field facet mirror 13 to the individual mirrors of the pupil facet mirror 14 be achieved. Depending on the tilt of the individual mirrors of the field facet mirror 13 The individual mirrors newly assigned to these individual mirrors of the pupil facet mirror 14 so tracked by tilting, that in turn an image of the field facets of the field facet mirror 13 in the object field 5 is guaranteed.

Im Folgenden werden weitere Aspekte der Beleuchtungsoptik 4 beschrieben. Below are more aspects of the lighting optics 4 described.

Der eine Feldfacettenspiegel 13 in Form eines Multi- bzw. Mikrospiegel-Arrays (MMA) bildet ein Beispiel für eine optische Baugruppe zur Führung der Nutzstrahlung 10, also des EUV-Strahlungsbündels. Der Feldfacettenspiegel 13 ist als mikroelektromechanisches System (MEMS) ausgebildet. Er weist eine Vielzahl von matrixartig zeilen- und spaltenweise in einem Spiegel-Array 19 angeordneten Einzelspiegeln 20 auf. Die Spiegel-Arrays 19 sind modular ausgeführt. Sie können auf einer als Grundplatte ausgebildeten Tragestruktur angeordnet werden. Hierbei können im Wesentlichen beliebig viele der Spiegel-Arrays 19 nebeneinander angeordnet sein. Die Gesamt-Reflexionsfläche, welche durch die Gesamtheit sämtlicher Spiegel-Arrays 19, insbesondere deren Einzelspiegel 20, gebildet wird, ist somit beliebig erweiterbar. Die Spiegel-Arrays 19 sind insbesondere derart ausgebildet, dass sie eine im Wesentlichen lückenlose Parkettierung einer Ebene ermöglichen. Das Verhältnis der Summe der Reflexionsflächen 26 der Einzelspiegel 20 zu der Gesamtfläche, welche von Spiegel-Arrays 19 abgedeckt wird, wird auch als Integrations-Dichte bezeichnet. Diese Integrations-Dichte beträgt insbesondere mindestens 0,5, insbesondere mindestens 0,6, insbesondere mindestens 0,7, insbesondere mindestens 0,8, insbesondere mindestens 0,9. The one field facet mirror 13 in the form of a multi-mirror or micromirror array (MMA) forms an example of an optical assembly for guiding the useful radiation 10 , the EUV radiation bundle. The field facet mirror 13 is designed as a microelectromechanical system (MEMS). It has a multitude of matrix-like rows and columns in a mirror array 19 arranged individual mirrors 20 on. The mirror arrays 19 are modular design. They can be arranged on a support structure designed as a base plate. Essentially, any number of the mirror arrays can be used here 19 be arranged side by side. The total reflection area, which is determined by the totality of all mirror arrays 19 , in particular their individual mirrors 20 , is formed, is thus arbitrarily expandable. The mirror arrays 19 are particularly designed so that they allow a substantially seamless tiling a plane. The ratio of the sum of the reflection surfaces 26 the individual mirror 20 to the total area, that of mirror arrays 19 is also referred to as integration density. This integration density is in particular at least 0.5, in particular at least 0.6, in particular at least 0.7, in particular at least 0.8, in particular at least 0.9.

Die Spiegel-Arrays 19 werden mittels Fixier-Elementen 29 auf der Grundplatte fixiert. Für Details sei beispielsweise auf die WO 2012/130768 A2 verwiesen. The mirror arrays 19 be by means of fixing elements 29 fixed on the base plate. For details, for example, on the WO 2012/130768 A2 directed.

Die Einzelspiegel 20 sind aktuatorisch verkippbar ausgelegt, wie nachfolgend noch erläutert wird. Insgesamt weist der Feldfacettenspiegel 13 etwa 100000 der Einzelspiegel 20 auf. Je nach Größe der Einzelspiegel 20 kann der Feldfacettenspiegel 13 auch eine andere Anzahl an Einzelspiegeln 20 aufweisen. Die Anzahl der Einzelspiegel 20 des Feldfacettenspiegels 13 beträgt insbesondere mindestens 1000, insbesondere mindestens 5000, insbesondere mindestens 10000. Sie kann bis zu 100000, insbesondere bis zu 300000, insbesondere bis zu 500000, insbesondere bis zu 1000000 betragen. The individual mirrors 20 are designed actuatable tilting, as will be explained below. Overall, the field facet mirror 13 about 100,000 of the individual mirrors 20 on. Depending on the size of the individual mirror 20 can the field facet mirror 13 also a different number of individual mirrors 20 exhibit. The number of individual mirrors 20 of the field facet mirror 13 is in particular at least 1000, in particular at least 5000, in particular at least 10000. It can be up to 100,000, in particular up to 300,000, in particular up to 500,000, in particular up to 1,000,000.

Vor dem Feldfacettenspiegel 13 kann ein Spektralfilter angeordnet sein, der die Nutzstrahlung 10 von anderen, nicht für die Projektionsbelichtung nutzbaren Wellenlängenkomponenten der Emission der Strahlungsquelle 3 trennt. Der Spektralfilter ist nicht dargestellt. In front of the field facet mirror 13 can be arranged a spectral filter, which is the useful radiation 10 from other wavelength components of the radiation source emission not usable for the projection exposure 3 separates. The spectral filter is not shown.

Der Feldfacettenspiegel 13 wird mit Nutzstrahlung 10 mit einer Leistung von beispielsweise 840 W und einer Leistungsdichte von 6,5 kW/m2 beaufschlagt. The field facet mirror 13 is using useful radiation 10 with a power of for example 840 W and a power density of 6.5 kW / m 2 applied.

Das gesamte Einzelspiegel-Array des Facettenspiegels 13 hat beispielsweise einen Durchmesser von 500 mm und ist dicht gepackt mit den Einzelspiegeln 20 ausgelegt. Die Einzelspiegel 20 repräsentieren, soweit eine Feldfacette durch jeweils genau einen Einzelspiegel realisiert ist, bis auf einen Skalierungsfaktor die Form des Objektfeldes 5. Der Facettenspiegel 13 kann aus 500 jeweils eine Feldfacette repräsentierenden Einzelspiegeln 20 mit einer Dimension von etwa 5 mm in der y-Richtung und 100 mm in der x-Richtung gebildet sein. Alternativ zur Realisierung jeder Feldfacette durch genau einen Einzelspiegel 20 kann jede der Feldfacetten durch Gruppen von kleineren Einzelspiegeln 20 approximiert werden. Eine Feldfacette mit Dimensionen von 5 mm in der y-Richtung und von 100 mm in der x-Richtung kann z. B. mittels eines 1 × 20-Arrays von Einzelspiegeln 20 der Dimension 5 mm × 5 mm bis hin zu einem 10 × 200-Array von Einzelspiegeln 20 mit den Dimensionen 0,5 mm × 0,5 mm aufgebaut sein. The entire single-mirror array of the facet mirror 13 For example, has a diameter of 500 mm and is densely packed with the individual mirrors 20 designed. The individual mirrors 20 represent, as far as a field facet is realized by exactly one individual mirror, except for a scaling factor, the shape of the object field 5 , The facet mirror 13 can consist of 500 individual mirrors each representing a field facet 20 be formed with a dimension of about 5 mm in the y-direction and 100 mm in the x-direction. Alternatively to the realization of each field facet by exactly one single mirror 20 Each of the field facets can be divided into groups of smaller individual mirrors 20 be approximated. A field facet with dimensions of 5mm in the y-direction and 100mm in the x-direction may e.g. B. by means of a 1 × 20 array of individual mirrors 20 5 mm × 5 mm to a 10 × 200 array of individual mirrors 20 be constructed with the dimensions 0.5 mm × 0.5 mm.

Zum Umstellen der Beleuchtungssettings werden die Kippwinkel der Einzelspiegel 20 verstellt. Die Kippwinkel weisen insbesondere einen Verlagerungsbereich von ±50 mrad, insbesondere ±100 mrad auf. Bei der Einstellung der Kippposition der Einzelspiegel 20 wird eine Genauigkeit von besser als 0,2 mrad, insbesondere besser als 0,1 mrad, insbesondere besser als 0,05mrad, insbesondere 0,02 mrad erreicht. To change the lighting settings, the tilt angles of the individual mirrors 20 adjusted. In particular, the tilt angles have a displacement range of ± 50 mrad, in particular ± 100 mrad. When adjusting the tilt position of the individual mirrors 20 an accuracy of better than 0.2 mrad, especially better than 0.1 mrad, in particular better than 0,05mrad, in particular 0.02 mrad achieved.

Die Einzelspiegel 20 des Feldfacettenspiegels 13 und des Pupillenfacettenspiegels 14 bei der Ausführung der Beleuchtungsoptik 4 nach 1 tragen Multilayer-Beschichtungen zur Optimierung ihrer Reflektivität bei der Wellenlänge der Nutzstrahlung 10. Die Temperatur der Multilayer-Beschichtungen sollte 425 K beim Betreiben der Projektionsbelichtungs-anlage 1 nicht überschreiten. Dies wird durch einen geeigneten Aufbau der Einzelspiegel 20 erreicht. Für Details wird auf DE 10 2013 206 529 A1 verwiesen, die hiermit vollständig in die vorliegende Anmeldung integriert ist. The individual mirrors 20 of the field facet mirror 13 and the pupil facet mirror 14 in the execution of the illumination optics 4 to 1 Apply multilayer coatings to optimize their reflectivity at the wavelength of the useful radiation 10 , The temperature of the multilayer coatings should be 425 K while operating the projection exposure equipment 1 do not exceed. This is achieved by a suitable design of the individual mirrors 20 reached. For details will be on DE 10 2013 206 529 A1 referred to, which is hereby fully integrated into the present application.

Die Einzelspiegel 20 der Beleuchtungsoptik 4 sind in einer evakuierbaren Kammer 21 untergebracht, von der in den 2 und 6 eine Begrenzungswand 22 angedeutet ist. Die Kammer 21 kommuniziert über eine Fluidleitung 23, in der ein Absperrventil 24 untergebracht ist, mit einer Vakuumpumpe 25. Der Betriebsdruck in der evakuierbaren Kammer 21 beträgt einige Pascal, insbesondere 3 Pa bis 5 Pa (Partialdruck H2). Alle anderen Partialdrücke liegen deutlich unterhalb von 1 × 10–7 mbar. The individual mirrors 20 the illumination optics 4 are in an evacuable chamber 21 housed in the 2 and 6 a boundary wall 22 is indicated. The chamber 21 communicates via a fluid line 23 in which a shut-off valve 24 is housed, with a vacuum pump 25 , The operating pressure in the evacuable chamber 21 is some Pascal, in particular 3 Pa to 5 Pa (partial pressure H 2 ). All other partial pressures are well below 1 × 10 -7 mbar.

Der die Mehrzahl von Einzelspiegeln 20 aufweisende Spiegel bildet zusammen mit der evakuierbaren Kammer 21 eine optische Baugruppe zur Führung eines Bündels der EUV-Strahlung 10. Jeder der Einzelspiegel 20 kann eine Reflexionsfläche 26 mit Abmessungen von 0,1 mm × 0,1 mm, 0,5 mm × 0,5 mm, 0,6 mm × 0,6 mm oder auch von bis zu 5 mm × 5 mm und größer aufweisen. Die Reflexionsfläche 26 kann auch kleinere Abmessungen aufweisen. Sie weist insbesondere Seitenlängen im µm- oder unteren mm-Bereich auf. Die Einzelspiegel 20 werden daher auch als Mikrospiegel bezeichnet. Die Reflexionsfläche 26 ist Teil eines Spiegelkörpers 27 des Einzelspiegels 20. Der Spiegelkörper 27 trägt die Mehrlagen-(Multilayer)-Beschichtung. The the majority of individual mirrors 20 having mirror forms together with the evacuable chamber 21 an optical assembly for guiding a bundle of EUV radiation 10 , Each of the individual mirrors 20 can be a reflection surface 26 having dimensions of 0.1 mm × 0.1 mm, 0.5 mm × 0.5 mm, 0.6 mm × 0.6 mm or even up to 5 mm × 5 mm and larger. The reflection surface 26 can also have smaller dimensions. In particular, it has side lengths in the μm or lower mm range. The individual mirrors 20 are therefore also referred to as micromirrors. The reflection surface 26 is part of a mirror body 27 of the single mirror 20 , The mirror body 27 wears the multilayer coating.

Mit Hilfe der Projektionsbelichtungsanlage 1 wird wenigstens ein Teil des Retikels auf einen Bereich einer lichtempfindlichen Schicht auf dem Wafer zur lithografischen Herstellung eines mikro- bzw. nanostrukturierten Bauelements, insbesondere eines Halbleiterbauelements, z.B. eines Mikrochips abgebildet. Je nach Ausführung der Projektionsbelichtungsanlage 1 als Scanner oder als Stepper werden das Retikel und der Wafer zeitlich synchronisiert in der y-Richtung kontinuierlich im Scannerbetrieb oder schrittweise im Stepperbetrieb verfahren. With the help of the projection exposure system 1 For example, at least a portion of the reticle is imaged onto a portion of a photosensitive layer on the wafer for lithographic fabrication of a micro- or nanostructured device, particularly a semiconductor device, eg, a microchip. Depending on the version of the projection exposure system 1 As a scanner or as a stepper, the reticle and the wafer are synchronized in the y-direction continuously in scanner operation or stepwise in stepper mode.

Im Folgenden werden weitere Details und Aspekte der Spiegel-Arrays 19, insbesondere der optischen Bauelemente, welche die Einzelspiegel 20 umfassen, beschrieben. Below are more details and aspects of the mirror arrays 19 , in particular of the optical components, which the individual mirrors 20 include described.

Zunächst wird unter Bezugnahme auf die 2 bis 5 eine erste Variante eines optischen Bauelements 30 mit einem Einzelspiegel 20 sowie insbesondere der Verlagerungs-Einrichtung 31 zur Verlagerung, insbesondere zur Verschwenkung des Einzelspiegels 20, beschrieben. First, referring to the 2 to 5 a first variant of an optical component 30 with a single mirror 20 and in particular the relocation facility 31 for displacement, in particular for pivoting the individual mirror 20 , described.

Die Darstellung gemäß 3 entspricht der gemäß 2, wobei in der 3 der Spiegelkörper 27 des Einzelspiegels 20 zur Seite weggeklappt ist. Hierdurch werden die Strukturen der Verlagerungs-Einrichtung 31 sowie der Sensor-Einrichtung besser sichtbar. The representation according to 3 corresponds to the according to 2 , where in the 3 the mirror body 27 of the single mirror 20 folded away to the side. This will transform the structures of the relocation facility 31 and the sensor device more visible.

4 zeigt eine Schnittdarstellung eines Schnitts des Ausschnitts IV des optischen Bauelements 30 gemäß 3 parallel zur Aktuator-Ebene. 4 shows a sectional view of a section of the section IV of the optical component 30 according to 3 parallel to the actuator level.

Das optische Bauelement umfasst den Einzelspiegel 20, welcher insbesondere als Mikrospiegel ausgebildet ist. Der Einzelspiegel 20 umfasst den vorgehend beschriebenen Spiegelkörper 27, auf dessen Vorderseite die Reflexionsfläche 26 ausgebildet ist. Die Reflexionsfläche 26 ist insbesondere durch eine Viellagenstruktur gebildet. Sie ist insbesondere strahlungsreflektierend für die Beleuchtungsstrahlung 10, insbesondere für EUV-Strahlung. The optical component comprises the individual mirror 20 , which is designed in particular as a micromirror. The individual mirror 20 includes the previously described mirror body 27 , on whose front the reflection surface 26 is trained. The reflection surface 26 is formed in particular by a multilayer structure. It is in particular radiation-reflecting for the illumination radiation 10 , in particular for EUV radiation.

Gemäß der in den Figuren dargestellten Variante ist die Reflexionsfläche 26 quadratisch ausgebildet, jedoch teilweise angeschnitten dargestellt, um auch die Aktuatorik zu zeigen. Sie ist allgemein rechteckig ausgebildet. Sie kann auch dreieckig oder sechseckig ausgebildet sein. Sie ist insbesondere derart kachelartig ausgebildet, dass eine lückenlose Parkettierung einer Ebene mit den Einzelspiegeln 20 möglich ist. Der Einzelspiegel 20 ist mittels eines nachfolgend noch näher beschriebenen Gelenks 32 gelagert. Er ist insbesondere derart gelagert, dass er zwei Kipp-Freiheitsgrade aufweist. Das Gelenk 32 ermöglicht insbesondere die Verkippung des Einzelspiegels 20 um zwei Kippachsen 33, 34. Die Kippachsen 33, 34 stehen senkrecht aufeinander. Sie schneiden sich in einem zentralen Schnittpunkt, welcher als effektiver Schwenkpunkt 35 bezeichnet wird. According to the variant shown in the figures, the reflection surface 26 square formed, but partially cut shown to show the actuator. It is generally rectangular in shape. It can also be triangular or hexagonal. In particular, it is designed in such a tiling manner that seamless tiling of a plane with the individual mirrors 20 is possible. The individual mirror 20 is by means of a joint described in more detail below 32 stored. In particular, it is mounted such that it has two tilting degrees of freedom. The joint 32 allows in particular the tilting of the individual mirror 20 about two tilting axes 33 . 34 , The tilting axes 33 . 34 are perpendicular to each other. They intersect at a central point of intersection, which serves as an effective pivot point 35 referred to as.

Sofern sich der Einzelspiegel 20 in einer unverschwenkten Neutralposition befindet, so liegt der effektive Schwenkpunkt 35 auf einer Flächenormalen 36, welchen durch einen zentralen Punkt, insbesondere den geometrischen Schwerpunkt der Reflexionsfläche 26 verläuft. Unless the individual mirror 20 is in an untwisted neutral position, so is the effective pivot point 35 on a surface standard 36 which by a central point, in particular the geometric center of gravity of the reflection surface 26 runs.

Sofern nichts anderes angegeben ist, wird im Folgenden unter der Richtung der Flächennormalen 36 stets die Richtung derselben in der unverkippten Neutralstellung des Einzelspiegels 20 verstanden. Unless otherwise indicated, below is the direction of the surface normal 36 always the same direction in the untilted neutral position of the single mirror 20 Understood.

Im Folgenden wird zunächst die Verlagerungs-Einrichtung 31 näher beschrieben. The following is the relocation facility 31 described in more detail.

Die Verlagerungs-Einrichtung 31 umfasst eine Elektrodenstruktur mit Aktuator-Wandler-Statorelektroden 37 i und Aktuator-Wandler-Spiegelelektroden 42. Gemäß der in den 2 bis 5 dargestellten Variante umfasst die Elektrodenstruktur vier Aktuator-Wandler-Statorelektroden 37 1, 37 2, 37 3 und 37 4. Die Anzahl der Aktuator-Wandler-Statorelektroden 37 i beträgt allgemein mindestens 2. Sie kann 3, 4 oder mehr betragen. The relocation facility 31 includes an electrode structure with actuator-transducer stator electrodes 37 i and actuator transducer mirror electrodes 42 , According to the in the 2 to 5 illustrated variant, the electrode structure comprises four actuator-stator electrodes 37 1 , 37 2 , 37 3 and 37 4 . The number of actuator-transducer stator electrodes 37 i is generally at least 2. It can be 3, 4 or more.

Sämtliche Aktuator-Wandlerelektroden 37 i, 42 sind als Kamm-Elektroden mit einer Mehrzahl von Kammfingern 38 ausgebildet. Die jeweils komplementären Kammfinger von Spiegel und Stator greifen hierbei ineinander. Die Kämme der einzelnen Aktuator-Elektroden 37 i umfassen jeweils 30 Aktuator-Wandler Stator Kammfinger 38, welche im Folgenden verkürzt auch als Statorkammfinger oder lediglich als Kammfinger bezeichnet werden. Eine jeweils andere Anzahl ist ebenso möglich. Die Anzahl der Kammfinger 38 der Aktuator-Wandler-Statorelektroden 37 i beträgt insbesondere mindestens 2, insbesondere mindestens 3, insbesondere mindestens 5, insbesondere mindestens 10. Sie kann bis zu 50, insbesondere bis zu 100 betragen. All actuator transducer electrodes 37 i , 42 are called comb electrodes with a plurality of comb fingers 38 educated. The respective complementary comb fingers of mirror and stator interlock here. The combs of the individual actuator electrodes 37 i each include 30 Actuator converter Stator comb finger 38 , which are shortened to be referred to below as Storkkammfinger or merely as a comb finger. A different number is also possible. The number of comb fingers 38 the actuator transducer stator electrodes 37 In particular, i is at least 2, in particular at least 3, in particular at least 5, in particular at least 10. It can be up to 50, in particular up to 100.

Die Kämme der Aktuator-Wandler-Spiegelelektroden 42 umfassen entsprechend Aktuator-Wandler-Spiegelkammfinger 43, welche im Folgenden verkürzt auch als Spiegelkammfinger oder lediglich als Kammfinger bezeichnet werden. Die Anzahl der Spiegelkammfinger 43 entspricht der Anzahl der Statorkammfinger. Sie kann auch jeweils um eins von der Anzahl der Statorkammfinger abweichen. The combs of the actuator transducer mirror electrodes 42 accordingly include actuator transducer mirror comb fingers 43 , which in the following shortened are also referred to as a mirror comb finger or merely as a comb finger. The number of mirror comb fingers 43 corresponds to the number of Storkkammfinger. It can also differ by one from the number of Storkkammfinger.

Die Kammfinger 38 sind derart angeordnet, dass sie in Bezug auf die Flächennormale 36 bzw. den effektiven Schwenkpunkt 35 in radialer Richtung verlaufen. Gemäß einer in den Figuren nicht dargestellten Variante können die Kammfinger 38, 43 auch tangential zu Kreisen um den effektiven Schwenkpunkt 35 angeordnet sein. Sie können auch eine Ausbildung aufweisen, welche Ausschnitten aus konzentrischen Kreiszylinder-Mantelflächen um die Flächennormale 36 entsprechen. The comb fingers 38 are arranged such that they are relative to the surface normal 36 or the effective pivot point 35 in the radial direction. According to a variant, not shown in the figures, the comb fingers 38 . 43 also tangent to circles around the effective pivot point 35 be arranged. You can also have one Having training, which sections of concentric circular cylinder lateral surfaces around the surface normal 36 correspond.

Sämtliche der Aktuator-Wandler-Statorelektroden 37 i sind auf einer Tragestruktur in Form eines Substrats 39 angeordnet. Sie sind insbesondere ortsfest auf dem Substrat 39 angeordnet. Sie sind insbesondere in einer einzigen, durch die Vorderseite des Substrats 39 definierten Ebene angeordnet. Diese Ebene wird auch als Aktuator-Ebene oder als Kamm-Ebene bezeichnet. All of the actuator transducer stator electrodes 37 i are on a support structure in the form of a substrate 39 arranged. They are particularly stationary on the substrate 39 arranged. They are in particular in a single, through the front of the substrate 39 arranged level defined. This level is also referred to as the actuator level or as a comb level.

Als Substrat 39 dient insbesondere ein Wafer. Das Substrat 39 wird auch als Grundplatte bezeichnet. As a substrate 39 in particular serves a wafer. The substrate 39 is also referred to as a base plate.

Die Aktuator-Wandler-Statorelektroden 37 i sind jeweils in einem Bereich auf dem Substrat 39 angeordnet, welcher einerseits eine quadratisch äußere Einhüllende, andererseits eine kreisförmige innere Einhüllende aufweist. Alternativ hierzu können die Aktuator-Wandler-Statorelektroden 37 i auch in einem kreisringförmigen Bereich auf dem Substrat 39 angeordnet sein. Hierbei ist auch die äußere Einhüllende kreisförmig ausgebildet. Die einzelnen Aktuator-Wandler-Statorelektroden 37 i sind insbesondere jeweils in kreisringabschnittförmigen Bereichen angeordnet. Die Elektrodenstruktur insgesamt, das heißt sämtliche Aktuator-Wandler-Statorelektroden 37 i sind in einem Bereich angeordnet, welcher eine äußere Einhüllende aufweist, die gerade derjenigen der Reflexionsfläche des Einzelspiegels 20 entspricht. Sie kann auch in einen etwas kleineren, insbesondere etwa 5 % bis 25 % kleineren Bereich angeordnet sein. The actuator transducer stator electrodes 37 i are each in an area on the substrate 39 arranged, which on the one hand has a square outer envelope, on the other hand, a circular inner envelope. Alternatively, the actuator-converter stator electrodes 37 i also in a circular area on the substrate 39 be arranged. Here, the outer envelope is circular. The individual actuator transducer stator electrodes 37 In particular, i are each arranged in circular ring-shaped regions. The total electrode structure, that is, all the actuator-stator electrodes 37 i are arranged in a region which has an outer envelope, just that of the reflection surface of the single mirror 20 equivalent. It can also be arranged in a slightly smaller, in particular about 5% to 25% smaller area.

Die Elektrodenstruktur weist eine Radiärsymmetrie auf. Sie weist insbesondere eine vierzählige Radiärsymmetrie auf. Die Elektrodenstruktur kann auch eine andere Radiärsymmetrie aufweisen. Sie kann insbesondere eine dreizählige Radiärsymmetrie aufweisen. Sie weist insbesondere eine k-zählige Radiärsymmetrie auf, wobei k die Anzahl der Aktuator-Wandler-Statorelektroden 37 i angibt. Abgesehen von der Unterteilung der Elektrodenstruktur in die unterschiedlichen Aktuator-Wandler-Statorelektroden 37 i weist die Elektrodenstruktur eine n-zählige Radiärsymmetrie auf, wobei n gerade der Gesamtzahl der Kammfinger 38 sämtlicher Aktuator-Wandler-Statorelektroden 37 i entspricht. The electrode structure has a radial symmetry. In particular, it has a fourfold radial symmetry. The electrode structure may also have a different radial symmetry. It may in particular have a threefold radial symmetry. In particular, it has k-fold radial symmetry, where k is the number of actuator-transducer stator electrodes 37 i indicates. Apart from the subdivision of the electrode structure into the different actuator transducer stator electrodes 37 i , the electrode structure has an n-fold radial symmetry, n being just the total number of comb fingers 38 all actuator transducer stator electrodes 37 i corresponds.

Die einzelnen Aktuator-Wandler-Statorelektroden 37 i sind abgesehen von ihrer unterschiedlichen Anordnung auf dem Substrat 39 identisch ausgebildet. Dies ist nicht zwingend notwendig. Sie können auch unterschiedlich ausgebildet sein. Sie können insbesondere in Abhängigkeit der mechanischen Eigenschaften des Gelenks 32 ausgebildet sein. The individual actuator transducer stator electrodes 37 Apart from their different arrangement, i are on the substrate 39 identically formed. This is not mandatory. They can also be designed differently. In particular, depending on the mechanical properties of the joint 32 be educated.

Die Kammfinger 38 sind radial zum effektiven Schwenkpunkt 35, bzw. radial zur Ausrichtung der Flächennormalen 36 im unverschwenkten Neutralzustand des Einzelspiegels 20 angeordnet. The comb fingers 38 are radial to the effective pivot point 35 , or radially to the alignment of the surface normal 36 in the untwisted neutral state of the single mirror 20 arranged.

Bei Einzelspiegeln 20, deren Spiegelkörper 27 Abmessungen von 1 mm·1 mm aufweisen, weisen die Kammfinger 38 an ihrem in radialer Richtung äußeren Ende eine Dicke d von höchstens 5 µm auf. Allgemein liegt die maximale Dicke d der Kammfinger 38 an ihrem in Radialrichtung äußeren Ende im Bereich von 1 µm bis 20 µm, insbesondere im Bereich 3 µm bis 10 µm. For single mirrors 20 , whose mirror body 27 Dimensions of 1 mm x 1 mm, have the comb fingers 38 at its outer end in the radial direction has a thickness d of at most 5 microns. Generally, the maximum thickness d of the comb fingers 38 at its radially outer end in the range of 1 micron to 20 microns, in particular in the range of 3 microns to 10 microns.

Die Kammfinger 38 weisen eine Höhe h, das heißt eine Erstreckung in Richtung der Flächennormalen 36, im Bereich von 10 µm bis 100 µm, insbesondere im Bereich von 20 µm bis 50 µm auf. Andere Werte sind ebenfalls denkbar. Die Höhe h ist in Radialrichtung konstant. Sie kann auch in Radialrichtung abnehmen. Hierdurch können größere Kippwinkel ermöglicht werden, ohne dass dies dazu führt, dass die Kammfinger der Aktuator-Spiegelelektrode 42 auf die Grundplatte auftreffen. The comb fingers 38 have a height h, that is, an extension in the direction of the surface normal 36 , in the range of 10 .mu.m to 100 .mu.m, in particular in the range of 20 .mu.m to 50 .mu.m. Other values are also conceivable. The height h is constant in the radial direction. It can also decrease in the radial direction. As a result, larger tilt angles can be made possible without this leading to the comb fingers of the actuator mirror electrode 42 hit the base plate.

Benachbarte Kammfinger 38, 43 der Aktuatorelektroden 37 i einerseits und der Aktuator-Spiegelelektroden 42 andererseits weisen im unverschwenkten Zustand des Einzelspiegels 20 einen Mindestabstand im Bereich von 1 µm bis 10 µm, insbesondere im Bereich von 3 µm bis 7 µm, insbesondere von etwa 5 µm auf. Für Einzelspiegel 20 mit kleineren oder größeren Abmessungen können diese Werte entsprechend skaliert werden. Neighboring comb fingers 38 . 43 the actuator electrodes 37 i on the one hand and the actuator mirror electrodes 42 on the other hand, in the untwisted state of the individual mirror 20 a minimum distance in the range of 1 .mu.m to 10 .mu.m, in particular in the range of 3 .mu.m to 7 .mu.m, in particular of about 5 .mu.m. For individual mirrors 20 with smaller or larger dimensions, these values can be scaled accordingly.

Bei diesem Mindestabstand m handelt es sich um den minimalen Abstand benachbarter Spiegel- und Statorkammfinger gemessen im neutralen, unverschwenkten Zustand des Einzelspiegels 20. Bei einer Verkippung des Einzelspiegels 20 können sich die Kammfinger aneinander annähern. Der Mindestabstand m ist derart gewählt, dass es auch bei einer maximalen Verkippung des Einzelspiegels 20 nicht zu einer Kollision benachbarter Spiegel- und Statorkammfinger kommt. Hierbei sind auch Fertigungstoleranzen berücksichtigt. Derartige Fertigungstoleranzen liegen bei wenigen Mikrometern, insbesondere bei höchstens 3 µm, insbesondere höchstens 2 µm, insbesondere höchstens 1 µm. At this minimum distance m is the minimum distance between adjacent mirror and Storkkammfinger measured in the neutral, unverschwenkten state of the individual mirror 20 , At a tilt of the single mirror 20 the comb fingers can approach each other. The minimum distance m is chosen such that it is also at a maximum tilt of the individual mirror 20 does not come to a collision of adjacent mirror and Storkkammfinger. Here also manufacturing tolerances are considered. Such manufacturing tolerances are a few micrometers, in particular at most 3 .mu.m, in particular at most 2 .mu.m, in particular at most 1 .mu.m.

Die maximal mögliche Annäherung benachbarter Kammfinger 38, 43 lässt sich aus den geometrischen Details derselben und deren Anordnung sowie der maximal möglichen Verkippung des Einzelspiegels 20 auf einfache Weise bestimmen. Bei der vorliegenden Ausführungsform beträgt die maximale Annäherung benachbarter Kammfinger 38, 43 bei einer Verkippung des Einzelspiegels 20 um 100 mrad etwa 2 µm. Die maximale Annäherung beträgt insbesondere weniger als 10 µm, insbesondere weniger als 7 µm, insbesondere weniger als 5 µm, insbesondere weniger als 3 µm. The maximum possible approximation of neighboring comb fingers 38 . 43 can be derived from the geometric details of the same and their arrangement as well as the maximum possible tilting of the individual mirror 20 determine in a simple way. In the present embodiment, the maximum approximation of adjacent comb fingers 38 . 43 at a tilt of the individual mirror 20 around 100 mrad about 2 μm. The maximum approximation is in particular less than 10 μm, in particular less than 7 μm, in particular less than 5 μm, in particular less than 3 μm.

Die Aktuator-Wandler-Statorelektroden 37 i wirken jeweils mit einer Aktuator-Spiegelelektrode 42 zusammen. Die Aktuator-Spiegelelektrode 42 ist mit dem Spiegelkörper 27 verbunden. Die Aktuator-Spiegelelektrode 42 ist insbesondere mechanisch fix mit dem Spiegelkörper 27 verbunden. Die Aktuator-Wandler-Spiegelelektroden 42 bilden eine Gegenelektrode zu den Aktuator-Wandler-Statorelektroden 37 i. Sie werden daher auch einfach als Gegenelektrode bezeichnet. The actuator transducer stator electrodes 37 i each act with an actuator mirror electrode 42 together. The actuator mirror electrode 42 is with the mirror body 27 connected. The actuator mirror electrode 42 is in particular mechanically fixed to the mirror body 27 connected. The actuator-transducer mirror electrodes 42 form a counter electrode to the actuator transducer stator electrodes 37 i . They are therefore also referred to simply as the counter electrode.

Die Aktuator-Spiegelelektrode 42 bildet eine passive Elektrodenstruktur. Hierunter sei verstanden, dass die Aktuator-Spiegelelektrode 42 mit einer fixen, konstanten Spannung beaufschlagt wird. The actuator mirror electrode 42 forms a passive electrode structure. This is understood to mean that the actuator mirror electrode 42 is applied with a fixed, constant voltage.

Die Aktuator-Spiegelelektrode 42 ist komplementär zu den Aktuator-Wandler-Statorelektroden 37 i ausgebildet. Sie bildet insbesondere einen Ring mit Aktuator-Wandler Spiegel Kammfingern 43, welche im Folgenden vereinfachend auch als Spiegel-Kammfinger oder lediglich als Kammfinger 43 bezeichnet werden. Die Spiegel-Kammfinger 43 der Aktuator-Spiegelelektrode 42 entsprechen in ihren geometrischen Eigenschaften im Wesentlichen den Stator-Kammfingern 38 der Aktuator-Wandler-Statorelektroden 37 i.The actuator mirror electrode 42 is complementary to the actuator transducer stator electrodes 37 i trained. In particular, it forms a ring with actuator-transducer mirror comb fingers 43 , which in the following simplifying as a mirror comb finger or merely as a comb finger 43 be designated. The mirror comb fingers 43 the actuator mirror electrode 42 correspond in their geometric properties substantially the stator comb fingers 38 the actuator transducer stator electrodes 37 i .

Sämtliche der Kammfinger 38, 43 können dieselbe Höhe h, d. h. identische Abmessungen in Richtung der Flächennormalen 36 aufweisen. Dies erleichtert den Herstellungsprozess. All of the comb fingers 38 . 43 can have the same height h, ie identical dimensions in the direction of the surface normal 36 exhibit. This facilitates the manufacturing process.

Die Spiegel-Kammfinger 43 der Aktuator-Spiegelelektrode 42 können in Richtung der Flächennormalen 36 auch eine andere Höhe aufweisen als die Stator-Kammfinger 38 der aktiven Aktuator-Wandler-Statorelektroden 37 i. The mirror comb fingers 43 the actuator mirror electrode 42 can move in the direction of the surface normal 36 also have a different height than the stator comb fingers 38 active actuator transducer stator electrodes 37 i .

Die Kammfinger 38, 43 können eine in Radialrichtung abnehmende Höhe h aufweisen. Es ist auch möglich, die Kammfinger 38, 43 im Bereich der Ecken des optischen Bauelements 30 kürzer auszubilden als die übrigen Kammfinger 38, 43. Hierdurch kann ein größerer Kippwinkel des Einzelspiegels 20 ermöglicht werden. The comb fingers 38 . 43 may have a radially decreasing height h. It is also possible the comb fingers 38 . 43 in the region of the corners of the optical component 30 shorter than the other comb fingers 38 . 43 , This allows a larger tilt angle of the individual mirror 20 be enabled.

Die Aktuator-Spiegelelektrode 42 ist insbesondere derart ausgebildet, dass jeweils einer der Kammfinger 43 der Aktuator-Spiegelelektrode 42 in einem Zwischenraum zwischen zwei der Kammfinger 38 der Aktuator-Wandler-Statorelektroden 37 i eintauchen kann. The actuator mirror electrode 42 is in particular designed such that in each case one of the comb fingers 43 the actuator mirror electrode 42 in a space between two of the comb fingers 38 the actuator transducer stator electrodes 37 i can dive.

Die Aktuator-Spiegelelektrode 42 ist elektrisch leitfähig mit dem Spiegelkörper 27 verbunden. Ihre Kammfinger 43 sind daher äquipotential. Der Spiegelkörper 27 ist über eine elektrisch leitfähige Gelenkfeder niederohmig mit den Grundplatte verbunden. Prinzipiell kann man auch das Spiegelsubstrat, das heißt den Spiegelkörper 27, die Aktuator-Spiegelelektroden 42 und Sensor-Spiegelelektroden 45 über getrennte Zuleitungen über das Festkörpergelenk 32 individuell elektrisch anbinden und so beispielsweise auf unterschiedliche Potentiale legen oder bezüglich Störungen und/oder Übersprechen entkoppeln. Die Grundplatte kann auf Erde liegen muss aber nicht. Alternativ kann man der Spiegel über leitfähige Gelenkfeder auf einem anderen mit einer Spannungsquelle verbunden jedoch von der Spiegelplatte galvanische entkoppelt sein. Hierdurch ist es möglich den Spiegel mit einer festen oder variablen Bias Spannung zu beaufschlagen. The actuator mirror electrode 42 is electrically conductive with the mirror body 27 connected. Your comb fingers 43 are therefore equipotential. The mirror body 27 is connected via an electrically conductive hinge spring low resistance to the base plate. In principle, one can also use the mirror substrate, that is the mirror body 27 , the actuator mirror electrodes 42 and sensor mirror electrodes 45 via separate supply lines via the solid-state joint 32 Individually electrically connect and so for example, to different potentials or decouple in terms of interference and / or crosstalk. The base plate may be on earth but does not have to. Alternatively, the mirror can be electrically decoupled from the mirror plate via a conductive hinge spring on another connected to a voltage source. This makes it possible to apply the mirror with a fixed or variable bias voltage.

Die Aktuator-Wandler-Statorelektroden 37 i sind zur Verschwenkung des Einzelspiegels 20 mit einer Aktuator-Spannung UA beaufschlagbar. Die Aktuator-Wandler-Statorelektroden 37 i werden daher auch als aktive Aktuator-Wandler-Statorelektroden 37 i bezeichnet. Zur Beaufschlagung der Aktuator-Wandler-Statorelektroden 37 i mit der Aktuator-Spannung UA ist eine in den Figuren nicht dargestellte Spannungsquelle vorgesehen. Die Aktuator-Spannung UA, beträgt höchstens 200 Volt, insbesondere höchstens 100 Volt. Durch geeignete Beaufschlagung einer Auswahl der Aktuator-Wandler-Statorelektroden 37 i mit der Aktuator-Spannung UA kann der Einzelspiegel 20 um bis zu 50 mrad, insbesondere bis zu 100 mrad, insbesondere bis 150mrad aus einer Neutralstellung verkippt werden. Alternativ können die Aktuoren auch mit einer Ladungsquelle (Stromquelle) angesteuert werden. The actuator transducer stator electrodes 37 i are for pivoting the single mirror 20 acted upon by an actuator voltage U A. The actuator transducer stator electrodes 37 i , therefore, also become active actuator transducer stator electrodes 37 i denotes. For acting on the actuator converter stator electrodes 37 i with the actuator voltage U A , a voltage source not shown in the figures is provided. The actuator voltage U A , is at most 200 volts, in particular at most 100 volts. By appropriate action on a selection of the actuator transducer stator electrodes 37 i with the actuator voltage U A can the individual mirror 20 be tilted from a neutral position by up to 50 mrad, in particular up to 100 mrad, in particular to 150mrad. Alternatively, the actuators can also be controlled with a charge source (current source).

Die unterschiedlichen Aktuator-Wandler-Statorelektroden 37 i können zur Verschwenkung des Einzelspiegels 20 mit unterschiedlichen Aktuator-Spannungen UAi beaufschlagt werden. Zur Steuerung der Aktuator-Spannungen UAi ist eine in den Figuren nicht dargestellte Steuer-Einrichtung vorgesehen. The Different Actuator Converter Stator Electrodes 37 i can be used to pivot the individual mirror 20 be acted upon with different actuator voltages U Ai . To control the actuator voltages U Ai a control device not shown in the figures is provided.

Zur Verkippung eines der Einzelspiegel 20 wird an eine der Aktuator-Wandler-Statorelektroden 37 i eine Aktuator-Spannung UA angelegt. Gleichzeitig wird an der bezüglich der Flächennormalen 36 gegenüberliegenden Aktuator-Wandler-Statorelektrode 37 j eine hiervon abweichende Aktuator-Spannung UA2 ≠ UA1 angelegt. Hierbei kann UA2 = 0 Volt sein. Es ist insbesondere möglich, ausschließlich eine der Aktuator-Wandler-Statorelektroden 37 i mit der Aktuator-Spannung UA1 zu beaufschlagen, während sämtliche anderen Aktuator-Wandler-Statorelektroden 37 j auf einer Spannung von 0 Volt gehalten werden. For tilting one of the individual mirrors 20 is applied to one of the actuator transducer stator electrodes 37 i an actuator voltage U A applied. At the same time, with respect to the surface normal 36 opposite actuator transducer stator electrode 37 j a deviating actuator voltage U A2 ≠ U A1 created. Here, U A2 = 0 volts. In particular, it is possible to use only one of the actuator-stator electrodes 37 i to apply to the actuator voltage U A1 , while all other actuator-stator electrodes 37 j be kept at a voltage of 0 volts.

Bei einer Verkippung des Einzelspiegels 20 tauchen die Kammfinger der Aktuator-Spiegelelektrode 42 auf einer Seite, insbesondere im Bereich der mit der Aktuator-Spannung UA beaufschlagten Aktuator-Wandler-Statorelektrode 37 i tiefer zwischen die Kammfinger 38 dieser Aktuator-Wandler-Statorelektrode 37 i ein. Auf der gegenüberliegenden Seite der Kippachse 33 taucht die Aktuator-Spiegelelektrode 42 weniger tief in die Aktuator-Wandler-Statorelektroden 37 j ein. Es kann sogar zu einem zumindest bereichsweisen Austauchen der Aktuator-Spiegelelektrode 42 aus den Aktuator-Wandler-Statorelektroden 37 j kommen. At a tilt of the single mirror 20 dive the comb fingers of the actuator mirror electrode 42 on one side, in particular in the region of the actuator transformer stator electrode acted upon by the actuator voltage U A 37 i lower between the comb fingers 38 this actuator-converter stator electrode 37 i . On the opposite side of the tilt axis 33 dips the actuator mirror electrode 42 less deep into the actuator transducer stator electrodes 37 j . It may even lead to an at least partial removal of the actuator mirror electrode 42 from the actuator transducer stator electrodes 37 j come.

Der Kammüberlapp, das heißt die Eintauchtiefe der Aktuator-Spiegelelektrode 42 zwischen die Aktuator-Wandler-Statorelektroden 37 i beträgt bei einer Spiegeldimension von etwa 0.5mm × 0.5mm in der Neutralstellung des Einzelspiegels 20 30 µm. The comb overlap, that is the immersion depth of the actuator mirror electrode 42 between the actuator-stator electrodes 37 i is at a mirror dimension of about 0.5mm × 0.5mm in the neutral position of the single mirror 20 30 μm.

Zur Erfassung der Verschwenkposition des Einzelspiegels 20 ist eine Sensor-Einrichtung mit einer Sensor-Einheit und einer Abschirm-Einheit vorgesehen. Die Sensor-Einrichtung kann einen Bestandteil der Aktuator-Einrichtung 31 bilden. For detecting the pivoting position of the individual mirror 20 a sensor device with a sensor unit and a shielding unit is provided. The sensor device may be part of the actuator device 31 form.

Die Sensor-Einheit umfasst vier Sensor-Elektroden 44 1 bis 44 4. The sensor unit comprises four sensor electrodes 44 1 to 44 4 .

Die Sensor-Elektroden 44 1 bis 44 4 sind bei der Variante gemäß 3 jeweils in den Ecken des Substrats 39 angeordnet. Sie sind außerhalb des Rings der Aktuator-Elektroden 37 i angeordnet. Bei der in 3 dargestellten Variante sind die Sensor-Elektroden 44 1 bis 44 4 relativ zu den Kippachsen 33, 34 des Gelenks 32 um 45 ° versetzt angeordnet. The sensor electrodes 44 1 to 44 4 are in the variant according to 3 each in the corners of the substrate 39 arranged. They are outside the ring of the actuator electrodes 37 i arranged. At the in 3 variant shown are the sensor electrodes 44 1 to 44 4 relative to the tilt axes 33 . 34 of the joint 32 arranged offset by 45 °.

Die Sensor-Elektroden 44 i sind als Kamm-Elektroden ausgebildet. Die Sensor-Elektroden 44i umfassen jeweils eine Sender-Elektrode 47 und eine Empfänger-Elektrode 48. Sowohl die Sender-Elektrode 47 als auch die Empfänger-Elektrode 48 weisen eine Kammstruktur auf. Sie umfassen insbesondere eine Mehrzahl von Kamm-Fingern. Die Kamm-Finger der Sender-Elektrode 47 sind insbesondere alternierend zu den Kamm-Fingern der Empfänger-Elektrode 48 angeordnet. The sensor electrodes 44 i are designed as comb electrodes. The sensor electrodes 44 i each comprise a transmitter electrode 47 and a receiver electrode 48 , Both the transmitter electrode 47 as well as the receiver electrode 48 have a comb structure. In particular, they comprise a plurality of comb fingers. The comb fingers of the transmitter electrode 47 are in particular alternating with the comb fingers of the receiver electrode 48 arranged.

Außerdem umfasst die Sensor-Einrichtung für jede der Sensor-Elektroden 44 i eine Abschirm-Einheit 45. Die Abschirm-Einheit 45 umfasst Abschirm-Elemente 46 in Form von Kamm-Fingern. Die Abschirm-Einheit 45 ist entsprechend einer Gegenelektrode passend zu den Sensor-Elektroden 44 i ausgebildet. Sie ist fix mit dem Spiegelkörper 27 verbunden. Sie ist in den Ecken des Spiegelkörpers 27 angeordnet. Bei einer Verkippung des Einzelspiegels 20 kann die Abschirm-Einheit 45 unterschiedlich tief zwischen die Kamm-Finger der Sensor-Elektroden 44 i eintauchen. Hierdurch kommt es zu einer variablen Abschirmung benachbarter Kamm-Finger. Dies führt dazu, dass sich die Kapazität zwischen den benachbarten Kamm-Fingern der Sensor-Elektroden 44 i bei einer Verschwenkung des Einzelspiegels 20 ändert. Die Kapazitätsänderung kann gemessen werden. Hierzu sind die Eingänge eines Messgeräts alternierend mit den Kamm-Fingern der Sensor-Elektroden 44 i verbunden. In addition, the sensor device comprises for each of the sensor electrodes 44 i a shielding unit 45 , The shielding unit 45 includes shielding elements 46 in the form of comb fingers. The shielding unit 45 is according to a counter electrode suitable for the sensor electrodes 44 i trained. It is fix with the mirror body 27 connected. It is in the corners of the mirror body 27 arranged. At a tilt of the single mirror 20 can the shielding unit 45 different deep between the comb fingers of the sensor electrodes 44 i dive. This results in a variable shielding of adjacent comb fingers. This causes the capacitance between the adjacent comb fingers of the sensor electrodes 44 i at a pivoting of the individual mirror 20 changes. The capacity change can be measured. For this purpose, the inputs of a measuring device are alternating with the comb fingers of the sensor electrodes 44 i connected.

Im Folgenden wird unter Bezugnahme auf die 6 eine weitere Variante des optischen Bauelements 30 beschrieben. Das optische Bauelement 30 gemäß der in der 6 schematisch dargestellten Variante entspricht einer der vorhergehend beschriebenen Varianten, auf die hiermit verwiesen wird. The following is with reference to the 6 a further variant of the optical component 30 described. The optical component 30 according to the in the 6 schematically represented variant corresponds to one of the previously described variants, to which reference is hereby made.

Gemäß der in 6 schematisch dargestellten Variante ist vorgesehen, auf der dem Gelenk 32 in Richtung der Flächennormalen 36 gegenüberliegenden Seite des Spiegelkörpers 27 ein Ausgleichsgewicht 64 anzuordnen. Das Ausgleichsgewicht 64 ist fix mit dem Spiegelkörper 27 verbunden. Es ist insbesondere direkt mit dem Spiegelkörper 27 verbunden. According to the in 6 schematically illustrated variant is provided on the joint 32 in the direction of the surface normals 36 opposite side of the mirror body 27 a balance weight 64 to arrange. The balance weight 64 is fix with the mirror body 27 connected. It is especially direct with the mirror body 27 connected.

Das Ausgleichsgewicht 64 ist derart ausgebildet und angeordnet, dass der Massenschwerpunkt 65 des mechanischen Systems, welches sämtliche zusammen mit dem Spiegelkörper 27 des Einzelspiegels 20 beweglichen Bestandteile des optischen Bauelements 30 umfasst, gerade mit dem effektiven Schwenkpunkt 35 zusammenfällt. Durch eine gezielte Ausbildung und Anordnung des Ausgleichsgewichts 64 kann der Massenschwerpunkt 65 gezielt verlagert werden. Durch eine Verlagerung des Massenschwerpunkts 65 derart, dass seine Position mit der des effektiven Schwenkpunkts 35 zusammenfällt, lässt sich die Sensitivität des Einzelspiegels gegenüber externen Störungen erheblich verringern. Außerdem werden parasitäre Eigenmoden in einem Hochfrequenz-Bereich gehalten, welcher ausreichend weit vom Frequenzspektrum, welches bei einer Verlagerung des Einzelspiegels 20 mittels der Aktuator-Einrichtung auftreten, entfernt sind. The balance weight 64 is formed and arranged such that the center of mass 65 the mechanical system, which all together with the mirror body 27 of the single mirror 20 movable components of the optical component 30 includes, just with the effective pivot point 35 coincides. Through a targeted training and arrangement of the balance weight 64 can be the center of mass 65 be selectively shifted. By shifting the center of mass 65 such that its position coincides with that of the effective pivot point 35 coincidence, the sensitivity of the individual mirror to external disturbances can be significantly reduced. In addition, parasitic eigenmodes are kept in a high-frequency range, which is sufficiently far from the frequency spectrum, which in a shift of the individual mirror 20 occur by means of the actuator device are removed.

Mittels des Ausgleichsgewichts 64 kann erreicht werden, dass der Massenschwerpunkt 65 des mechanischen Systems, welcher prinzipbedingt aus dem effektiven Schwenkpunkt 35 des Gelenks 32 herausverschoben sein kann, in den effektiven Schwenkpunkt 35 zurückverschoben wird. By means of the balance weight 64 can be achieved that the center of mass 65 of the mechanical system, which inherently out of the effective pivot point 35 of the joint 32 can be shifted out, in the effective pivot point 35 is moved back.

Das Ausgleichsgewicht 64 kann in Richtung der Flächennormalen 36 eine Länge von bis zu 500 µm, insbesondere bis zu 1mm aufweisen. The balance weight 64 can move in the direction of the surface normal 36 have a length of up to 500 microns, in particular up to 1mm.

Für weitere Details dieser Variante sei auf die DE 10 2015 204 874.8 verwiesen. For more details of this variant, see the DE 10 2015 204 874.8 directed.

Im Folgenden wird ein Verfahren zur Herstellung des Spiegelarrays 19, insbesondere der optischen Bauelemente 30 mit den Einzelspiegeln 20 beschrieben. Allgemein handelt es sich bei den optischen Bauelementen 30 um mikroelektromechanische Bauelemente (MEMS-Bauelemente). Es kann sich, muss sich jedoch nicht um Mikrospiegel handeln. Die Verwendung des erfindungsgemäßen Verfahrens zur Herstellung von Mikrospiegeln ist lediglich eine vorteilhafte Anwendungsmöglichkeit des erfindungsgemäßen Verfahrens, insbesondere in Zusammenhang mit Projektionsbelichtungsanlagen für die Mikrolithographie, insbesondere für die EUV-Lithographie. The following is a method of manufacturing the mirror array 19 , in particular the optical components 30 with the individual mirrors 20 described. Generally, the optical devices are 30 around microelectromechanical devices (MEMS devices). It may or may not be micromirrors. The use of the method according to the invention for producing micromirrors is merely one advantageous application possibility of the method according to the invention, in particular in connection with projection exposure systems for microlithography, in particular for EUV lithography.

Die Spiegelarrays 19 sind dicht gepackt mit Einzelspiegeln 20, welche jeweils eine komplexe dreidimensionale Struktur aufweisen. Die Einzelspiegel 20 sind insbesondere jeweils mit dem Gelenk 32, der Aktuator-Einrichtung 31, den Sensoren 44, anwendungsspezifischen integrierten Schaltungen (ASICs, application specific integrated circuits) sowie horizontalen und vertikalen Daten- und/oder Stromleitungen versehen. Die meisten dieser Bestandteile sind im fertigen Spiegelarray 19 unzugänglich. Sie sind insbesondere unzugänglich im Inneren der optischen Bauelemente 30 angeordnet. Gemäß der vorliegenden Erfindung ist vorgesehen, die optischen Bauelemente 30, insbesondere die Einzelspiegel 20, aus mehreren separaten Fügeteilen auszubilden, welche erst nach der Herstellung von funktionellen Strukturen oder Teilen derselben zusammengefügt werden. Die Einzelspiegel 20 werden mit anderen Worten zunächst in einem auseinandergenommenen Zustand hergestellt. Hierbei werden jeweils zwei oder mehr Fügeteile 71, 72 separat hergestellt. Die Fügeteile 71, 72 werden jeweils durch vertikale Strukturierungsschritte hergestellt. Die Fügeteile 71, 72 enthalten insbesondere ausschließlich konvexe, freiliegende und zugängliche Elemente. The mirror arrays 19 are densely packed with individual mirrors 20 which each have a complex three-dimensional structure. The individual mirrors 20 are in particular each with the joint 32 , the actuator device 31 , the sensors 44 , application specific integrated circuits (ASICs) as well as horizontal and vertical data and / or power lines provided. Most of these ingredients are in the finished mirror array 19 inaccessible. They are in particular inaccessible inside the optical components 30 arranged. According to the present invention, the optical components are provided 30 , especially the individual mirrors 20 to form from several separate joining parts, which are joined together only after the production of functional structures or parts thereof. The individual mirrors 20 In other words, they are first produced in a disassembled state. In each case, two or more parts to be joined 71 . 72 made separately. The parts to be joined 71 . 72 are each made by vertical structuring steps. The parts to be joined 71 . 72 contain in particular exclusively convex, exposed and accessible elements.

Bei den funktionellen Strukturen handelt es sich, wie vorhergehend beschrieben, um mechanische und/oder elektrische Strukturen. As previously described, the functional structures are mechanical and / or electrical structures.

Die Fügeteile 71, 72 werden in einem Fügeschritt 73 zusammengefügt. Sie werden insbesondere erst nach der Herstellung der funktionellen Strukturen zusammengefügt. Optional kann anschließend ein Löseschritt zum Loslösen des oder der beweglichen Teile vorgesehen sein. The parts to be joined 71 . 72 be in a joining step 73 together. In particular, they are joined together after the functional structures have been produced. Optionally, a release step for releasing the movable part or parts can then be provided.

Das erfindungsgemäße Herstellungsverfahren bietet den Vorteil einer schnelleren Herstellung und einer höheren Ausbeute. Es ist insbesondere möglich, die Fügeteile 71, 72 in parallelen Prozessen herzustellen. Es ist insbesondere möglich, mehrere Fügeteile 71, 72 in unabhängigen Prozessen, insbesondere auch gleichzeitig herzustellen. The production process according to the invention offers the advantage of a faster production and a higher yield. It is possible in particular, the joining parts 71 . 72 in parallel processes. It is possible in particular, several parts to be joined 71 . 72 in independent processes, especially at the same time.

Die Fügeteile 71, 72 können vor dem Zusammenfügen getestet werden. Sie können insbesondere vor dem Zusammenfügen einer Qualitätskontrolle unterzogen werden. Hierbei können fehlerhafte Fügeteile 71, 72 aussortiert werden. The parts to be joined 71 . 72 can be tested before joining. In particular, they may be subjected to quality control prior to assembly. This can be faulty joining parts 71 . 72 be sorted out.

Die Fügeteile 71, 72 können mittels Massen-Mikrobearbeitungsverfahren (Volumen-Mirkomechanik) hergestellt werden. The parts to be joined 71 . 72 can be made by mass micro-machining (volume micro-mechanics).

Die Fügeteile 71, 72 werden durch einen oder mehrere Bondingschritte, insbesondere sogenannte Wafer-Level-Bondingschritte, zusammengefügt. Nach dem Zusammenfügen können Löse-Schritte zum Loslösen der beweglichen Teile und/oder Vereinzelungsschritte vorgesehen sein. The parts to be joined 71 . 72 are joined together by one or more bonding steps, in particular so-called wafer-level bonding steps. After assembly, detachment steps for releasing the moving parts and / or separating steps can be provided.

Die Fügeteile 71, 72 weisen eine vollständige CMOS-Kompatibilität auf. The parts to be joined 71 . 72 have full CMOS compatibility.

Die Fügeteile 71, 72 werden insbesondere aus monokristallinem Silizium beziehungsweise aus mehrlagigen Substraten 74, 75, welche Schichten oder zumindest Bereiche aus monokristallinem Silizium aufweisen, hergestellt. Erfindungsgemäß wurde erkannt, dass monokristallines Silizium vorteilhafte sowie insbesondere, voraussagbare und reproduzierbare mechanische, elektrische und thermische Eigenschaften hat. Insbesondere die Gelenke 32 mit den Biegefedern 50, 51 und/oder der Spiegelkörper 27 und/oder die Kamm-Finger 38, 43 können zumindest teilweise, insbesondere vollständig, aus monokristallinem Silizium hergestellt sein. The parts to be joined 71 . 72 are in particular made of monocrystalline silicon or of multilayer substrates 74 . 75 , which layers or at least regions of monocrystalline silicon, produced. According to the invention, it has been recognized that monocrystalline silicon has advantageous, and in particular, predictable and reproducible mechanical, electrical and thermal properties. Especially the joints 32 with the bending springs 50 . 51 and / or the mirror body 27 and / or the comb fingers 38 . 43 may be at least partially, in particular completely, made of monocrystalline silicon.

Das erfindungsgemäße Verfahren wird im Folgenden im Zusammenhang mit der Herstellung der vorhergehend beschriebenen optischen Bauelemente 30 mit zirkulären Kamm-Elektroden beschrieben. Dies stellt lediglich einen exemplarischen Spezialfall dar. Das erfindungsgemäße Verfahren eignet sich entsprechend auch zur Herstellung von Bauelementen, insbesondere MEMS-Bauelementen, insbesondere MEMS-Spiegeln mit einer alternativen Struktur, insbesondere mit einem alternativen Aktuator-und/oder Sensor-Konzept. The method according to the invention will be described below in connection with the production of the optical components described above 30 described with circular comb electrodes. This represents merely an exemplary special case. The inventive method is correspondingly also suitable for the production of components, in particular MEMS components, in particular MEMS mirrors with an alternative structure, in particular with an alternative actuator and / or sensor concept.

Das optische Bauelement 30 mit dem Einzelspiegel 20 und der Aktuator-Einrichtung 31 und Sensor-Einrichtung 44 mit Kammelektroden dient als Beispiel für eine komplexe, echt dreidimensionale Struktur. Unter einer echt dreidimensionalen Struktur sei hierbei insbesondere verstanden, dass die funktionellen Strukturen, insbesondere die Aktuator- und/oder Sensor-Elektroden, nicht in einer gemeinsamen funktionellen Ebene liegen, sondern in Richtung senkrecht zu einer gemeinsamen Ebene, insbesondere zu einer Ebene parallel zur Reflexionsfläche des Einzelspiegels 20, eine Erstreckung von mindestens 30 µm, insbesondere mindestens 50 µm, insbesondere mindestens 70 µm aufweisen. Die Erstreckung in Richtung senkrecht zur Reflexionsfläche des Einzelspiegels 20 kann insbesondere mindestens 0,1mal, insbesondere 0,2mal, insbesondere mindestens 0,3mal, insbesondere mindestens 0,4mal, insbesondere mindestens 0,5mal so groß sein wie eine Kantenlänge der Reflexionsfläche des Einzelspiegels 20. The optical component 30 with the individual mirror 20 and the actuator device 31 and sensor device 44 with comb electrodes serves as an example of a complex, truly three-dimensional structure. In this case, a true three-dimensional structure should be understood in particular to mean that the functional structures, in particular the actuator and / or sensor electrodes, do not lie in a common functional plane but in a direction perpendicular to a common plane, in particular to a plane parallel to the reflection surface of the single mirror 20 , an extension of at least 30 .mu.m, in particular at least 50 .mu.m, in particular at least 70 .mu.m. The extent in the direction perpendicular to the reflection surface of the individual mirror 20 can be at least 0.1 times, in particular 0.2 times, in particular at least 0.3 times, in particular at least 0.4 times, in particular at least 0.5 times as large as one Edge length of the reflection surface of the individual mirror 20 ,

Im Folgenden wird eine exemplarische Abfolge der Herstellungsschritte zur Herstellung des optischen Bauelements 30, insbesondere zur Herstellung der Fügeteile 71, 72, beschrieben. In den 7A bis 7E und 9A bis 9K ist zur Verdeutlichung des erfindungsgemäßen Verfahrens jeweils eine Abfolge von Zwischenprodukten bei der Herstellung des ersten Fügeteils 71 (7A bis 7E) beziehungsweise des zweiten Fügeteils 72 (9A bis 9K) dargestellt. In den 12A bis 12E sind weitere Verfahrensschritte dargestellt, welche an beiden Fügeteilen 71, 72 beim Zusammenfügen derselben und danach durchgeführt werden. In the following, an exemplary sequence of the manufacturing steps for the production of the optical component 30 , in particular for the production of the parts to be joined 71 . 72 , described. In the 7A to 7E and 9A to 9K To illustrate the method according to the invention, in each case a sequence of intermediate products during the production of the first joining part 71 ( 7A to 7E ) or the second joining part 72 ( 9A to 9K ). In the 12A to 12E further process steps are shown, which at both joining parts 71 . 72 when merging the same and then performed.

Zur Herstellung des ersten Fügeteils 71 aus dem ersten Substrat 74 sind insbesondere vertikale Strukturierungsschritte, insbesondere ausschließlich vertikale Strukturierungsschritte, vorgesehen. Zur Herstellung des zweiten Fügeteils 72 aus dem zweiten Substrat 75 sind vertikale Strukturierungsschritte, insbesondere ausschließlich vertikale Strukturierungsschritte, vorgesehen. Zur Herstellung des ersten Fügeteils 71 sind insbesondere ausschließlich Ätzschritte, insbesondere isotrope und/oder anisotrope Ätzschritte und/oder reaktives Ionenätzen vorgesehen. For the production of the first part to be joined 71 from the first substrate 74 in particular, vertical structuring steps, in particular exclusively vertical structuring steps, are provided. For the production of the second joining part 72 from the second substrate 75 are vertical structuring steps, in particular exclusively vertical structuring steps, provided. For the production of the first part to be joined 71 In particular, etching steps are provided exclusively, in particular isotropic and / or anisotropic etching steps and / or reactive ion etching.

Als Ausgangspunkt zur Herstellung des ersten Fügeteils 71 dient das erste Substrat 74. Das erste Substrat 74 ist mehrlagig ausgebildet. Es umfasst eine erste Schicht 76 aus Siliziumdioxid und zwei auf einander gegenüberliegenden Seiten der ersten Schicht 76 angeordnete Lagen 77, 78. Die Lagen 77, 78 sind aus Silizium, insbesondere aus monokristallinem Silizium. Beim ersten Substrat 74 handelt es sich mit anderen Worten um einen sogenannten Silizium-auf-Isolator-Wafer (SOI-Wafer, silicon on insulator wafer). As a starting point for the production of the first part to be joined 71 serves the first substrate 74 , The first substrate 74 is multi-layered. It includes a first layer 76 of silicon dioxide and two opposite sides of the first layer 76 arranged layers 77 . 78 , The layers 77 . 78 are made of silicon, in particular of monocrystalline silicon. At the first substrate 74 in other words, it is a so-called silicon-on-insulator wafer (SOI wafer, silicon on insulator wafer).

Die Lagen 77, 78 weisen in Richtung einer Flächennormalen 89 jeweils eine Erstreckung in der Größenordnung von 100 µm auf. Sie können gleich dick ausgebildet sein. Sie können auch unterschiedlich dick ausgebildet sein. Ihre Erstreckung in Richtung der Flächennormalen 89 beträgt insbesondere mindestens 20 µm, insbesondere mindestens 30 µm, insbesondere mindestens 50 µm, insbesondere mindestens 100 µm. Sie beträgt insbesondere höchstens 1 mm, insbesondere höchstens 500 µm, insbesondere höchstens 300 µm. The layers 77 . 78 point in the direction of a surface normal 89 each an extent of the order of 100 microns. They can be made equally thick. You can also be designed differently thick. Their extension in the direction of the surface normals 89 is in particular at least 20 .mu.m, in particular at least 30 .mu.m, in particular at least 50 .mu.m, in particular at least 100 .mu.m. In particular, it is at most 1 mm, in particular at most 500 μm, in particular at most 300 μm.

Die obere Lage 77 dient zur Ausbildung des Spiegelkörpers 27. Die untere Lage 78 dient zur Ausbildung funktioneller Strukturen, insbesondere der Kammfinger 43 der Aktuator-Einrichtung 31. The upper layer 77 serves to form the mirror body 27 , The lower layer 78 serves to form functional structures, in particular the comb fingers 43 the actuator device 31 ,

Das erste Substrat 74 wird auch als Spiegel-Wafer bezeichnet. The first substrate 74 is also referred to as a mirror wafer.

In einem ersten Ätz-Schritt 79 werden Öffnungen 80 in die untere Lage 78 und die Siliziumdioxidschicht 76 geätzt. Zur Bestimmung der Lage der Öffnungen 80 ist eine Maske aus Photoresist vorgesehen. Die Öffnungen 80 dienen dazu, einen elektrischen Zugang und Kontakt zum Spiegelkörper 27 zu schaffen. In a first etching step 79 be openings 80 in the lower position 78 and the silicon dioxide layer 76 etched. To determine the position of the openings 80 a mask of photoresist is provided. The openings 80 serve to provide electrical access and contact with the mirror body 27 to accomplish.

Die Öffnungen 80 zwischen den Kamm-Fingern 43 ermöglichen einen elektrischen Kontakt zwischen den Aktuator- und/oder Sensor-Kamm-Fingern 43 und dem Spiegelkörper 27, welcher durch die obere Lage 77 gebildet wird. Die Öffnungen 80 können im ersten Ätzschritt 79 als umlaufende Ringstruktur, das heißt als zusammenhängende Öffnung 80, ausgebildet werden. Die Öffnung/Öffnungen 80 weisen in Richtung senkrecht zur Flächennormalen 89 eine Breite von einigen µm auf. The openings 80 between the comb fingers 43 allow electrical contact between the actuator and / or sensor comb fingers 43 and the mirror body 27 passing through the upper layer 77 is formed. The openings 80 can in the first etching step 79 as a circumferential ring structure, that is as a contiguous opening 80 , be formed. The opening / openings 80 point in the direction perpendicular to the surface normal 89 a width of a few microns.

In einem darauffolgenden Auffüllschritt 81 werden die Öffnungen 80 mit dotiertem polykristallinem Silizium aufgefüllt. Sodann wird die freie Oberfläche der unteren Lage 78 wieder geglättet. Hierfür ist eine chemomechanische Politur (CMP) vorgesehen. In a subsequent filling step 81 be the openings 80 filled with doped polycrystalline silicon. Then the free surface of the lower layer 78 smoothed out again. For this purpose, a chemomechanical polish (CMP) is provided.

Optional kann vorgesehen sein, Siliziumdioxid-Abscheidungen 82 über den seitlichen Öffnungen 80 abzuscheiden, um die Positionen der Kamm-Finger 43 zu definieren. Zur Abscheidung der Siliziumdioxid-Abscheiden 82 kann insbesondere ein plasmaunterstütztes chemisches Dampfabscheidungsverfahren (PECVD, plasma enhanced chemical vapor deposition) vorgesehen sein. Optionally, silicon dioxide deposits may be provided 82 over the side openings 80 to dismiss the positions of the comb fingers 43 define. For the deposition of silicon dioxide deposition 82 In particular, a plasma-enhanced chemical vapor deposition (PECVD) process can be provided.

Die Siliziumdioxidabscheidung 82 an der Position der späteren Kamm-Finger 43 ist optional. Sie kann für eine Doppel-Maskierung verwendet werden. Hierdurch kann die Position der Kamm-Finger 43 besser, insbesondere sicherer, vorherbestimmt werden. Durch die Siliziumdioxidabscheidung 82 kann insbesondere eine laterale Degradation der Maske 85 oberhalb der Kamm-Finger 43 während des vertikalen Ätzens 88 vermieden werden. Mittels der Siliziumdioxidabscheidung 82 kann insbesondere die Präzision für die Herstellung der Kamm-Finger 43 verbessert werden. The silicon dioxide separation 82 at the position of the later comb fingers 43 is optional. It can be used for double masking. This can change the position of the comb fingers 43 better, especially safer, to be predestined. Through the silicon dioxide separation 82 In particular, a lateral degradation of the mask 85 above the comb fingers 43 during vertical etching 88 be avoided. By means of the silicon dioxide separation 82 In particular, the precision for the production of the comb-fingers 43 be improved.

In einem zweiten Ätzschritt 83 wird sodann eine Stufe 84 in die untere Lage 78 geätzt. Die Stufe 84 hat eine Höhe, welche gerade dem Unterschied zwischen der Höhe der Kamm-Finger 43 und der Ausdehnung des Verbindungsblocks 53 in Richtung senkrecht zur Reflexionsfläche des Einzelspiegels 20 entspricht. Für den zweiten Ätzschritt 83 wird wiederum eine Maske 85 aus Photoresist auf die freie Oberfläche der unteren Lage 78 aufgebracht. In a second etching step 83 then becomes a stage 84 in the lower position 78 etched. The stage 84 has a height which is just the difference between the height of the comb fingers 43 and the extent of the connection block 53 in the direction perpendicular to the reflection surface of the individual mirror 20 equivalent. For the second etching step 83 in turn becomes a mask 85 made of photoresist on the free surface of the lower layer 78 applied.

Außerdem wird optional im zweiten Ätzschritt 83 eine mechanische Justierungsstruktur 86 erzeugt. Die Justierungsstruktur 86 ist in einem Randbereich, insbesondere seitlich des eigentlichen Einzelspiegels 20, angeordnet. Die Justierungsstruktur 86 umfasst insbesondere eine matrixartige Anordnung von Säulen und Löchern. Sie umfasst vorzugsweise zylindrische, insbesondere kreiszylindrische Löcher, insbesondere sacklochartige Strukturen. Sie kann außerdem Spitzen oder Zähne umfassen, welche entlang der kristallographischen Ebenen des Siliziums ausgerichtet sind. Beim Substrat kann es sich insbesondere um ein {100} Substrat oder ein {110} Substrat handeln. It also becomes optional in the second etching step 83 a mechanical adjustment structure 86 generated. The adjustment structure 86 is in an edge region, in particular laterally of the actual individual mirror 20 arranged. The adjustment structure 86 includes in particular a matrix-like arrangement of columns and holes. It preferably comprises cylindrical, in particular circular cylindrical holes, in particular blind-hole-like structures. It may also include tips or teeth aligned along the crystallographic planes of the silicon. In particular, the substrate may be a {100} substrate or a {110} substrate.

Die Justierungsstruktur 86 dient der exakten Ausrichtung der Fügeteile 71, 72 relativ zueinander. Sie dient insbesondere der lateralen Justierung der Fügeteile relativ zueinander. Hierdurch kann eine Beschädigung der funktionellen Strukturierung, insbesondere beim Zusammenfügen der Fügeteile 71, 72 verhindert werden. The adjustment structure 86 serves the exact alignment of the parts to be joined 71 . 72 relative to each other. In particular, it serves for the lateral adjustment of the joining parts relative to each other. This can damage the functional structuring, especially when joining the parts to be joined 71 . 72 be prevented.

Nach Entfernen der Maske 85 wird eine weitere Maske 87 aus Photoresist auf die freie Oberfläche der unteren Lage 78 aufgebracht, um die Lage der Kamm-Finger 43 und die Lage des oberen Verbindungsblocks 53 des Gelenks 32 zu definieren. Sodann wird in einem dritten Ätzschritt 88 das monokristalline Silizium der unteren Lage 78 bis auf die Siliziumdioxidschicht 76 entfernt. Hierdurch werden die beweglichen Aktuator- und/oder Sensor-Kamm-Finger 43 und der obere Verbindungsblock 53 ausgebildet. Als Ätzstopp dient die vergrabene Siliziumdioxidschicht 76. After removing the mask 85 will be another mask 87 made of photoresist on the free surface of the lower layer 78 Applied to the location of the comb fingers 43 and the location of the upper connection block 53 of the joint 32 define. Then, in a third etching step 88 the monocrystalline silicon of the lower layer 78 except for the silicon dioxide layer 76 away. As a result, the movable actuator and / or sensor comb fingers 43 and the upper connection block 53 educated. The etched stop is the buried silicon dioxide layer 76 ,

Das Verhältnis der Dicke der Lagen 77, 78 in Richtung der Flächennormalen 89 zu ihrer Erstreckung in Richtung senkrecht zur Flächennormalen 89 beträgt insbesondere mindestens 0,1, insbesondere mindestens 0,2, insbesondere mindestens 0,3. Insbesondere bei Spiegeln 20 mit einer Kantenlänge von weniger als 1 mm kann das Verhältnis auch 0,5 oder mehr betragen. Es beträgt üblicherweise weniger als 1. The ratio of the thickness of the layers 77 . 78 in the direction of the surface normals 89 to their extension in the direction perpendicular to the surface normal 89 is in particular at least 0.1, in particular at least 0.2, in particular at least 0.3. Especially with mirrors 20 with an edge length of less than 1 mm, the ratio may be 0.5 or more. It is usually less than 1.

Nach dem dritten Ätzschritt 88 weist der Spiegelwafer den Spiegelkörper 27, die obere Kammstruktur mit den beweglichen Aktuator- und/oder Sensor-Kamm-Fingern 43 und die oberen Feder-Halteblöcke in Form der Verbindungsblöcke 53 auf. Außerdem weist der Spiegelwafer die Justierungs- oder Ausrichtungsstrukturen 86 auf. Der Spiegelwafer ist somit fertig, um mit einem Aktuatorwafer zusammengefügt, insbesondere zusammengebondet zu werden. After the third etching step 88 the mirror wafer has the mirror body 27 , the upper comb structure with the movable actuator and / or sensor comb fingers 43 and the upper spring-holding blocks in the form of the connecting blocks 53 on. In addition, the mirror wafer has the alignment or alignment structures 86 on. The mirror wafer is thus ready to be joined together with an actuator wafer, in particular to be bonded together.

Der Begriff Spiegelwafer wird hierbei nicht nur für das als Ausgangspunkt dienende erste Substrat 74 oder für das fertige erste Fügeteil 71, sondern auch für sämtliche Zwischenstufen (siehe 7B bis 7D) verwendet. The term mirror wafer here is not only for the first substrate serving as a starting point 74 or for the finished first joining part 71 but also for all intermediates (see 7B to 7D ) used.

Die Aktuator- und/oder Sensor-Kamm-Finger 43 bilden ein spezielles Beispiel für eine funktionelle Struktur des ersten Fügeteils 71. Sie tragen insbesondere zur Funktion des das erste Fügeteil 71 umfassenden optischen Bauelements 30, insbesondere zur Verlagerbarkeit des Einzelspiegels 20, bzw. zur Erfassung der Verlagerungsposition derselben bei. The actuator and / or sensor comb fingers 43 form a special example of a functional structure of the first part to be joined 71 , They contribute in particular to the function of the first joining part 71 comprehensive optical component 30 , in particular the displaceability of the individual mirror 20 , or for detecting the displacement position of the same at.

Zur Herstellung des zweiten Fügeteils 72 aus dem zweiten Substrat 75 sind vertikale Strukturierungsschritte, insbesondere ausschließlich vertikale Strukturierungsschritte, vorgesehen. Zur Herstellung des ersten Fügeteils 71 sind insbesondere ausschließlich Ätzschritte, insbesondere isotrope und/oder anisotrope Ätzschritte und/oder reaktives Ionenätzen vorgesehen. For the production of the second joining part 72 from the second substrate 75 are vertical structuring steps, in particular exclusively vertical structuring steps, provided. For the production of the first part to be joined 71 In particular, etching steps are provided exclusively, in particular isotropic and / or anisotropic etching steps and / or reactive ion etching.

Im Folgenden wird unter Bezugnahme auf die 9A bis 9K die Abfolge der Verfahrensschritte zur Herstellung des zweiten Fügeteils 72 aus dem zweiten Substrat 75 beschrieben. Das als Ausgangspunkt dienende zweite Substrat 75, das fertige zweite Fügeteil 72 sowie die Zwischenstufen werden jeweils auch als Aktuatorwafer bezeichnet. Dies dient insbesondere zur Abgrenzung gegen die Zwischenstufen des ersten Fügeteils 71, welche, wie vorhergehend bereits beschrieben wurde, auch als Spiegelwafer bezeichnet werden. The following is with reference to the 9A to 9K the sequence of process steps for the production of the second joining part 72 from the second substrate 75 described. The second substrate serving as a starting point 75 , the finished second joining part 72 as well as the intermediates are also referred to as actuator wafers. This is used in particular to delineate against the intermediate stages of the first joining part 71 which, as previously described, are also referred to as mirror wafers.

Als Ausgangspunkt für die Herstellung des zweiten Fügeteils 72 dient ebenfalls ein SOI-Wafer. Der SOI-Wafer umfasst eine vergrabene Siliziumdioxid-Schicht 90, eine obere Lage 91 und eine untere Lage 92. Die obere Lage 91 und die untere Lage 92 sind auf einander entgegengesetzten Seiten der Siliziumdioxid-Schicht 90 angeordnet. Sie sind insbesondere aus monokristallinem Silizium. As a starting point for the production of the second joining part 72 also serves an SOI wafer. The SOI wafer comprises a buried silicon dioxide layer 90 , an upper layer 91 and a lower layer 92 , The upper layer 91 and the lower layer 92 are on opposite sides of the silicon dioxide layer 90 arranged. They are in particular made of monocrystalline silicon.

Die untere Lage 92 bildet einen Halte-Wafer. Sie wird auch als Halte-Substrat bezeichnet. The lower layer 92 forms a holding wafer. It is also referred to as a holding substrate.

Die obere Lage 91 wird auch als Vorrichtungslage oder funktionelle Lage bezeichnet. Ihre Dicke, das heißt ihre Erstreckung in Richtung einer Flächennormalen 94, entspricht gerade der Dicke des unteren Verbindungsblocks 52, welcher aus dieser Lage 91 hergestellt wird. The upper layer 91 is also referred to as device position or functional position. Their thickness, that is, their extension in the direction of a surface normal 94 , just corresponds to the thickness of the lower connection block 52 which from this location 91 will be produced.

In der unteren Lage 92 sind Durchkontaktierungen 93 (TSVs, through silicon vias) vorgesehen. In the lower position 92 are vias 93 (TSVs, through silicon vias) provided.

Die Durchkontaktierungen 93 sind in der unteren Lage 92 angeordnet. Die jeweils äußere der Durchkontaktierungen 93 dient der elektrischen Verbindung der noch herzustellenden Kamm-Elektroden, insbesondere der Aktuatorelektroden 37 i und/oder der Sensor-Elektroden 44 i. The vias 93 are in the lower position 92 arranged. The respective outer of the vias 93 serves for the electrical connection of the comb electrodes to be produced, in particular the actuator electrodes 37 i and / or the sensor electrodes 44 i .

Die elektrische Verbindung zu den Kammfingern 38 der Aktuator-elektroden 37 i kann als ringförmige Struktur in der unteren Lage 92 des Aktuatorwafers ausgebildet sein. Der Ring kann in eine Anzahl separater Segmente unterteilt sein, wobei die Anzahl der unterschiedlichen Segmente gerade der Anzahl der Aktuatorelektroden 37 i entspricht. Die einzelnen Segmente sind jeweils wechselseitig isoliert voneinander. Außerdem können für die Sensor-Elektroden 44 i zusätzliche Segmente beziehungsweise Separierungen zwischen diesen vorgesehen sein. Die Segmente sind jeweils elektrisch voneinander isoliert. Die Segmente sind vom Substrat durch eine auf den Seitenwänden abgeschiedene SiO2-Schicht isoliert; sie können insbesondere mit dotiertem polykristallinem Silizium aufgefüllt werden. Die Grabenstruktur für die Durchkontaktierung 93 weist eine Breite auf, welche beispielsweise im Bereich von 1/20 bis 1/10 der Dicke der unteren Lage 92 liegt. Zur Herstellung der Grabenstruktur für die Durchkontaktierung 93 kann ein DRIE(deep reactive ion etching)-Verfahren mit einer hohen Ätzrate vorgesehen sein. The electrical connection to the comb fingers 38 the actuator electrodes 37 i can act as a ring-shaped structure in the lower layer 92 be formed of the actuator wafer. The ring may be divided into a number of separate segments, the number of different segments being just the number of actuator electrodes 37 i corresponds. The individual segments are mutually isolated from each other. In addition, for the sensor electrodes 44 i additional segments or separations between them may be provided. The segments are each electrically isolated from each other. The segments are isolated from the substrate by an SiO 2 layer deposited on the sidewalls; they can be filled in particular with doped polycrystalline silicon. The trench structure for the via 93 has a width, for example, in the range of 1/20 to 1/10 of the thickness of the lower layer 92 lies. For the preparation of the trench structure for the via 93 For example, a deep reactive ion etching (DRIE) method with a high etch rate may be provided.

Die elektrische Verbindung zu den unteren Verbindungsblöcken 52 ist bei dieser Ausführungsform ebenfalls als Silizium-Durchkontaktierung 93 ausgebildet. Die unteren Verbindungsblöcke 52 können unabhängig elektrisch angeschlossen oder geerdet werden. Alternative Ausbildungen sind möglich und werden nachfolgend noch näher beschrieben. The electrical connection to the lower connection blocks 52 is also in this embodiment as a silicon via 93 educated. The lower connection blocks 52 can be electrically connected or grounded independently. Alternative training is possible and will be described in more detail below.

In einem ersten Ätzschritt 95 werden Gräben 96 in die obere Lage 91 geätzt (siehe 10). Die Gräben 96 umgeben die unteren Verbindungsblöcke 52. Sie umgeben außerdem die durch die beiden Biegefedern 50, 51 gebildete kreuzförmige Struktur 49. In a first etching step 95 become trenches 96 in the upper position 91 etched (see 10 ). The trenches 96 surround the lower connection blocks 52 , They also surround the one through the two spiral springs 50 . 51 formed cruciform structure 49 ,

Die Gräben 96 werden mit Tetraethylorthosilikat (TEOS) aufgefüllt. Sodann wird die freie Oberfläche der oberen Lage 91 geglättet, sofern notwendig. The trenches 96 are filled in with tetraethylorthosilicate (TEOS). Then the free surface of the upper layer 91 smoothed, if necessary.

In einem Abscheidungsschritt 97 wird auf der freien Oberfläche der oberen Lage 91 eine Siliziumdioxidschicht 98 abgeschieden. Anstelle einer Abscheidung kann auch eine thermische Oxidation vorgesehen sein. In a deposition step 97 is on the free surface of the upper layer 91 a silicon dioxide layer 98 deposited. Instead of a deposition, a thermal oxidation can also be provided.

In einem Bonding-Schritt 99 wird ein zweiter SOI-Wafer 100 auf die Siliziumdioxid-Schicht 98 gebondet. Beim Bonding-Schritt 99 kann es sich um anodisches, direktes oder Fusionsbonding handeln. In a bonding step 99 becomes a second SOI wafer 100 on the silicon dioxide layer 98 bonded. At the bonding step 99 it can be anodic, direct or fusion bonding.

Der zweite SOI-Wafer weist eine Vorrichtungslage 101 und einen Haltewafer 102 auf. Die Vorrichtungslage 101 weist eine Erstreckung in Richtung der Flächennormalen 94 auf, welche gerade der Erstreckung der Biegefedern 50, 51 gegebenenfalls zuzüglich der Erstreckung des Versteifungselements 55 entspricht. The second SOI wafer has a device layer 101 and a holding wafer 102 on. The device location 101 has an extension in the direction of the surface normal 94 on which just the extension of the spiral springs 50 . 51 optionally plus the extension of the stiffening element 55 equivalent.

In einem zweiten Ätzschritt 103 wird der Haltewafer 102 weggeätzt. In a second etching step 103 becomes the holding wafer 102 etched away.

In einem Strukturierungsschritt 104 wird die vergrabene Oxidschicht 105 des zweiten SOI-Wafers 100 strukturiert. Nach dem Strukturierschritt 104 bedeckt die Siliziumdioxidschicht 105 ausschließlich die darunterliegende kreuzförmige Struktur 49. In a structuring step 104 becomes the buried oxide layer 105 of the second SOI wafer 100 structured. After the structuring step 104 covers the silicon dioxide layer 105 excluding the underlying cruciform structure 49 ,

Das Silizium unterhalb der kreuzförmigen Struktur 49 dient der Erhöhung der mechanischen Stabilität im nachfolgend noch näher beschriebenen Fügeschritt zum Zusammenfügen des Spiegelwafers und des Aktuatorwafers. Es muss von allen Seiten von Siliziumdioxid umgeben sein, welches einen Ätzstopp bildet für den Ätzschritt, bei welchem das Silizium unter den Biegefedern 50, 51 mit Ausnahme des unteren Verbindungsblocks 52 weggeätzt wird. The silicon below the cruciform structure 49 serves to increase the mechanical stability in the joining step described in more detail below for joining the mirror wafer and the actuator wafer. It must be surrounded on all sides by silicon dioxide, which forms an etch stop for the etching step, in which the silicon under the bending springs 50 . 51 with the exception of the lower connection block 52 is etched away.

In einem dritten Ätzschritt 106 wird – nach Aufbringen einer Maske 107 aus Photoresist – die Siliziumschicht, das heißt die Vorrichtungs-Lage 101 des zweiten SOI-Wafers 100 – an den Stellen entfernt, an welchen elektrische Kontakte zu den darunterliegenden Strukturen, insbesondere den Kamm-Fingern 38 der Aktuatorelektroden 37 i und/oder der Sensorelektroden 44 i sowie der unteren Verbindungsblöcke 52, hergestellt werden soll. In a third etching step 106 is - after applying a mask 107 made of photoresist - the silicon layer, that is the device layer 101 of the second SOI wafer 100 - Removed at the points where electrical contacts to the underlying structures, in particular the comb fingers 38 the actuator electrodes 37 i and / or the sensor electrodes 44 i and the lower connection blocks 52 , to be produced.

Die im dritten Ätzschritt 106 hergestellten Kontaktöffnungen können auch bis zur unteren Lage 92, das heißt bis zur Haltestruktur des Aktuatorwafers, ausgebildet werden. In diesem Fall werden die unteren Verbindungsblöcke 52 nach Auffüllen der Kontaktöffnungen mit polykristallinem Silizium elektrisch leitend mit dem Haltesubstrat, das heißt der unteren Lage 92 des Aktuatorwafers, verbunden. The third etching step 106 made contact openings can also to the lower layer 92 that is, to the support structure of the actuator wafer, are formed. In this case, the lower connection blocks 52 after filling the contact openings with polycrystalline silicon electrically conductive with the holding substrate, that is, the lower layer 92 of the actuator wafer, connected.

In einem darauffolgenden Abscheidungsschritt 108 wird epi-polykristallines Silizium oder mehrfaches polykristallines Silizium auf die freie Oberfläche der oberen Lage 91 des Aktuatorwafers abgeschieden. Die abgeschiedene Siliziumschicht weist eine Dicke in Richtung der Flächennormalen 94 von ungefähr 11 µm auf. Die Dicke der abgeschiedenen Siliziumschicht entspricht gerade der Differenz zwischen der Erstreckung der Kamm-Finger 38 der Aktuatorelektroden 37 i und/oder Sensorelektroden 44 i in dieser Richtung und der Summe der unteren Verbindungsblöcke 52 und der Biegefedern 50, 51 in dieser Richtung. In a subsequent deposition step 108 becomes epi-polycrystalline silicon or polycrystalline silicon on the free surface of the upper layer 91 of the actuator wafer. The deposited silicon layer has a thickness in the direction of the surface normal 94 of about 11 microns. The thickness of the deposited silicon layer corresponds exactly to the difference between the extent of the comb fingers 38 the actuator electrodes 37 i and / or sensor electrodes 44 i in this direction and the sum of the lower connection blocks 52 and the bending springs 50 . 51 in this direction.

Nach dem Abscheideschritt 108 kann das abgeschiedene Silizium wieder geglättet werden. After the deposition step 108 The deposited silicon can be smoothed again.

In einem optionalen weiteren Abscheidungsschritt können wiederum Siliziumschicht-Abscheidungen 109 in den Bereichen über den später auszubildenden unteren Kamm-Fingern 38 abgeschieden werden. Hierzu kann insbesondere wiederum ein PECVD-Verfahren vorgesehen sein. Für Details sei auf die Abscheidung der Siliziumdioxidabscheidungen 82 verwiesen. In an optional further deposition step, in turn, silicon layer deposits 109 in the areas above the lower comb fingers to be trained later 38 be deposited. In particular, this can in turn be a PECVD method may be provided. For details, see the deposition of silicon dioxide deposits 82 directed.

Sodann wird – nach Aufbringen einer Photoresistmaske 110 in einem weiteren Ätzschritt 111 eine Stufe 112 in das abgeschiedene Silizium geätzt. Die Stufe 112 hat eine Erstreckung in Richtung der Flächennormalen 94, welche gerade der Differenz zwischen der Erstreckung der Kamm-Finger 38 und der der Verbindungsblöcke 52 in dieser Richtung entspricht. Die Höhe der Stufe 112 in Richtung der Flächennormalen 94 beträgt insbesondere etwa 7 µm. Als Ätzstopp für den Ätzschritt 111 dient die Siliziumdioxid-Schicht 105, welche oberhalb der Biegefedern 50, 51 angeordnet ist. Then - after applying a photoresist mask 110 in a further etching step 111 a step 112 etched into the deposited silicon. The stage 112 has an extension in the direction of the surface normal 94 which is just the difference between the extension of the comb fingers 38 and the connection blocks 52 in this direction corresponds. The height of the step 112 in the direction of the surface normals 94 is in particular about 7 microns. As an etch stop for the etching step 111 serves the silicon dioxide layer 105 , which above the spiral springs 50 . 51 is arranged.

Im Ätzschritt 111 werden außerdem Justierungs- und/oder Ausrichtungsstrukturen 116 in einem Randbereich neben den eigentlichen funktionellen Strukturen des Aktuatorwafers hergestellt. In the etching step 111 also become adjustment and / or alignment structures 116 produced in an edge region next to the actual functional structures of the actuator wafer.

In einem darauffolgenden Strukturierungsschritt 113, nach Aufbringen einer Dickenmaske 114 aus Photoresist vorgesehen ist, werden die Kamm-Finger 38 der Aktuatorelektroden 37 i und/oder Sensorelektroden 44 i strukturiert (siehe 11). Hierzu wird das Silizium weggeätzt, wobei das Siliziumdioxid einen Ätzstopp bildet. Der Strukturierungsschritt 113 dient der Ausbildung der Kamm-Finger 38 sowie der unteren Verbindungsblöcke 52. In a subsequent structuring step 113 , after applying a thickness mask 114 Made of photoresist, the comb fingers are used 38 the actuator electrodes 37 i and / or sensor electrodes 44 i structured (see 11 ). For this purpose, the silicon is etched away, wherein the silicon dioxide forms an etch stop. The structuring step 113 serves the training of the comb fingers 38 and the lower connection blocks 52 ,

In einem weiteren Ätzschritt 115 wird das restliche Siliziumdioxid über den Biegefedern 50, 51 und über den Kamm-Fingern 38 entfernt. In a further etching step 115 The remaining silicon dioxide is deposited over the spiral springs 50 . 51 and over the comb fingers 38 away.

Anschließend ist der Aktuatorwafer mit den Kammstrukturen der Aktuatorelektroden 37 i und/oder Sensorelektroden 44 i und den monokristallinen Biegefedern 50, 51, welche auf den unteren Verbindungsblöcken 52 angeordnet sind, fertig, um mit dem Spiegelwafer zusammengefügt zu werden. Subsequently, the actuator wafer with the comb structures of the actuator electrodes 37 i and / or sensor electrodes 44 i and the monocrystalline bending springs 50 . 51 , which are on the lower connecting blocks 52 are arranged, ready to be assembled with the mirror wafer.

Bevor die beiden Fügeteile 71, 72 zusammengefügt werden, können sie einem Testverfahren unterzogen werden. Es ist insbesondere möglich und vorgesehen, die Fügeteile 71, 72 vor dem Zusammenfügen auf ihre einwandfreie Funktionsweise zu testen. Fehlerhafte Fügeteile 71, 72 können aussortiert und/oder repariert werden. Before the two joining parts 71 . 72 can be put together, they can be subjected to a test procedure. It is in particular possible and provided, the joining parts 71 . 72 to test for proper operation before assembly. Faulty parts to be joined 71 . 72 can be sorted out and / or repaired.

Sodann werden die beiden Fügeteile 71, 72 in einem Fügeschritt 117 zusammengefügt. Hierzu werden der Spiegelwafer und der Aktuatorwafer aneinander gebondet. Sie werden insbesondere mittels eines eutektischen Bondingverfahrens, eines Fusionsbondingverfahrens oder eines direkten Bondingverfahrens aneinander gebondet. Then the two joining parts 71 . 72 in a joining step 117 together. For this purpose, the mirror wafer and the actuator wafer are bonded to one another. They are bonded together in particular by means of a eutectic bonding method, a fusion bonding method or a direct bonding method.

Nach dem Zusammenfügen der Fügeteile 71, 72 bilden diese einen Waferstapel 121. Zum Bonden der Fügeteile 71, 72 kann insbesondere ein eutektisches Bodingverfahren vorgesehen sein. Zum eutektischen Bonden können bestimmte weichere Metalle, wie beispielsweise Aluminium oder Gold, vorgesehen sein. Sie unterstützen die gleichzeitige Kontaktbildung an den unterschiedlichen Strukturen. After joining the parts to be joined 71 . 72 These form a wafer stack 121 , For bonding the parts to be joined 71 . 72 In particular, a eutectic Bodingverfahren can be provided. For eutectic bonding certain softer metals, such as aluminum or gold, may be provided. They support the simultaneous formation of contact with the different structures.

Beim Zusammenfügen des Spiegelwafers mit dem Aktuatorwafer beträgt die Genauigkeit der relativen Ausrichtung dieser beiden Fügeteile 71, 72 in Richtung senkrecht zur Flächennormalen 94 zueinander mindestens 5 µm, insbesondere mindestens 3 µm, insbesondere mindestens 2 µm, insbesondere mindestens 1 µm. Das heißt, die tatsächliche Ausrichtung der beiden Fügeteile 71, 72 relativ zueinander wird mit einer Unsicherheit von weniger als 3 µm, insbesondere weniger als 2 µm, insbesondere weniger als 1 µm vorgegeben und eingehalten. Dies kann insbesondere durch das Zusammenwirken, insbesondere das Ineinandergreifen der Justierungs- und Ausrichtungsstrukturen 86, 116 erreicht werden. Die Genauigkeit beim Zusammenfügen der beiden Fügeteile 71, 72 in Richtung der Flächennormalen 94 ist vorzugsweise entsprechend gut. Sie kann prinzipiell auch besser sein. Sie kann auch etwas geringer sein. When assembling the mirror wafer with the actuator wafer, the accuracy of the relative alignment of these two joining parts 71 . 72 in the direction perpendicular to the surface normal 94 each other at least 5 microns, in particular at least 3 microns, in particular at least 2 microns, in particular at least 1 micron. That is, the actual alignment of the two parts to be joined 71 . 72 relative to each other is given and maintained with an uncertainty of less than 3 microns, especially less than 2 microns, especially less than 1 micron. This can be achieved in particular by the interaction, in particular the intermeshing of the alignment and alignment structures 86 . 116 be achieved. The accuracy of joining the two parts to be joined 71 . 72 in the direction of the surface normals 94 is preferably correspondingly good. It can also be better in principle. It can also be a bit lower.

Die Fügeteile 71, 72 werden insbesondere derart zusammengefügt, dass es nicht zu einer unerwünschten Kollision der funktionellen Strukturen, insbesondere der Kamm-Finger 38, 43 kommt. The parts to be joined 71 . 72 In particular, they are joined in such a way that there is no undesired collision of the functional structures, in particular the comb fingers 38 . 43 comes.

Die Justierungsstrukturen 86, 116 verhindern eine seitliche Bewegung. Sie verhindern insbesondere, dass die Kamm-Finger 38, 43 in Kontakt kommen. The adjustment structures 86 . 116 prevent lateral movement. In particular, they prevent the comb fingers 38 . 43 get in touch.

Die Aussparungen der Justierungsstrukturen 86, 116 sind tief genug, dass sie die Kontaktierung der Kontaktflächen zwischen dem Spiegelwafer und dem Aktuatorwafer nicht beeinflussen. The recesses of the adjustment structures 86 . 116 are deep enough that they do not affect the contacting of the contact surfaces between the mirror wafer and the actuator wafer.

Beim Zusammenfügen des Spiegelwafers und des Aktuatorwafers kommt der obere Verbindungsblock 53 in Kontakt mit der Biegefeder 51. Das Gelenk 32 wird insbesondere durch Zusammenfügen des oberen Verbindungsblocks 53 mit der Biegefeder 51 ausgebildet. When assembling the mirror wafer and the actuator wafer comes the upper connection block 53 in contact with the spiral spring 51 , The joint 32 in particular, by joining the upper connection block 53 with the spiral spring 51 educated.

Beim Zusammenfügen kommt es insbesondere zu einem Überlapp 118 der Kamm-Finger 43, 38 der oberen und unteren Kammelektroden. Der Überlapp 118 ist in den Figuren durch gestrichelte Linien verdeutlicht. Der Überlapp 118 der Kammfinger 38, 43 in Richtung der Flächennormalen 89 beziehungsweise 94 beträgt insbesondere mindestens 10 µm, insbesondere mindestens 20 µm, insbesondere mindestens 30 µm, insbesondere mindestens 40 µm, insbesondere mindestens 50 µm. When joining it comes in particular to an overlap 118 the comb finger 43 . 38 the upper and lower comb electrodes. The overlap 118 is illustrated in the figures by dashed lines. The overlap 118 the comb finger 38 . 43 in the direction of the surface normals 89 respectively 94 is in particular at least 10 .mu.m, in particular at least 20 .mu.m, in particular at least 30 .mu.m, in particular at least 40 .mu.m, in particular at least 50 microns.

Nach dem Zusammenfügen können weitere Bearbeitungsschritte vorgesehen sein. After assembly further processing steps can be provided.

In einem weiteren Ätzschritt 119 kann nach Aufbringung einer Maske 120 aus Photoresist eine kreuzförmige Struktur von der Rückseite des Waferstapels 121 vertikal in das Silizium unterhalb der Biegefedern 50, 51 geätzt werden. Die kreuzförmige Struktur ist einige µm schmaler als die Ausdehnung der Biegefedern 50, 51 in Richtung senkrecht zur Flächennormalen 94. In a further etching step 119 can after applying a mask 120 from photoresist a cruciform structure from the back of the wafer stack 121 vertically into the silicon below the spiral springs 50 . 51 be etched. The cross-shaped structure is a few microns narrower than the extension of the spiral springs 50 . 51 in the direction perpendicular to the surface normal 94 ,

In einem weiteren Ätzschritt 122 wird weiter durch die vergrabene Siliziumdioxidschicht 90 geätzt sowie durch das Silizium unterhalb der kreuzförmigen Struktur 49 mit den Biegefedern 50, 51 (siehe 13). Hierbei kann dieselbe Maske 120 wie beim Ätzschritt 119 genutzt werden. In a further etching step 122 continues through the buried silicon dioxide layer 90 etched as well as by the silicon below the cruciform structure 49 with the bending springs 50 . 51 (please refer 13 ). Here, the same mask 120 as in the etching step 119 be used.

Die Siliziumdioxidschicht 98 unterhalb der Biegefedern 50, 51 bildet einen Ätzstopp für den Ätzschritt 122. The silicon dioxide layer 98 below the spiral springs 50 . 51 forms an etch stop for the etching step 122 ,

Das restliche Silizium bis zu den durch vergrabene Oxidschichten definierten Seitenwänden kann lateral, beispielsweise durch isotropes Silizium-Ätzen, insbesondere mit SF6, entfernt werden. The remaining silicon up to the side walls defined by buried oxide layers can be removed laterally, for example by isotropic silicon etching, in particular with SF 6 .

In einem weiteren Ätzschritt 123 kann das nicht benötigte Siliziumdioxid, beispielsweise durch Ätzen in Flusssäuredampf (HF vapor etching) entfernt werden. In a further etching step 123 For example, the silicon dioxide which is not required can be removed, for example by etching in hydrofluoric acid vapor vapor (HF vapor etching).

Wie aus den 12A bis 12E qualitativ ersichtlich ist, sind die funktionellen Strukturen des optischen Bauelements 30, insbesondere die Kamm-Finger 38, 43 der Aktuator-Einrichtung 31 und der Sensoreinrichtung sowie die Biegestruktur bzw. das Gelenk, nach dem Zusammenfügen der Fügeteile 71, 72 im Fügeschritt 117 nicht mehr frei zugänglich, sondern unzugänglich. Like from the 12A to 12E is qualitatively apparent, are the functional structures of the optical device 30 , especially the comb-fingers 38 . 43 the actuator device 31 and the sensor device and the bending structure or the joint, after joining the joining parts 71 . 72 in the joining step 117 no longer freely accessible, but inaccessible.

Im Folgenden wird unter Bezugnahme auf die 14A bis 14F und 15A bis 15B eine Alternative zur Herstellung des zweiten Fügeteils 72 beschrieben. Es werden ausschließlich abweichende Verfahrensschritte beschrieben. Im Übrigen wird auf das vorhergehend beschriebene Verfahren verwiesen. The following is with reference to the 14A to 14F and 15A to 15B an alternative to the production of the second joining part 72 described. Only deviating process steps are described. Incidentally, reference is made to the method described above.

Gemäß der Alternative ist vorgesehen, das Siliziummaterial unterhalb der Biegefedern 50, 51 durch Unterätzen zu entfernen. Hierfür kann ein XeF2 Silizium-Opfer-Ätz-Verfahren vorgesehen sein. According to the alternative, it is provided that the silicon material below the bending springs 50 . 51 to remove by undercutting. For this purpose, a XeF 2 silicon sacrificial etching process can be provided.

Hierzu werden zunächst die unteren Verbindungsblöcke 52 und der Bereich des Opfer-Siliziums 124 durch vertikale Gräben 125, welche in das Silizium geätzt und mit Tetraethylorthosilikat (TEOS) aufgefüllt werden, seitlich definiert (siehe 14A und 15A). For this purpose, first the lower connection blocks 52 and the area of sacrificial silicon 124 through vertical trenches 125 , which are etched into the silicon and filled with tetraethyl orthosilicate (TEOS) laterally defined (see 14A and 15A ).

In den 14B, 14C und 15B ist der Aktuatorwafer dargestellt in dem Zwischenzustand, bei welchem die Lage mit den Biegefedern 50, 51 mit dem zweiten Substrat 75 gebondet ist und die kreuzförmige Struktur 49 mit den Biegefedern 50, 51 definiert ist. Außerdem ist in diesen Figuren bereits eine Schutzschicht 126 aus SiO2 dargestellt, welche zum Schutz der funktionellen Strukturen auf diese aufgebracht wurde. Sämtliche funktionellen Strukturen auf dem Spiegelwafer und dem Aktuatorwafer sind mittels der Schutzschicht 126 passiviert. In the 14B . 14C and 15B is the Aktuatorwafer shown in the intermediate state, in which the position with the bending springs 50 . 51 with the second substrate 75 is bonded and the cruciform structure 49 with the bending springs 50 . 51 is defined. In addition, in these figures already a protective layer 126 from SiO 2 , which was applied to protect the functional structures thereon. All functional structures on the mirror wafer and the actuator wafer are by means of the protective layer 126 passivated.

Anschließend wird wie vorhergehend beschrieben mit dem Fügeschritt 117 fortgefahren. Das dadurch erhaltene Zwischenprodukt ist in der 14D dargestellt. Schematisch angedeutet hierin ist insbesondere der Überlapp 118 zwischen den Kammfingern 43 des Spiegelwafers und den Kammfingern 38 des Aktuatorwafers. Subsequently, as described above with the joining step 117 continued. The thus obtained intermediate is in the 14D shown. Schematically indicated herein is in particular the overlap 118 between the comb fingers 43 the mirror wafer and the comb fingers 38 of the actuator wafer.

In einem nachfolgenden Ätzschritt 170 wird das Siliziumvolumen im kreisförmigen Bereich um die Biegestruktur, insbesondere das Opfersilizium 124 unterhalb der kreuzförmigen Struktur 49 mit den Biegefedern 50, 51 entfernt. Als Ätzschritt 170 ist insbesondere ein isotoper Ätzschritt vorgesehen. Es kann insbesondere eine Ätzung mit XeF2-Gas vorgesehen sein. Das dadurch erhaltene Zwischenprodukt ist schematisch in der 14E dargestellt. In a subsequent etching step 170 the silicon volume in the circular area around the bending structure, in particular the sacrificial silicon 124 below the cruciform structure 49 with the bending springs 50 . 51 away. As an etching step 170 In particular, an isotopic etching step is provided. In particular, an etching with XeF 2 gas can be provided. The intermediate product thus obtained is shown schematically in 14E shown.

In einem anschließenden Entfernungsschritt 127 wird die Schutzschicht 126 entfernt. Hierfür ist ein Ätzverfahren mit Flusssäure-Dampf vorgesehen. In a subsequent removal step 127 becomes the protective layer 126 away. For this purpose, an etching process with hydrofluoric acid is provided.

Das fertige Bauelement ist schematisch in der 14F dargestellt. The finished component is shown schematically in FIG 14F shown.

Im Folgenden wird unter Bezugnahme auf die 16A bis 26 ein Verfahren zur Herstellung des optischen Bauelements 30 mit einem Ausgleichgewicht 64 beschrieben. Betreffend grundsätzliche Eigenschaften des Verfahrens wird auf die vorhergehende Beschreibung verwiesen. The following is with reference to the 16A to 26 a method for producing the optical component 30 with a balance weight 64 described. With regard to basic properties of the method, reference is made to the preceding description.

Wie in der 16A dargestellt ist, dient bei dieser Alternative als Ausgangspunkt zur Herstellung des ersten Fügeteils 71 ein erstes Substrat 74, welches als doppelter SOI-Wafer ausgebildet ist. Der Spiegelwafer umfasst gemäß dieser Alternative somit zusätzlich zu der Siliziumdioxidschicht 76 und den auf dieser einander gegenüberliegend angeordneten Lagen 77 und 78 eine weitere Siliziumdioxidschicht 128 und eine auf dieser angeordnete Siliziumschicht 129. Like in the 16A is shown, serves in this alternative as a starting point for the production of the first joining part 71 a first substrate 74 , which is designed as a double SOI wafer. The mirror wafer thus according to this alternative, in addition to the silicon dioxide layer 76 and the layers arranged opposite one another 77 and 78 another silicon dioxide layer 128 and a silicon layer disposed thereon 129 ,

Die mittlere Siliziumschicht 77 weist eine Dicke in Richtung der Flächennormalen 89 auf, welche gerade der Erstreckung des Spiegelkörpers 27 in dieser Richtung entspricht. Die untere Lage 78 weist in Richtung der Flächennormalen 89 eine Dicke auf, welche der Erstreckung der oberen Kamm-Finger 43 entspricht. The middle silicon layer 77 has a thickness in the direction of the surface normal 89 on which just the extension of the mirror body 27 in this direction corresponds. The lower layer 78 points in the direction of the surface normal 89 a thickness indicative of the extent of the upper comb fingers 43 equivalent.

Auf die Unterseite der unteren Lage 78 ist eine weitere Siliziumdioxidschicht 130 aufgebracht. Die Siliziumdioxidschicht 130 dient als Stopp für die chemo-mechanischer Politur (CMP). On the bottom of the lower layer 78 is another silicon dioxide layer 130 applied. The silicon dioxide layer 130 serves as a stop for chemo-mechanical polishing (CMP).

In einem ersten Ätzschritt 131 werden Gräben und/oder Löcher 132 an den Stellen in die Silizium- und Siliziumdioxidschichten 76, 78, 130 geätzt, an welchen elektrische Kontakte zwischen der unteren Lage 78 und der mittleren Lage 77 hergestellt werden sollen. Dies sind beispielsweise die elektrischen Verbindungen zu den oberen Kamm-Fingern 43 und/oder elektrische Verbindungen zum Spiegelkörper 27 durch das Gelenk 32. In a first etching step 131 become trenches and / or holes 132 at the points in the silicon and silicon dioxide layers 76 . 78 . 130 etched to which electrical contacts between the lower layer 78 and the middle location 77 to be produced. These are, for example, the electrical connections to the upper comb fingers 43 and / or electrical connections to the mirror body 27 through the joint 32 ,

In einem Auffüllschritt 133 werden die Gräben/Löcher mit dotiertem polykristallinem Silizium aufgefüllt. Sodann wird die Siliziumdioxidschicht 130 entfernt. In a filling step 133 The trenches / holes are filled with doped polycrystalline silicon. Then, the silicon dioxide layer 130 away.

In einem nachfolgenden Ätzschritt 134 wird die vertikale Stufe 84 in die untere Lage 78 geätzt. Hierzu wird diese mit der Maske 85 versehen. Für weitere Details sei auf die vorhergehende Beschreibung (siehe 7D) verwiesen. In a subsequent etching step 134 becomes the vertical step 84 in the lower position 78 etched. This is done with the mask 85 Mistake. For further details, see the previous description (see 7D ).

Entsprechend wird bezüglich der nachfolgenden Herstellung der Kamm-Finger 43 und der oberen Verbindungsblöcke 53 auf die vorhergehende Beschreibung (siehe insbesondere 7E und zugehörige Beschreibung) verwiesen. Accordingly, with respect to the subsequent manufacture of the comb fingers 43 and the upper connection blocks 53 to the previous description (see in particular 7E and related description).

Anschließend wird die Maske 87 entfernt. Then the mask becomes 87 away.

Wie in den 16E und 16F exemplarisch dargestellt ist, weisen die oberen Verbindungsblöcke 53 bei dieser Alternative eine geringere Erstreckung in Richtung der Flächennormalen 89 auf als die oberen Kamm-Finger 43. As in the 16E and 16F is shown as an example, have the upper connection blocks 53 in this alternative, a smaller extent in the direction of the surface normal 89 on as the upper comb fingers 43 ,

In den 16A bis 16F und 18A bis 18K sind die seitlichen Bereiche mit den Justierungs- und Ausrichtungsstrukturen 86 nicht dargestellt. Sie sind entsprechend wie bei dem Ausführungsbeispiel gemäß der vorhergehenden Beschreibung ausgebildet und vorgesehen. In the 16A to 16F and 18A to 18K are the side areas with the adjustment and alignment structures 86 not shown. They are designed and provided as in the embodiment according to the preceding description.

Als Ausgangspunkt zur Herstellung des zweiten Fügeteils 72 dient das zweite Substrat 75, welches im Wesentlichen entsprechend dem gemäß der vorhergehenden Beschreibung ausgebildet ist. Die obere Lage 91 weist eine Erstreckung in Richtung der Flächennormalen 94 von beispielsweise 40 µm auf. Die Erstreckung entspricht insbesondere gerade der Erstreckung der unteren Verbindungsblöcke 52 bis zu den Biegefedern 50, 51. Die untere Lage 92 weist bei dieser Alternative jedoch eine wesentlich größere Erstreckung in Richtung der Flächennormalen auf als bei der zuvor beschriebenen Alternative. Die untere Lage 92 kann insbesondere eine Erstreckung in Richtung der Flächennormalen 94 im Bereich von 300 µm bis 1 mm aufweisen. Sie weist insbesondere eine Erstreckung in Richtung der Flächennormalen 94 auf, welche der Erstreckung des Ausgleichsgewichts 64 entspricht. As a starting point for the production of the second joining part 72 serves the second substrate 75 , which is formed substantially according to the preceding description. The upper layer 91 has an extension in the direction of the surface normal 94 of for example 40 μm. The extension corresponds in particular just the extension of the lower connection blocks 52 up to the bending springs 50 . 51 , The lower layer 92 However, in this alternative has a much greater extension in the direction of the surface normal than in the alternative described above. The lower layer 92 In particular, an extension in the direction of the surface normal 94 in the range of 300 microns to 1 mm. In particular, it has an extension in the direction of the surface normal 94 on which the extension of the balance weight 64 equivalent.

Sodann wird auf die obere Lage 91 eine Siliziumdioxidschicht 136 aufgebracht. In diese werden Gräben und/oder Löcher 137 geätzt. Die Gräben und/oder Löcher 137 erstrecken sich bis zu den Durchkontaktierungen 93. Then it is on the upper layer 91 a silicon dioxide layer 136 applied. In these are ditches and / or holes 137 etched. The trenches and / or holes 137 extend to the vias 93 ,

Sie werden in einem nachfolgenden Auffüllschritt 138 mit dotiertem polykristallinem Silizium aufgefüllt. Sodann wird die Siliziumdioxidschicht 136 entfernt. You will be in a subsequent refill step 138 filled with doped polycrystalline silicon. Then, the silicon dioxide layer 136 away.

In einem nachfolgenden Strukturierungsschritt 139 werden Gräben 140 in die obere Lage 91 geätzt und anschließend mit TEOS aufgefüllt. Hierdurch werden in Lateralrichtung drei Typen von Strukturen durch Siliziumdioxid isoliert: fixe Verbindungsblöcke 52, Verbindungsblöcke zwischen dem Spiegelkörper 27 und dem Ausgleichsgewicht 64 und ein Opfer-Siliziumvolumen unter der Aufhängung, welche Biegefedern umfasst (siehe 19). In a subsequent structuring step 139 become trenches 140 in the upper position 91 etched and then filled with TEOS. As a result, three types of structures are insulated in the lateral direction by silicon dioxide: fixed connection blocks 52 , Connecting blocks between the mirror body 27 and the balance weight 64 and a sacrificial silicon volume under the suspension, which includes bending springs (see 19 ).

Sodann wird im Bondingschritt 141 ein doppelter SOI-Wafer 143 mit einer Siliziumdioxidschicht 142 auf der zu bondenden Seite mit dem Aktuatorwafer zusammengefügt. Der doppelte SOI-Wafer 143 umfasst zwei Oxidschichten 144, 145, eine untere Vorrichtungslage 146, eine mittlere Vorrichtungslage 147 und einen Haltewafer 148. Then in the bonding step 141 a double SOI wafer 143 with a silicon dioxide layer 142 joined together on the side to be bonded with the actuator wafer. The double SOI wafer 143 includes two oxide layers 144 . 145 , a lower device location 146 , a medium device location 147 and a holding wafer 148 ,

Die untere Vorrichtungslage 146 hat eine Erstreckung in Richtung der Flächennormalen 94, welche gerade der Dicke der Federstrukturen entspricht. Die Dicke kann insbesondere etwa 1,5 µm betragen. The lower device position 146 has an extension in the direction of the surface normal 94 which just corresponds to the thickness of the spring structures. The thickness may in particular be about 1.5 μm.

Die mittlere Vorrichtungslage 147 weist eine Dicke von etwa 20 µm auf. Ihre Dicke entspricht insbesondere gerade der Dicke der plattenförmigen Struktur der Aufhängung des Spiegelkörpers 27. The middle device position 147 has a thickness of about 20 microns. Its thickness corresponds in particular just the thickness of the plate-shaped structure of the suspension of the mirror body 27 ,

Die obere Oxidschicht 144 kann aus Oxid, insbesondere SiO2, sein. Sie dient als Ätzstopp für einen nachfolgenden Ätzschritt 149, in welchem der Haltewafer 148 vollständig entfernt wird. The upper oxide layer 144 may be of oxide, in particular SiO 2 . It serves as an etch stop for a subsequent etching step 149 , in which the holding wafer 148 is completely removed.

In einem nachfolgenden Ätzschritt 150 wird mit Hilfe einer in den Figuren nicht dargestellten Maske aus Photoresist überall dort, wo elektrische Kontakte hergestellt werden sollen, bis auf die obere Lage 91 heruntergeätzt. Hierbei werden die obere Oxidschicht 144, die mittlere Vorrichtungslage 147, die Oxidschicht 145, die untere Vorrichtungslage 146 sowie die zum Bonden vorgesehene Siliziumdioxidschicht 142 entfernt (siehe 20). In a subsequent etching step 150 is with the help of a mask, not shown in the figures of photoresist, wherever electrical contacts are to be made, except for the upper layer 91 etched down. Here are the upper oxide 144 , the middle device location 147 , the oxide layer 145 , the lower device position 146 and the silicon dioxide layer to be bonded 142 removed (see 20 ).

Elektrische Kontakte sollen insbesondere im Bereich der späteren unteren Kamm-Finger 38 hergestellt werden. Electrical contacts should in particular in the range of the later lower comb fingers 38 getting produced.

Außerdem werden die vorgenannten Stellen in den Bereichen weggeätzt, an welchen ein nachfolgender DRIE-Schritt sämtliche Lagen der Siliziumdioxidschicht 19 entfernen soll. In addition, the aforementioned locations in the areas are etched away, at which a subsequent DRIE step all layers of the silicon dioxide layer 19 should remove.

In einem Abscheidungsschritt 151 wird dotiertes polykristallines Silizium an den im Ätzschritt 150 weggeätzten Bereichen abgeschieden. Dies kann mittels epitaktischer Abscheidung geschehen. Nachfolgend kann die Oberfläche chemo-mechanisch poliert werden, wobei die obere Oxidschicht 144 als Stopp dient. In a deposition step 151 For example, doped polycrystalline silicon becomes that in the etching step 150 deposited etched areas. This can be done by epitaxial deposition. Subsequently, the surface can be chemo-mechanically polished, with the upper oxide layer 144 serves as a stop.

Im nachfolgend vorgesehenen reaktives Ionenätzverfahren (DRIE-Verfahren, deep reactive ion etching-Verfahren) werden die Kamm-Finger 38, die fixen und die beweglichen Blöcke sowie die plattenförmige Struktur der Aufhängung des Spiegelkörpers 27 ausgebildet. Hierbei ist jeweils eine Maske 154 aus Photoresist vorgesehen. Es ist nur ein DRIE-Schritt vorgesehen. In the reactive ion etching method (DRIE method) provided below, the comb fingers become 38 , the fixed and the moving blocks as well as the plate-shaped structure of the suspension of the mirror body 27 educated. Here is a mask 154 made of photoresist. There is only one DRIE step provided.

Die Federstrukturen brauchen nicht maskiert zu werden. Als Ätzstopp über den Fehlerstrukturen dient die Oxidschicht 145. The spring structures need not be masked. The oxide layer serves as etch stop over the defect structures 145 ,

Die 18I und 18J zeigen unterschiedliche Schnitte durch den Aktuatorwafer nach dem DRIE-Verfahren 152. Die Orientierung der Schnitte ist in der 21 durch die Buchstaben I und J angezeigt. The 18I and 18J show different sections through the actuator wafer according to the DRIE method 152 , The orientation of the cuts is in the 21 indicated by the letters I and J.

Im nachfolgenden Entfernungsschritt 155 wird die Maske 154 entfernt, insbesondere gestrippt (siehe 22). In the following removal step 155 becomes the mask 154 removed, in particular stripped (see 22 ).

Anschließend sind die Spiegelwafer und der Aktuatorwafer fertig, um relativ zueinander ausgerichtet und zusammengefügt zu werden. Gemäß einer bevorzugten Ausführung ist vorgesehen, die einzelnen Fügteile 71, 72 vor dem Zusammenfügen zu testen, insbesondere auf ihre einwandfreie Funktion zu testen. Subsequently, the mirror wafers and actuator wafer are ready to be aligned and mated relative to each other. According to a preferred embodiment is provided, the individual Fügteile 71 . 72 to test before assembly, in particular to test their proper function.

Bis zum Zusammenfügen des Spiegelwafers und des Aktuatorwafers im Fügeschritt 117 können die beiden Fügeteile 71, 72 parallel, das heißt gleichzeitig prozessiert werden. Hierdurch kann die insgesamt zur Herstellung des optischen Bauelements benötigte Zeit um bis zu 50% reduziert werden. Until the mirror wafer and the actuator wafer are joined in the joining step 117 can the two parts to be joined 71 . 72 parallel, that means being processed at the same time. As a result, the total time required for the production of the optical component can be reduced by up to 50%.

Die weiteren Herstellungsschritte umfassen den Fügeschritt 117 zur Herstellung des Waferstapels 121 sowie eine weitere Bearbeitung des Waferstapels 121. The further manufacturing steps include the joining step 117 for producing the wafer stack 121 and further processing of the wafer stack 121 ,

Zum Zusammenfügen im Fügeschritt 117 werden der Spiegelwafer, das heißt das erste Fügeteil 71, und der Aktuatorwafer, das heißt das zweite Fügeteil 72, in Richtung senkrecht zur Flächennormalen 89 beziehungsweise 94 zueinander ausgerichtet. Sie können in der relativ zueinander ausgerichteten Anordnung vorgebondet, das heißt relativ zueinander fixiert werden. To join in the joining step 117 become the mirror wafer, that is the first joining part 71 , and the actuator wafer, that is, the second adherend 72 , in the direction perpendicular to the surface normal 89 respectively 94 aligned with each other. They can be prebound in the relatively aligned arrangement, that is, fixed relative to each other.

Sodann werden die beiden Wafer, das heißt die beiden Fügeteile 71, 72, im Fügeschritt 117 zum Waferstapel 121 zusammengefügt, insbesondere gebondet. Wie aus der 23B qualitativ ersichtlich ist, wird die gesamte mechanische Aufhängung des Spiegelkörpers 27 in diesem Prozessstadium noch von massiven Siliziumstrukturen unterstützt. Sie ist daher durch das Siliziumvolumen vor einer Beschädigung durch den Bondingdruck geschützt. Then the two wafers, that is, the two parts to be joined 71 . 72 , in the joining step 117 to the wafer stack 121 joined together, in particular bonded. Like from the 23B is qualitatively apparent, the entire mechanical suspension of the mirror body 27 supported by massive silicon structures at this stage of the process. It is therefore protected by the silicon volume from damage by the bonding pressure.

In einem nachfolgenden Lithographieschritt wird die Lücke 157 zwischen dem Substrat 39 und dem Ausgleichsgewicht 64 definiert (siehe 24). Außerdem werden hierbei die tortenstückartigen Strukturen 158 unterhalb der mit dem Spiegelkörper 27 fest verbundenen Blöcke und die Zugangsöffnung 159 unterhalb des Siliziumsockels 160 unterhalb der Aufhängung des Spiegelkörpers 27 definiert. Die Lücke 157 sowie die Zugangsöffnung 159 werden durch ein DRIE-Verfahren in die untere Lage 92 eingebracht. Sie weisen ein Verhältnis von Breite zu Höhe/Tiefe im Bereich von 1:15 bis 1:10 auf. Anschließend wird die vergrabene Siliziumdioxidschicht 90 weggeätzt. In a subsequent lithography step, the gap becomes 157 between the substrate 39 and the balance weight 64 defined (see 24 ). In addition, here are the pie-like structures 158 below the mirror body 27 firmly connected blocks and the access opening 159 below the silicon base 160 below the suspension of the mirror body 27 Are defined. The gap 157 as well as the access opening 159 become in the lower situation by a DRIE procedure 92 brought in. They have a width to height / depth ratio in the range of 1:15 to 1:10. Subsequently, the buried silicon dioxide layer 90 etched away.

In einem darauffolgenden Passivierungsschritt 161 werden alle offenen, innenliegenden Oberflächen durch eine Abscheidung einer Lage 162 aus Siliziumdioxid passiviert. Die Siliziumdioxidlage 162 wird aus einer Gasphase abgeschieden, insbesondere in Form von TEOS oder mit Hilfe eines LPCVD-Verfahrens. In a subsequent passivation step 161 All open, interior surfaces are deposited by depositing a layer 162 made of silica passivated. The silicon dioxide layer 162 is deposited from a gas phase, in particular in the form of TEOS or by means of an LPCVD process.

In einem nachfolgenden Ätzschritt 163 mit hohem Substratbias, um die Ätz-Anisotropie zu erhöhen, wird ausschließlich Siliziumdioxid, welches sich auf horizontalen Oberflächen, insbesondere ein Boden der 157 und der Zugangsöffnung 159, befindet weggeätzt. Hierbei werden die vertikalen Seitenwände 164 der Lücke 157 weiter passiviert und somit vor dem nachfolgenden Ätzschritt geschützt. In a subsequent etching step 163 With high substrate bias to increase the etching anisotropy, only silica, which is on horizontal surfaces, especially a bottom of the 157 and the access opening 159 , is etched away. Here are the vertical side walls 164 the gap 157 passivated and thus protected from the subsequent etching step.

Beim Ätzschnitt 163 wird ein Zugangskanal zu einem Volumen mit Opfersilizium 166 geschaffen. In einem nachfolgenden Ätzschritt 165 unter Xenonfluorid (XeF2) wird das Opfersilizium 166 unter der Aufhängung 167 des Spiegelkörpers 27 mit den Federstrukturen und der stärkeren Aufhängungsplatte, entfernt. Die übrigen funktionalen Strukturen werden von diesem Ätzschritt 165 nicht beeinflusst, da das Volumen mit dem Opfersilizium 166 von allen Seiten von einer Siliziumdioxidschicht umgeben ist mit Ausnahme der Zugangsöffnung 159. Alle übrigen Silizium-Oberflächen sind passiviert. When etching 163 becomes an access channel to a volume of sacrificial silicon 166 created. In a subsequent etching step 165 under xenon fluoride (XeF 2 ) is the sacrificial silicon 166 under the suspension 167 of the mirror body 27 with the spring structures and the stronger suspension plate removed. The remaining functional structures are from this etching step 165 not affected, since the volume with the sacrificial silicon 166 surrounded by a silicon dioxide layer on all sides except the access opening 159 , All other silicon surfaces are passivated.

In einem optionalen Klebe- oder Bondingsschritt kann ein Abdeckwafer auf die Rückseite des Bauelements 30, das heißt auf die dem Spiegelkörper 27 abgewandte Seite der unteren Lage 92, aufgebracht werden. In an optional bonding or bonding step, a capping wafer may be applied to the backside of the device 30 that is, on the mirror body 27 opposite side of the lower layer 92 to be applied.

In einem weiteren Ätzschritt 168 wird die Siliziumschicht 129 auf der Vorderseite des Spiegelkörpers 27 entfernt. Der abschließende Löse- oder Befreiungsschritt 169 dient dem Lösen der Biegestrukturen des Gelenks 32 sowie des Spiegelkörpers 27. Hierfür ist ein Ätzverfahren in Flusssäuredampf vorgesehen (siehe 15 und 26). In a further etching step 168 becomes the silicon layer 129 on the front of the mirror body 27 away. The final dissolution or exemption step 169 serves to release the bending structures of the joint 32 as well as the mirror body 27 , For this purpose, an etching process in hydrofluoric acid vapor is provided (see 15 and 26 ).

Die im Vorstehenden beschriebenen Verfahrensabläufe sind nicht als vollständig oder abschließend zu verstehen. Sie dienen lediglich der Erläuterung einer Abfolge von exemplarischen Verfahrensschritten bei der Herstellung des optischen Bauelements 30. Alternative oder weitere Verfahrensschritte, insbesondere weitere Nachbearbeitungsschritte, sind möglich. Es ist selbstverständlich insbesondere vorgesehen, den Spiegelkörper 27 noch mit einer geeigneten Beschichtung, insbesondere einer EUV-reflektierenden Beschichtung, zu versehen. Details hierfür sind dem Fachmann bekannt. The procedures described above are not intended to be exhaustive or to conclude. They merely serve to explain a sequence of exemplary method steps in the production of the optical component 30 , Alternative or further method steps, in particular further post-processing steps, are possible. It is of course provided in particular, the mirror body 27 still to be provided with a suitable coating, in particular an EUV-reflective coating. Details for this are known in the art.

Im Folgenden werden noch einmal stichwortartig wesentliche Vorteile der erfindungsgemäßen Verfahren zusammengefasst:
Die erfindungsgemäßen Verfahren ermöglichen die Herstellung eines Bauelements, insbesondere eines optischen Bauelements, insbesondere eines Mikrospiegel-Bauelements als Beispiel für ein MEMS, mit einer komplexen dreidimensionalen Struktur.
Substantial advantages of the methods according to the invention are again summarized below in the following:
The methods according to the invention make it possible to produce a component, in particular an optical component, in particular a micromirror component as an example of a MEMS, with a complex three-dimensional structure.

Die erfindungsgemäßen Verfahren ermöglichen die Herstellung eines Bauelements, insbesondere eines optischen Bauelements, insbesondere eines Mikrospiegel-Bauelements als Beispiel für ein MEMS, mit elektrischen und/oder mechanischen funktionellen Strukturen, welche innerhalb des Bauelements angeordnet und daher von außen unzugänglich sind. The methods according to the invention make it possible to produce a component, in particular an optical component, in particular a micromirror component as an example of a MEMS, with electrical and / or mechanical functional structures which are arranged inside the component and are therefore inaccessible from the outside.

Die erfindungsgemäßen Verfahren sind besonders gut kontrollierbar. Sie bauen ausschließlich auf Verfahrensschritten, insbesondere zur Mikrostrukturierung von Wafern, insbesondere von monokristallinen Siliziumwafern, auf. The methods of the invention are particularly easy to control. They are based exclusively on process steps, in particular for the microstructuring of wafers, in particular of monocrystalline silicon wafers.

Die monokristallinen Siliziumwafer, welche als Ausgangsmaterialien für die Fügeteile 71, 72 und damit für das optische Bauelement 30 dienen, weisen wohl definierte Eigenschaften auf, welche unabhängig von den einzelnen Verfahrensschritten sind. Sie weisen insbesondere vorhersehbare und präzise reproduzierbare Eigenschaften auf. Diese können vom Waferlieferant ausgegeben werden. The monocrystalline silicon wafers, which are used as starting materials for the parts to be joined 71 . 72 and thus for the optical component 30 serve, have well-defined properties, which are independent of the individual process steps. In particular, they have predictable and precisely reproducible properties. These can be issued by the wafer supplier.

Das monokristalline Silizium weist eine hohe thermische Leitfähigkeit auf, insbesondere im Vergleich zu anderen Formen von Silizium, insbesondere polykristallinem Silizium. The monocrystalline silicon has a high thermal conductivity, in particular in comparison to other forms of silicon, in particular polycrystalline silicon.

Die erfindungsgemäßen Verfahren ermöglichen eine Parallelisierung, das heißt eine gleichzeitige Herstellung der unterschiedlichen Fügeteile 71, 72. The inventive method allow parallelization, that is, a simultaneous production of the different parts to be joined 71 . 72 ,

Die erfindungsgemäßen Verfahren ermöglichen eine Herstellung der Fügeteile 71, 72 unabhängig von deren Zusammenfügen. The methods according to the invention enable production of the parts to be joined 71 . 72 regardless of their joining.

Die erfindungsgemäßen Verfahren sind CMOS-kompatibel. The methods of the invention are CMOS compatible.

Die erfindungsgemäßen Verfahren umfassen weniger Verfahrensschritte als übliche Herstellungsverfahren. Die erfindungsgemäßen Verfahren führen zu einer erheblichen Zeitersparnis bei der Herstellung des optischen Bauelements 30. Im Vergleich zu einem üblichen Herstellungsverfahren, bei welchem funktionelle Strukturen durch Auftragen von Schichten und anschließendem Strukturieren derselben hergestellt werden, kann die Gesamtzahl der Verfahrensschritte zur Herstellung des optischen Bauelements um mehr als 50%, insbesondere mehr als 60%, insbesondere mehr als 70%, insbesondere bis zu 80% reduziert werden. The processes according to the invention comprise fewer process steps than conventional production processes. The inventive methods lead to a considerable time savings in the production of the optical component 30 , Compared to a conventional production method in which functional structures are produced by applying layers and then structuring them, the total number of method steps for producing the optical component can be more than 50%, in particular more than 60%, in particular more than 70%. In particular, be reduced by up to 80%.

Die erfindungsgemäßen Verfahren führen zu erheblich reduzierten Kosten für das optische Bauelement 30. The inventive methods lead to significantly reduced costs for the optical component 30 ,

Die erfindungsgemäßen Verfahren führen zu einer höheren Ausbeute (production yield) bei der Herstellung der optischen Bauelemente 30. The processes according to the invention lead to a higher yield (production yield) in the production of the optical components 30 ,

Außerdem sind die Verfahrenschritte besser kontrollierbar als bei üblichen Herstellungsverfahren. In addition, the process steps are better controllable than in conventional production processes.

Das erfindungsgemäß hergestellte optische Bauelement 30, insbesondere der Einzelspiegel 20, zeichnet sich strukturell dadurch aus, dass die funktionellen Strukturen, insbesondere die Kamm-Finger 38, 43, aus monokristallinem Silizium sind. Sie sind insbesondere echt dreidimensional ausgebildet, das heißt sie weisen eine Erstreckung in Richtung parallel zu den Flächennormalen 89, 94 auf, welche mindestens 10 µm insbesondere mindestens 20 µm, insbesondere mindestens 30 µm, insbesondere mindestens 50 µm, insbesondere mindestens 100 µm, insbesondere mindestens 200 µm beträgt. Die Erstreckung in Richtung parallel zu den Flächennormalen 89, 94 beträgt insbesondere mindestens 5%, insbesondere mindestens 10%, insbesondere mindestens 20%, insbesondere mindestens 30%, insbesondere mindestens 40%, insbesondere mindestens 50% der lateralen Erstreckung der Einzelspiegel 20, insbesondere deren Kantenlänge in Richtung senkrecht zu den Flächennormalen 89, 94. The optical component produced according to the invention 30 , especially the individual mirror 20 , is characterized structurally in that the functional structures, especially the comb-fingers 38 . 43 , are made of monocrystalline silicon. In particular, they are of real three-dimensional design, that is to say they have an extension in the direction parallel to the surface normals 89 . 94 which is at least 10 μm, in particular at least 20 μm, in particular at least 30 .mu.m, in particular at least 50 .mu.m, in particular at least 100 .mu.m, in particular at least 200 microns. The extension in the direction parallel to the surface normals 89 . 94 is in particular at least 5%, in particular at least 10%, in particular at least 20%, in particular at least 30%, in particular at least 40%, in particular at least 50% of the lateral extension of the individual mirrors 20 , in particular their edge length in the direction perpendicular to the surface normal 89 . 94 ,

Die Kamm-Finger 38, 43 weisen einen Überlapp (z) in Richtung der Flächennormalen 89, 94 auf, welcher mindestens 5 μm, insbesondere mindestens 10 μm, insbesondere mindestens 20 μm, insbesondere, mindestens 30 μm, insbesondere mindestens 30 μm, insbesondere mindestens 40 μm beträgt. The comb fingers 38 . 43 have an overlap (z) in the direction of the surface normal 89 . 94 which is at least 5 μm, in particular at least 10 μm, in particular at least 20 μm, in particular, at least 30 μm, in particular at least 30 μm, in particular at least 40 μm.

Bezüglich des lateralen Abstands der Kamm-Finger 38, 43 sei auf die vorhergehende Beschreibung verwiesen. Die Kamm-Finger 38, 43 sind insbesondere über den gesamten Verschwenkbereich des Einzelspiegels 20 voneinander beabstandet, d.h. kollisionsfrei. Regarding the lateral distance of the comb fingers 38 . 43 Please refer to the previous description. The comb fingers 38 . 43 are in particular over the entire pivoting range of the individual mirror 20 spaced apart, ie collision-free.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • WO 2012/130768 A2 [0002, 0099] WO 2012/130768 A2 [0002, 0099]
  • DE 102013204546 A1 [0002] DE 102013204546 A1 [0002]
  • WO 2010/049076 A2 [0086] WO 2010/049076 A2 [0086]
  • EP 1225481 A2 [0090] EP 1225481 A2 [0090]
  • DE 102013206529 A1 [0105] DE 102013206529 A1 [0105]
  • DE 102015204874 [0156] DE 102015204874 [0156]

Claims (18)

Verfahren zur Herstellung eines mikroelektromechanischen Bauelements (20, 30) mit mindestens einem beweglichen Bestandteil, umfassend die folgenden Schritte: 1.1. Bereitstellen eines ersten Substrats (74) zur Herstellung eines ersten Fügeteils (71), 1.2. Bereitstellen mindestens eines zweiten Substrats (75) zur Herstellung mindestens eines zweiten Fügeteils (72), 1.3. Herstellen des ersten Fügeteils (71) mit ersten funktionellen Strukturen (43, 53) aus dem ersten Substrat (74), 1.4. Herstellen des zweiten Fügeteils (72) mit zweiten funktionellen Strukturen (50, 51, 52, 38) aus dem zweiten Substrat (75), 1.5. Zusammenfügen der Fügeteile (71, 72), 1.5.1. wobei die Fügeteile (71, 72) mit einer Genauigkeit von besser als 5 µm zusammengefügt werden 1.6. Auslösen mindestens eines beweglichen Bestandteils aus mindestens einem der Fügeteile (71, 72). Method for producing a microelectromechanical component ( 20 . 30 ) with at least one moving component, comprising the following steps: 1.1. Providing a first substrate ( 74 ) for producing a first joining part ( 71 1.2. Providing at least one second substrate ( 75 ) for producing at least one second joining part ( 72 ), 1.3. Producing the first part to be joined ( 71 ) with first functional structures ( 43 . 53 ) from the first substrate ( 74 1.4. Producing the second joining part ( 72 ) with second functional structures ( 50 . 51 . 52 . 38 ) from the second substrate ( 75 1.5. Assembly of the joining parts ( 71 . 72 ), 1.5.1. where the joining parts ( 71 . 72 ) are joined together with an accuracy better than 5 μm 1.6. Triggering at least one movable component from at least one of the parts to be joined ( 71 . 72 ). Verfahren gemäß Anspruch 1, dadurch gekennzeichnet, dass die funktionellen Strukturen (53, 38) nach dem Zusammenfügen der Fügeteile (71, 72) einen Überlapp (z) von mindestens 5 µm in Richtung parallel zu einer zentralen Flächennormalen (89) des ersten Substrats (74) aufweisen. Method according to claim 1, characterized in that the functional structures ( 53 . 38 ) after joining the parts to be joined ( 71 . 72 ) has an overlap (z) of at least 5 μm in the direction parallel to a central surface normal ( 89 ) of the first substrate ( 74 ) exhibit. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die funktionellen Strukturen (53, 38) den mindestens einen beweglichen Bestandteil umfassen, welcher über seinen gesamten Bewegungsumfang kollisionsfrei mit den übrigen Bestandteilen des mikroelektromechanischen Bauelements (20, 30) ist. Method according to one of the preceding claims, characterized in that the functional structures ( 53 . 38 ) comprise the at least one movable component which, over its entire range of motion, is collision-free with the remaining components of the microelectromechanical component ( 20 . 30 ). Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass zumindest ein Teil der ersten funktionellen Strukturen (43, 53) mit zumindest einem Teil der zweiten funktionellen Strukturen (50, 51, 52, 38) nach dem Zusammenfügen der Fügeteile (71, 72) zusammenwirken oder gemeinsame funktionelle Strukturen bilden. Method according to one of the preceding claims, characterized in that at least a part of the first functional structures ( 43 . 53 ) with at least a part of the second functional structures ( 50 . 51 . 52 . 38 ) after joining the parts to be joined ( 71 . 72 ) or form common functional structures. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Fügeteile (71, 72) derart zusammengefügt werden, dass die funktionellen Strukturen (43, 53; 50, 51, 52, 38) nach dem Zusammenfügen unzugänglich sind. Method according to one of the preceding claims, characterized in that the joining parts ( 71 . 72 ) are assembled in such a way that the functional structures ( 43 . 53 ; 50 . 51 . 52 . 38 ) are inaccessible after assembly. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass zur Herstellung mindestens eines der Fügeteile (71, 72) aus einem der Substrate (74, 75) jeweils vertikale Strukturierungsschritte vorgesehen sind. Method according to one of the preceding claims, characterized in that for the production of at least one of the joining parts ( 71 . 72 ) from one of the substrates ( 74 . 75 ) each vertical structuring steps are provided. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die funktionellen Strukturen (43, 53, 50, 51, 52, 38) echt dreidimensional ausgebildet sind. Method according to one of the preceding claims, characterized in that the functional structures ( 43 . 53 . 50 . 51 . 52 . 38 ) are formed real three-dimensional. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die ersten funktionellen Strukturen Kammfinger (43) einer ersten Kammelektrode und die zweiten funktionellen Strukturen Kammfinger (38) einer zweiten Kammelektrode umfassen, wobei die zweite Kammelektrode nach dem Zusammenfügen der Fügeteile (71, 72) eine Gegenelektrode zur ersten Kammelektrode bildet. Method according to one of the preceding claims, characterized in that the first functional structures are comb fingers ( 43 ) of a first comb electrode and the second functional structures comb fingers ( 38 ) comprise a second comb electrode, wherein the second comb electrode after joining the joining parts ( 71 . 72 ) forms a counter electrode to the first comb electrode. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Fügeteile (71, 72) in parallelen Prozessen hergestellt werden. Method according to one of the preceding claims, characterized in that the joining parts ( 71 . 72 ) are produced in parallel processes. Verfahren gemäß einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Fügeteile (71, 72) vor dem Zusammenfügen einem Testprozess unterzogen werden. Method according to one of the preceding claims, characterized in that the joining parts ( 71 . 72 ) are subjected to a test process before assembly. Mikrospiegel (20, 30) für ein Mikrospiegelarray (19) einer Projektionsbelichtungsanlage (1) umfassend: 11.1. ein erstes Fügeteil (71), 11.1.1. welches einen Spiegelkörper (27) und 11.1.2. zumindest eine erste funktionelle Struktur (43, 53) umfasst, 11.2. mindestens ein zweites Fügeteil (72), 11.2.1. welches eine Tragestruktur (92) und 11.2.2. zumindest eine zweite funktionelle Struktur (50, 51, 52, 38) umfasst, 11.3. wobei die Fügeteile (71, 72) in einem Fügebereich zusammengebondet sind, und 11.4. wobei zumindest die funktionellen Strukturen (43, 53, 50, 51, 52, 38) zumindest teilweise aus monokristallinem Silizium hergestellt sind. Micromirror ( 20 . 30 ) for a micromirror array ( 19 ) of a projection exposure apparatus ( 1 ): 11.1. a first joining part ( 71 11.1.1. which a mirror body ( 27 ) and 11.1.2. at least a first functional structure ( 43 . 53 ), 11.2. at least one second joining part ( 72 11.2.1. which has a carrying structure ( 92 ) and 11.2.2. at least one second functional structure ( 50 . 51 . 52 . 38 ), 11.3. where the joining parts ( 71 . 72 ) are bonded together in a joint area, and 11.4. where at least the functional structures ( 43 . 53 . 50 . 51 . 52 . 38 ) are at least partially made of monocrystalline silicon. Mikrospiegel (20, 30) gemäß Anspruch 10, dadurch gekennzeichnet, dass die funktionellen Strukturen (43, 53, 50, 51, 52, 38) eine Erstreckung in Richtung parallel zu einer zentralen Flächennormalen (89) des Mikrospiegels (20, 30) aufweisen, welche mindestens 5 % einer Erstreckung des Spiegelkörpers (27) in Richtung senkrecht hierzu beträgt. Micromirror ( 20 . 30 ) according to claim 10, characterized in that the functional structures ( 43 . 53 . 50 . 51 . 52 . 38 ) an extension in the direction parallel to a central surface normal ( 89 ) of the micromirror ( 20 . 30 ), which at least 5% of an extension of the mirror body ( 27 ) in the direction perpendicular thereto. Mikrospiegel (20, 30) gemäß einem der Ansprüche 11 oder 12, dadurch gekennzeichnet, dass der Spiegelkörper (27) relativ zur Tragestruktur (92) verlagerbar ist, wobei die funktionellen Strukturen (43) des ersten Fügeteils (71) und die funktionellen Strukturen (38) des zweiten Fügeteils (72) über den gesamten Bewegungsumfang des Spiegelkörpers (27) einen vorgegebenen Mindestabstand zueinander aufweisen. Micromirror ( 20 . 30 ) according to one of claims 11 or 12, characterized in that the mirror body ( 27 ) relative to the support structure ( 92 ) is displaceable, the functional structures ( 43 ) of the first part to be joined ( 71 ) and the functional structures ( 38 ) of the second joining part ( 72 ) over the entire range of motion of the mirror body ( 27 ) have a predetermined minimum distance from each other. Mikrospiegelarray (19) für eine Projektionsbelichtungsanlage (1) mit einer Vielzahl von Mikrospiegeln (20, 30) gemäß einem der Ansprüche 11 bis 13. Micromirror array ( 19 ) for a projection exposure apparatus ( 1 ) with a plurality of micromirrors ( 20 . 30 ) according to any one of claims 11 to 13. Optisches System für eine Projektionsbelichtungsanlage (1) umfassend mindestens einen Facettenspiegel (13, 14) mit einem Mikrospiegelarray (19) gemäß Anspruch 14. Optical system for a projection exposure apparatus ( 1 ) comprising at least one facet mirror ( 13 . 14 ) with a micromirror array ( 19 ) according to claim 14. Projektionsbelichtungsanlage (1) für die Mikrolithographie umfassend 16.1. ein optisches System gemäß Anspruch 15, und eine 16.2. Projektionsoptik (7) zur Abbildung eines Objektfeldes (5) in ein Bildfeld (8). Projection exposure apparatus ( 1 ) for microlithography 16.1. an optical system according to claim 15, and a 16.2. Projection optics ( 7 ) for mapping an object field ( 5 ) in an image field ( 8th ). Verfahren zur Herstellung eines mikro- oder nanostrukturierten Bauelements umfassend die folgenden Schritte: 17.1. Bereitstellen einer Projektionsbelichtungsanlage (1) nach Anspruch 16, 17.2. Bereitstellen eines Substrats, auf das zumindest teilweise eine Schicht aus einem lichtempfindlichen Material aufgebracht ist, 17.3. Bereitstellen eines Retikels mit abzubildenden Strukturen, 17.4. Projizieren mindestens eines Teils des Retikels auf einen Bereich der lichtempfindlichen Schicht mittels der Projektionsbelichtungsanlage (1), 17.5. Entwickeln der belichteten lichtempfindlichen Schicht. A method of manufacturing a micro- or nanostructured device comprising the following steps: 17.1. Providing a projection exposure apparatus ( 1 ) according to claim 16, 17.2. Providing a substrate, on which at least partially a layer of a photosensitive material is applied, 17.3. Providing a reticle with structures to be imaged, 17.4. Projecting at least a portion of the reticle onto a portion of the photosensitive layer by means of the projection exposure apparatus ( 1 ), 17.5. Developing the exposed photosensitive layer. Bauelement hergestellt nach einem Verfahren gemäß Anspruch 17.  Component produced by a method according to claim 17.
DE102015220018.3A 2015-10-15 2015-10-15 Method for producing a microelectromechanical component with at least one movable component Ceased DE102015220018A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102015220018.3A DE102015220018A1 (en) 2015-10-15 2015-10-15 Method for producing a microelectromechanical component with at least one movable component

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102015220018.3A DE102015220018A1 (en) 2015-10-15 2015-10-15 Method for producing a microelectromechanical component with at least one movable component

Publications (1)

Publication Number Publication Date
DE102015220018A1 true DE102015220018A1 (en) 2016-10-06

Family

ID=56937486

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015220018.3A Ceased DE102015220018A1 (en) 2015-10-15 2015-10-15 Method for producing a microelectromechanical component with at least one movable component

Country Status (1)

Country Link
DE (1) DE102015220018A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015225535A1 (en) 2015-12-17 2016-10-27 Carl Zeiss Smt Gmbh Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors
WO2024052150A1 (en) 2022-09-09 2024-03-14 Robert Bosch Gmbh Micromirror array having a number of individual mirror elements
DE102022209427A1 (en) 2022-09-09 2024-03-14 Carl Zeiss Smt Gmbh Micromirror arrangement with spring-mounted individual mirror elements

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1225481A2 (en) 2001-01-23 2002-07-24 Carl Zeiss Semiconductor Manufacturing Technologies Ag Collector for an illumination system with wavelength of 193 nm
WO2010049076A2 (en) 2008-10-20 2010-05-06 Carl Zeiss Smt Ag Optical module for guiding a radiation beam
EP2013137B1 (en) * 2006-04-28 2011-10-12 Tronic's Microsystems Process for collective manufacturing of membranes and small volume cavities having high dimensional accuracy
WO2012130768A2 (en) 2011-03-25 2012-10-04 Carl Zeiss Smt Gmbh Mirror array
DE102012217853A1 (en) * 2011-10-04 2013-04-04 Institut für Mikroelektronik- und Mechatronik-Systeme gGmbH Arrangement for producing defined gap between electrode areas on metal oxide semiconductor components for chemical and biochemical sensors in sensor system, has surface pattern formed on components to obtain gap with adjustable width
DE102013206529A1 (en) 2013-04-12 2014-04-24 Carl Zeiss Smt Gmbh Micro actuator for shift of micro mirror of lighting system for projection exposure system, has lever arm extending in direction of actuation element and supported around pivotal axis
DE102013204546A1 (en) 2013-03-15 2014-09-18 Carl Zeiss Smt Gmbh Optical component
DE102013213717A1 (en) * 2013-07-12 2015-01-15 Robert Bosch Gmbh MEMS device with a microphone structure and method for its manufacture
DE102015204874A1 (en) 2015-03-18 2016-09-22 Carl Zeiss Smt Gmbh Device for pivoting a mirror element with two pivoting degrees of freedom

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1225481A2 (en) 2001-01-23 2002-07-24 Carl Zeiss Semiconductor Manufacturing Technologies Ag Collector for an illumination system with wavelength of 193 nm
EP2013137B1 (en) * 2006-04-28 2011-10-12 Tronic's Microsystems Process for collective manufacturing of membranes and small volume cavities having high dimensional accuracy
WO2010049076A2 (en) 2008-10-20 2010-05-06 Carl Zeiss Smt Ag Optical module for guiding a radiation beam
WO2012130768A2 (en) 2011-03-25 2012-10-04 Carl Zeiss Smt Gmbh Mirror array
DE102012217853A1 (en) * 2011-10-04 2013-04-04 Institut für Mikroelektronik- und Mechatronik-Systeme gGmbH Arrangement for producing defined gap between electrode areas on metal oxide semiconductor components for chemical and biochemical sensors in sensor system, has surface pattern formed on components to obtain gap with adjustable width
DE102013204546A1 (en) 2013-03-15 2014-09-18 Carl Zeiss Smt Gmbh Optical component
DE102013206529A1 (en) 2013-04-12 2014-04-24 Carl Zeiss Smt Gmbh Micro actuator for shift of micro mirror of lighting system for projection exposure system, has lever arm extending in direction of actuation element and supported around pivotal axis
DE102013213717A1 (en) * 2013-07-12 2015-01-15 Robert Bosch Gmbh MEMS device with a microphone structure and method for its manufacture
DE102015204874A1 (en) 2015-03-18 2016-09-22 Carl Zeiss Smt Gmbh Device for pivoting a mirror element with two pivoting degrees of freedom

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015225535A1 (en) 2015-12-17 2016-10-27 Carl Zeiss Smt Gmbh Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors
WO2024052150A1 (en) 2022-09-09 2024-03-14 Robert Bosch Gmbh Micromirror array having a number of individual mirror elements
DE102022209411A1 (en) 2022-09-09 2024-03-14 Carl Zeiss Smt Gmbh Micromirror arrangement with a number of individual mirror elements
DE102022209427A1 (en) 2022-09-09 2024-03-14 Carl Zeiss Smt Gmbh Micromirror arrangement with spring-mounted individual mirror elements
WO2024052172A1 (en) 2022-09-09 2024-03-14 Robert Bosch Gmbh Micromirror array with resiliently mounted individual mirror elements

Similar Documents

Publication Publication Date Title
DE102015204874A1 (en) Device for pivoting a mirror element with two pivoting degrees of freedom
DE102016213026A1 (en) Sensor means
DE102013206529A1 (en) Micro actuator for shift of micro mirror of lighting system for projection exposure system, has lever arm extending in direction of actuation element and supported around pivotal axis
DE102009000099A1 (en) Micro mirror array with double bending beam arrangement and electronic actuators
DE102013206531A1 (en) Device for displacing micromirror in optical module of illumination system, has compensating unit compensating linear displacement of micromirror or predetermined pivot axis during pivoting of micromirror
DE102014203189A1 (en) Mirror array
DE102013201506A1 (en) Optical component
WO2010049076A2 (en) Optical module for guiding a radiation beam
DE102013204546A1 (en) Optical component
DE102015220018A1 (en) Method for producing a microelectromechanical component with at least one movable component
EP2841977B1 (en) Optical component for guiding a radiation beam
WO2015028450A1 (en) Optical component
DE102022209935A1 (en) Device for stress-reduced storage of MEMS-based micromirrors
DE102013209442A1 (en) Optical component
EP3234695B1 (en) Mirror device
WO2015124553A1 (en) Method for illuminating an object field of a projection exposure system
DE102015211472A1 (en) MICRO-ELECTROMECHANICAL SYSTEM AND METHOD FOR MANUFACTURING A MICRO-ELECTROMECHANICAL SYSTEM
DE102022212904A1 (en) Individual mirror of a facet mirror of an illumination optics of a projection exposure system
EP3274756B1 (en) Multi-mirror array
DE102012206609B4 (en) Beam guidance optics for a multi-beam system and method
DE102021116165B3 (en) LISSAJOUS MICROSCANNER WITH MIRROR CENTRAL SUSPENSION AND METHOD FOR ITS MANUFACTURE
DE102023204477A1 (en) Microelectromechanical system (MEMS)
DE60116969T2 (en) Production of a mirror structure
DE102018132830A1 (en) mirror
DE102015225535A1 (en) Method for producing a multi-mirror arrangement with a plurality of displaceable individual mirrors

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R230 Request for early publication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final