DE102015006465B4 - Nanoröhrenstruktur-basierter metall-damaszener-prozess - Google Patents

Nanoröhrenstruktur-basierter metall-damaszener-prozess Download PDF

Info

Publication number
DE102015006465B4
DE102015006465B4 DE102015006465.7A DE102015006465A DE102015006465B4 DE 102015006465 B4 DE102015006465 B4 DE 102015006465B4 DE 102015006465 A DE102015006465 A DE 102015006465A DE 102015006465 B4 DE102015006465 B4 DE 102015006465B4
Authority
DE
Germany
Prior art keywords
nanotubes
substrate
layer
groups
insulating material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102015006465.7A
Other languages
English (en)
Other versions
DE102015006465A1 (de
Inventor
Jürgen Steinbrenner
Ravi Keshav Joshi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102015006465A1 publication Critical patent/DE102015006465A1/de
Application granted granted Critical
Publication of DE102015006465B4 publication Critical patent/DE102015006465B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02606Nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02645Seed materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat (100), wobei das Verfahren aufweist: Abscheiden eines Katalysatormaterials, zumindest umfassend eine erste Materialschicht (102) auf dem Substrat (100) und eine zweite Materialschicht (104) über der ersten Materialschicht (102); Ausbilden einer Mehrzahl von Gruppen von Nanoröhren (106) über dem Katalysatormaterial, wobei jede Gruppe (116) von Nanoröhren (106) isolierendes Material (110) zwischen den Nanoröhren (106) aufweist und wobei das Ausbilden der Mehrzahl von Gruppen (116) von Nanoröhren (106) das Entfernen einer Mehrzahl von Nanoröhren (106) zusammen mit dazwischen abgeschiedenem isolierenden Material (110) von einer einheitlichen Anordnung von Nanoröhren (106) mit dazwischen abgeschiedenem isolierenden Material (110) aufweist, derart dass ein Abschnitt des Substrats (100) freigelegt wird; Ausbilden von Metall (114) über dem freigelegten Abschnitt (112) des Substrats (100) zwischen den Gruppen (116) von Nanoröhren (106); Entfernen der Gruppen von Nanoröhren (106) zusammen mit dem dazwischen abgeschiedenen isolierenden Material (110); und Entfernen des Katalysatormaterials von unterhalb der Mehrzahl von Nanoröhren (106), welche zusammen mit dazwischen abgeschiedenem isolierendem Material (110) entfernt wurden, wobei die erste Materialschicht (102) eines von Aluminium, Tantal, Nickel, Titan, Chrom, Eisen und Wolfram ist; und wobei die zweite Materialschicht (104) eines von Eisen, Kobalt und Nickel ist.

Description

  • Verschiedene Ausführungsformen betreffen einen Damaszener-Prozess basierend auf Nanoröhrenstrukturen, beispielsweise Kohlenstoff-Nanoröhren(Carbon Nanotube, CNT)-Strukturen.
  • Um die Notwendigkeit für eine bessere thermische und elektrische Leitfähigkeit in der Halbleiterherstellung zu überwinden, werden zunehmend Metalle mit besserer elektrischer und thermischer Leitfähigkeit, wie beispielsweise Kupfer, verwendet, um Metalle mit niedriger Leitfähigkeit, wie beispielsweise Aluminium, für die Power-Metallisierung zu ersetzen. Die hauptsächliche Hürde auf diesem Weg ist die Strukturierung des Power-Metalls in FEOL(Front End of Line)- sowie BEOL(Back End of Line)-Prozessen. Metalle, wie beispielsweise Kupfer, können nicht mit herkömmlichen Verfahren (Nass- oder Trockenätzen) aufgrund der Nichtverfügbarkeit der richtigen Ätzmittel strukturiert werden. Daher werden Metalle in der jetzigen Stufe während der Bereitstellung von Power-Metallisierung durch ein Verfahren namens Dual-Damaszener strukturiert, indem ein Halbleiterwerkstück mit offenen Gräben strukturiert wird, z. B. in einer Oxidschicht ausgebildet, welche dann mit einer dicken Schicht aus Metall, typischerweise Kupfer, gefüllt werden, was in der Regel die Gräben überfüllt, und chemisch-mechanische Planarisierung (CMP) wird verwendet, um die überschüssigen Abschnitte des Metalls zu entfernen. Hierzu werden dickere Photoresist- oder Oxidschichten, z. B. im Bereich von 10 μm oder mehr, für den Strukturierungsprozess des Metalls verwendet. Die größere Dicke des Photoresists und dessen Strukturierung führt zu höheren Kosten und zusätzlich können technische Probleme bei der Photolithographie sowie Resiststreifen entstehen. Ferner, wenn Kupferstrukturen mit einer Dicke von 50 μm benötigt werden, erreicht dieser konventionelle Herstellungsprozess seinen Anwendungsgrenzwert.
  • US 2004/0169281 A1 beschreibt ein Verfahren zum Bilden einer dielektrischen Schicht mit niedriger Dielektrizitätszahl, die eine Schicht von Kohlenstoff-Nanoröhren aufweist, und zum Bilden einer leitfähigen Struktur in der elektrischen Schicht mit niedriger Dielektrizitätszahl. Darin werden in einer Ausführungsform Gruppen von Nanoröhren gebildet, wobei zwischen den Nanoröhren innerhalb einer Gruppe ein dielektrisches Material abgeschieden wird. Zwischen den Gruppen von Nanoröhren werden Verbindungsöffnungen gebildet, die mit einem leitfähigen Material gefüllt werden. Über der gesamten Struktur aus Gruppen von Nanoröhren und dem leitfähigen Material wird eine Deckschicht abgeschieden.
  • US 2005/0148271 A1 beschreibt ein Verfahren zum thermischen Wachsen von Kohlenstoff-Nanoröhren auf einem Substrat, wobei auf dem Substrat eine Haftschicht und eine Katalysatorschicht gebildet werden, auf der wiederum die Kohlenstoff-Nanoröhrenschicht gebildet wird. Hierbei können die Haftschicht und die Katalysatorschicht strukturiert werden, bevor die Kohlenstoff-Nanoröhren aufgewachsen werden. Eine weitere Behandlung der Kohlenstoff-Nanoröhrenschicht ist nicht vorgesehen.
  • US 6 340 822 B1 beschreibt ein Verfahren zum Herstellen einer Schaltkreis-Vorrichtung, bei dem eine Mehrzahl von Nanodrähten auf einem Substrat gebildet werden, die Länge der Mehrzahl der Nanodrähte egalisiert wird und die Enden der Mehrzahl von Nanoröhren auf eine erste Schaltkreis-Schicht übertragen und mit dieser verbunden werden. Hiernach wird das Substrat entfernt, so dass die auf der ersten Schaltkreis-Schicht befestigten Nanodrähte des Weiteren mit einer zweiten Schaltkreis-Schicht verbunden werden können, wodurch eine Schaltkreis-Vorrichtung mit vertikalen Zwischenverbindungen bereitgestellt wird.
  • US 2006/0128137 A1 beschreibt ein Verfahren zum Herstellen eines Dielektrikums in einem integrierten Schaltkreis, bei dem Gruppen von Kohlenstoff-Nanoröhren gebildet werden, wobei zwischen den Kohlenstoff-Nanoröhren der jeweiligen Gruppe ein dielektrisches Material abgeschieden ist. Zwischen den Gruppen von Nanoröhren werden Einschnitte gebildet, die zum Beispiel als Verdrahtungsgräben genutzt werden können. Dafür können die Einschnitte vorher von den Bereichen, in denen die Nanoröhren gebildet sind, elektrisch isoliert werden, indem ein dielektrisches Material abgeschieden wird. Danach werden die Kohlenstoff-Nanoröhren entfernt, wobei das dazwischen abgeschiedene dielektrische Material und die Reste der für das Aufwachsen der Nanoröhren benötigten Katalysatorschicht auf dem Substrat bestehen bleiben.
  • In verschiedenen Ausführungsformen wird ein Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat gemäß den Patentansprüchen 1 oder 2 bereitgestellt.
  • Vorteilhafte Weiterbildungen ergeben sich aus den abhängigen Patentansprüchen. In verschiedenen Beispielen kann der Begriff „Nanoröhren” beliebige andere 1D-Strukturen umfassen, wie beispielsweise Nanodrähte, Nanofasern, Nanoröhren, Mikrodrähte, Mikrofasern und Mikroröhren.
  • In den Zeichnungen beziehen sich gleiche Bezugszeichen im Allgemeinen auf dieselben Teile in den verschiedenen Ansichten. Die Zeichnungen sind nicht notwendigerweise maßstabsgetreu; die Betonung liegt stattdessen im Allgemeinen auf der Veranschaulichung der Prinzipien der Erfindung. In der folgenden Beschreibung werden verschiedene Ausführungsformen der Erfindung unter Bezugnahme auf die folgenden Zeichnungen beschrieben, in denen:
  • 1A bis 1H ein Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat gemäß verschiedenen Ausführungsformen zeigen;
  • 2A bis 2D ein Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat gemäß verschiedenen weiteren Ausführungsformen zeigen;
  • 3 ein Flussdiagramm zeigt, welches den Prozessfluss des Verfahrens zum Herstellen einer Metallisierungsschicht auf einem Substrat gemäß verschiedenen weiteren Ausführungsformen beschreibt; und
  • 4 eine Halbleitervorrichtung gemäß verschiedenen Ausführungsformen zeigt.
  • Die folgende detaillierte Beschreibung bezieht sich auf die beigefügten Zeichnungen, welche durch Veranschaulichung spezifische Einzelheiten und Ausführungsformen, in denen die Erfindung ausgeführt werden kann, zeigen.
  • Wie hierin verwendet, bedeutet der Begriff „beispielhaft” „als Beispiel, Fall oder zur Veranschaulichung dienend”. Jede hierin als „beispielhaft” beschriebene Ausführungsform oder Konstruktion ist nicht notwendigerweise als gegenüber anderen Ausführungsformen oder Konstruktionen bevorzugt oder vorteilhaft auszulegen.
  • In verschiedenen Ausführungsformen des hierin beschriebenen Verfahrens können Nanoröhren, beispielsweise Kohlenstoff-Nanoröhren (Carbon Nanotubes, CNTs) verwendet werden, um offene Gräben auszubilden, in die ein Metall, beispielsweise Kupfer, gefüllt oder abgeschieden werden kann. Gemäß beispielhaften Ausführungsformen des Verfahrens können hochgradig ausgerichtete CNTs, welche auch als CNT-Wald bezeichnet werden, direkt auf dem Substrat gewachsen werden, auf dem die Power-Metallisierung bereitgestellt werden soll. Gemäß weiteren beispielhaften und nicht beanspruchten Ausfindungsformen kann eine Agglomeration von bereits hochgradig ausgerichteten CNTs auf einem separaten Substrat und/oder in einem separaten Verfahren gewachsen werden und dann auf das Substrat übertragen werden, auf dem die Power-Metallisierung bereitgestellt werden soll. Der Nanoröhrenwald kann zuerst strukturiert und dann mit einem elektrisch isolierenden Material gefüllt werden oder er kann mit einem elektrisch isolierenden Material gefüllt und dann strukturiert werden. Im Folgenden wird das elektrisch isolierende Material als isolierendes Material bezeichnet. In beiden Fällen wird ein strukturierter Nanoröhrenwald erhalten, welcher negative Stellen umfasst, d. h. Stellen, bei denen die Nanoröhren entfernt wurden, wodurch ein Muster von Gräben definiert wird. Die negativen Stellen können, wenn sie mit einem Metall, z. B. Kupfer, gefüllt werden, als elektrische Zwischenverbindungen zwischen einer Umverteilungsschicht und Vorrichtungen, welche innerhalb des Substrats ausgebildet werden, verwendet werden. Das isolierende Material kann ein Oxid sein (z. B. Siliziumoxid oder Titanoxid) oder Siliziumnitrid, um einige Beispiele zu nennen, und kann, wenn es zwischen den Nanoröhren abgeschieden wird, Strukturen mit einem elektrischen Widerstand im Bereich von Megaohm ausbilden. Die Tiefe der negativen Stellen, welche durch die Höhe der Nanoröhren, die diese umgeben, definiert ist, kann durch Anpassung der Wachstumszeit der Nanoröhren angepasst werden. Im Temperaturbereich von etwa 300°C bis etwa 750°C kann ein vertikales Wachstum im Bereich von etwa 30 μm bis etwa 40 μm pro Minute erreicht werden. Daher kann die Dicke des Power-Metalls, welches in den negativen Stellen abgeschieden wird, deren Tiefe durch die Höhe der Nanoröhren definiert ist, über einen weiten Bereich von einigen wenigen Mikrometern bis zu einigen wenigen Millimeter angepasst werden.
  • Obwohl CNTs als das prominenteste Beispiel von Nanoröhren angesehen werden können, gibt es zahlreiche andere 1D-Nanomaterialien, welche in einer ähnlichen Weise wie CNTs gewachsen werden können, um Wälder von hochgradig ausgerichteten Nanoröhren oder Nanodrähten zu erhalten, wie beispielsweise Silizium, Galliumnitrid (GaN), Galliumarsenid (GaAs), Oxide, wie beispielsweise Zinkoxid (ZnO), Eisenoxid (Fe2O3) usw., und daher in verschiedenen Ausführungsformen verwendet werden können. Jedoch wurde bis jetzt das Wachstum und die Struktur von CNTs gut untersucht, so dass CNTs bis zu einem sehr hohen Aspektverhältnis von etwa 20:1 oder mehr gewachsen werden können, was ihre Verwendung für die hierin beschriebene Anwendung ermöglicht. In dieser Beschreibung kann der Begriff „hochgradig ausgerichtet”, wie er hierin in Bezug auf Nanoröhren oder Nanodrähten verwendet wird, die 1D-Strukturen sind, verstanden werden, um auszudrücken, dass eine Mehrzahl von Nanoröhren oder Nanodrähten aus einer Gruppe von Nanoröhren oder Nanodrähten im Wesentlichen in die gleiche Richtung ausgerichtet sind. Beispielsweise können Nanoröhren oder Nanodrähte in dem Sinne hochgradig ausgerichtet sein, dass sie auf einer Oberfläche eines Substrats angeordnet sind und sich davon im Wesentlichen in die gleiche Richtung erstrecken, das heißt im Wesentlichen im gleichen Winkel (Neigungswinkel) in Bezug auf die Oberfläche, auf der sie angeordnet sind, wobei der Neigungswinkel etwa 90° beträgt, wobei Abweichungen von bis zu einigen wenigen Grad von der vertikalen aufrechten Position noch akzeptabel sind. Die Abweichung des Neigungswinkels von 90° für Nanodrähte oder Nanoröhren außer CNTs (wie beispielsweise ZnO, SfO2, SiNW usw.) kann größer als für CNTs sein und kann weitgehend vom Wachstumsprozess, von der Substratorientierung usw. abhängen. Die hochgradige Ausrichtung einer Mehrzahl von Nanoröhren/Nanodrähten aus einer Gruppe kann die Abscheidung des isolierenden Materials dazwischen erleichtern und als Stabilisierungsmatrix für das isolierende Material dienen. Der Begriff „Wald”, wie er hierin in Bezug auf Nanoröhren oder Nanodrähte verwendet wird, kann verstanden werden, um eine dichte Anordnung von hochgradig ausgerichteten Nanoröhren oder Nanodrähten auf einer Oberfläche zu bedeuten, wobei die Dichte im Bereich von etwa 1011 Nanoröhren pro Quadratzentimeter bis etwa 1013 Nanoröhren pro Quadratzentimeter liegen kann.
  • 1A bis 1H zeigen ein Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat gemäß verschiedenen Ausführungsformen durch Verwendung eines Waldes von hochgradig ausgerichteten Nanoröhren.
  • In 1A ist ein Substrat 100 gezeigt, auf dem eine Metallisierungsschicht bereitgestellt werden soll. Der Begriff „Metallisierungsschicht”, wie er hierin verwendet wird, kann beispielsweise verstanden werden, die Bedeutung einer Schicht zu haben, welche eine strukturierte Schicht sein kann, die ein Metall, einen Metallstapel mit einer Doppelschicht oder einer Multischicht aus unterschiedlichen Metallen oder eine Metalllegierung umfassen kann. Das Substrat 100 kann ein Halbleitersubstrat sein, welches in FEOL verarbeitet worden ist. Folglich kann das Substrat 100 einzelne Vorrichtungen umfassen, wie beispielsweise Transistoren, Kondensatoren, Widerstände usw., welche im Halbleiter in Form von unterschiedlich dotierten Bereichen bereitgestellt werden können.
  • 1B zeigt das Substrat 100, welches weiterverarbeitet wurde. Eine erste Schicht 102, welche Aluminium, Tantal oder Wolfram umfassen kann, ist auf dem Substrat 100 bereitgestellt. Die erste Schicht 102 kann eine Dicke in einem Bereich von etwa einigen zehn Nanometern bis zu etwa einigen Mikrometern aufweisen. Eine zweite Schicht 104, welche Eisen, Kobalt, Nickel oder ein beliebiges der Seltenerdmetalle umfassen kann, ist auf der ersten Schicht 102 bereitgestellt. Die zweite Schicht 104 kann eine Dicke in einem Bereich von etwa 0,5 nm bis etwa 3 nm aufweisen. Die erste Schicht 102 und die zweite Schicht 104 bilden ein Katalysatorsystem aus, welches das Wachstum oder Anbringen von Nanoröhren, beispielsweise CNTs, auf dem Substrat 100 ermöglicht. In einem gewissen Sinn kann das Verbundkatalysatorsystem mit der ersten Schicht 102 und der zweiten Schicht 104 als eine vermittelnde Schicht beim Prozess des Wachsens oder Anbringen von Nanoröhren/Nanodrähten auf oder an der Oberfläche des Substrats 100 angesehen werden. In dieser beispielhaften Ausführungsform umfasst das Katalysatorsystem zwei Schichten und ist daher multimetallisch. Jedoch kann das Katalysatorsystem nur eine der in 1B gezeigten Schichten umfassen und somit monometallisch sein. Der Stapel mit der ersten Schicht 102 und der zweiten Schicht 104 kann als ein Monoschicht- und/oder Multischichtstapel aus verschiedenen Metallen angesehen werden, welcher das Wachstum von Nanoröhren, wie beispielsweise CNTs, auf der Oberfläche des Substrats 100 unterstützen kann, und er kann ferner als elektrischer Kontakt dienen, welcher eine Metallabscheidung nach dem Nanoröhrenwachstumsprozess ermöglichen kann.
  • In 1C wird eine Mehrzahl von Nanoröhren 106 auf dem Substrat 100 bereitgestellt, welche einen Wald von Nanoröhren 108 ausbilden. Das Substrat 100 mit dem Katalysatorsystem mit den beiden Schichten 102, 104, welche darauf bereitgestellt sind, kann auf Temperaturen im Bereich von etwa 300°C bis etwa 750°C erhitzt werden, um die zweite Schicht 104 in Katalysatornanopartikel zu transformieren, welche als Seed-Zellen für das anschließende Wachstum von Nanoröhren dienen. Das Wachstum von Nanoröhren/Nanodrähten, beispielsweise CNTs, ist ein Prozess, welcher aus dem Stand der Technik gut bekannt ist, und wird nicht im Rahmen dieser Beschreibung beschrieben. Es genügt zu sagen, dass die Nanoröhren/Nanodrähte 106 mittels chemischer Dampfabscheidung (Chemical Vapour Deposition, CVD) auf dem Substrat 100 gewachsen werden können, beispielsweise durch gewöhnliche CVD, plasmaunterstützte CVD (Plasma Enhanced CVD, PECVD) oder Niederdruck-CVD (Low Pressure CVD, LPCVD). Im Rahmen dieser Beschreibung können die Begriffe „Nanoröhren” und „Nanodrähte” austauschbar verwendet werden, da sie als äquivalent gesehen werden können, in dem Sinne, dass beide im Wesentlichen eindimensionale Strukturen mit sehr hohen Aspektverhältnissen sind, welche auf Oberflächen in arbiträren Mustern bereitgestellt sein können.
  • Alternativ, und nicht beansprucht, können die Nanoröhren 106 in einem separaten Prozess auf einem separaten Substrat gewachsen und dann auf das Substrat 100 übertragen werden. In diesem Fall kann eine Unterbeschichtung oder eine haftvermittelnde Schicht zum Anbringen der Nanoröhren am Substrat 100 anstelle des Katalysatorsystems auf dem Substrat 100 abgeschieden werden, welche Lotpaste, Silberpaste oder eine Zinnlegierung umfassen kann. Diese alternative Weise der Herstellung der Nanoröhren 106 separat vom Substrat 100 kann vorteilhaft sein, wenn das Substrat 100 beispielsweise aus irgendeinem Grund nicht Temperaturbereichen ausgesetzt werden kann, welche für den Wachstumsprozess der Nanoröhren erforderlich sind.
  • In 1D wird das Substrat 100 nach einer weiteren Prozessstufe der Herstellung einer Metallisierungsschicht auf einem Substrat gezeigt. Nachdem der Wald von Nanoröhren 108 auf dem Substrat 100 entweder durch Wachstum darauf oder durch Übertragung von einfach gewachsenen Nanoröhren darauf bereitgestellt wurde, kann ein isolierendes Material 110 auf dem Wald von Nanoröhren 108 abgeschieden werden. Das isolierende Material 110 kann ein beliebiges Oxid (z. B. Siliziumoxid oder Titanoxid) oder Siliziumnitrid umfassen, um einige Beispiele zu nennen. Während der Abscheidung des isolierenden Materials 110 kann es sich zwischen den einzelnen Nanoröhren 106 absetzen und den Raum innerhalb des Waldes von Nanoröhren 108 von der Oberfläche des Substrats 100 (z. B. obere Oberfläche der ersten Schicht 102 oder einer entsprechenden Unterbeschichtungsschicht) wenigstens zu einer Ebene füllen, welche durch die freistehenden Endspitzen der Nanoröhren 106 definiert ist. Die Nanoröhren 106, welche im isolierenden Material 110 abgedeckt sind, bilden einen Isolator-Nanoröhren-Verbund 116 aus.
  • 1E zeigt das Substrat 100 mit dem Isolator-Nanoröhren-Verbund 116, welcher in mehrere Abschnitte oder Segmente strukturiert ist. Das heißt, der Isolator-Nanoröhren-Verbund 116 aus 1D ist strukturiert worden, um Hohlraumstellen aufzudecken, d. h. Stellen, bei denen die Nanoröhren 106 zusammen mit dem isolierenden Material 110 in ihrer unmittelbaren Umgebung entfernt wurden, so dass Gräben 112 (oder Spalten) ausgebildet werden. Mit anderen Worten wird eine Mehrzahl von Gruppen 116 von Nanoröhren, welche dazwischen das isolierende Material 110 enthalten, aus dem Isolator-Nanoröhren-Verbund 116 ausgebildet, welcher über dem Substrat 100 bereitgestellt wird, wobei die Mehrzahl von Gruppen 116 von Nanoröhren so angeordnet ist, dass Abschnitte des Substrats 100 freigelegt werden, wodurch die Gräben 116 oder negativen Hohlräume definiert werden. Der unstrukturierte Nanoröhrenwald 108, welcher das isolierende Material 110 enthält, d. h. den Isolator-Nanoröhren-Verbund 116, kann mittels einer geeigneten Maske, welche auf der Oberseite des Isolator-Nanoröhren-Verbunds 116 angeordnet ist, beispielsweise durch Plasma-Ätzen geätzt werden. Mit anderen Worten können die Nanoröhren 106 und das unmittelbar umgebende isolierende Material 110 vom Isolator-Nanoröhren-Verbund 116 entfernt werden, so dass die obere Oberfläche des Substrats 100 freigelegt ist. Die Tiefe der so erstellten Gräben 112 kann durch die Höhe der Nanoröhren 106, welche den Wald von Nanoröhren 108 ausbilden, definiert werden. Im Vergleich zu den übrigen Nanoröhren 106 können die Gräben angesehen werden negative Hohlräume innerhalb des Isolator-Nanoröhren-Verbunds 116 auszubilden.
  • 1F zeigt die Struktur aus 1D nachdem ein Metall 114 in die negativen Hohlräume 112 gefüllt wurde, d. h. zwischen Abschnitte des Isolator-Nanoröhren-Verbunds 116. Das Metall 114, beispielsweise Kupfer, kann mittels elektrochemischer Abscheidung (Electrochemical Deposition, ECD) in die Gräben 112 gefüllt werden.
  • 1G zeigt die Struktur aus 1F, nachdem die Isolator-Nanoröhren-Verbundabschnitte 116 entfernt worden sind, beispielsweise mittels einer Trockenätzung unter Verwendung von Sauerstoffplasma. In alternativen Ausführungsformen können die Isolator-Nanoröhren-Verbundabschnitte 116 jedoch als isolierende Säulen zwischen den Abschnitten des Metalls 114, welches innerhalb der Gräben 112 bereitgestellt wird, in Fällen in Position verbleiben, in denen ein durch die erste Schicht 102 und/oder die zweite Schicht 104, welche unter dem Isolator-Nanoröhren-Verbundabschnitt 116 zwischen zwei entsprechenden Abschnitten des Metalls 114 bereitgestellt sind, verursachter Kurzschluss die entsprechende Vorrichtung nicht unbrauchbar machen würde, wie beispielsweise in Fällen, in denen zwei oder mehr Abschnitte des Metalls 114 einen gemeinsamen Source/Drain-Kontakt ausbilden oder dazu gehören.
  • In 1H wird die Struktur aus 1G gezeigt, nachdem das Katalysatorsystem, welches unter den entfernten Abschnitten des Isolator-Nanoröhren-Verbunds 116 bereitgestellt ist, entfernt wurde, um das Risiko von Kurzschlüssen zwischen den benachbarten Abschnitten des Metalls 114 zu vermeiden, welche in den ehemals vorhandenen Gräben 112 ausgebildet sind. Eine alternative Ausführungsform des Verfahrens zum Herstellen einer Metallisierungsschicht auf einem Substrat unter Verwendung eines Waldes von hochgradig ausgerichteten Nanoröhren wird in 2A bis 2D gezeigt. Da die beschriebene alternative Ausführungsform ähnlich der bereits unter Bezugnahme auf 1A bis 1H beschriebenen ist, werden die gleichen Bezugszeichen für die gleichen Elemente verwendet, und sie werden nicht erneut beschrieben. Wie in 2A gezeigt, beginnt das Herstellungsverfahren mit einem Substrat 100, welches dem in 1A gezeigten Substrat entsprechen kann.
  • In 2B wird das Substrat 100 gezeigt, wie es mit dem Katalysatorsystem, welches die erste Schicht 102 und die zweite Schicht 104 beinhaltet, bedeckt ist, jedoch in strukturierter Weise. Um genauer zu sein, ist hier das Katalysatorsystem in Form von diskreten Katalysatorsystemabschnitten 200 bereitgestellt, welche durch Spalte 202 voneinander beabstandet sind, wobei das Katalysatorsystem entfernt wurde, so dass die Oberfläche des Substrats 100 freigelegt ist. Die gemusterte Form des Katalysatorsystems kann aus der Struktur, wie in 1B gezeigt, durch Ätzen der ersten Schicht 102 und der zweiten Schicht 104 in einem entsprechenden Muster erhalten werden.
  • Wie in 2C gezeigt, führt das strukturierte Katalysatorsystem zu einem strukturierten bzw. gemusterten Wachstum von Nanoröhren 106, wohingegen im Falle des unter Bezugnahme auf 1A bis 1H beschriebenen Herstellungsverfahrens das Katalysatorsystem unstrukturiert ist, so dass das Wachstum der Nanoröhren 106 darauf nicht strukturiert oder gemustert ist, unter Berücksichtigung ihrer lateralen Verteilung auf dem Substrat 100. Das Wachstum der Nanoröhren ist in diesem Fall unter Berücksichtigung ihrer räumlichen Verteilung auf dem Substrat 100 einheitlich und deckt deshalb keine Muster auf. In 2C sind die Nanoröhren 106 in Gruppen 204 angeordnet, wobei jede Gruppe 204 auf einem Katalysatorsystemabschnitt 200 gewachsen wird. Wie oben erwähnt, und nicht beansprucht, können Nanoröhren auch auf eine strukturierte Unterbeschichtungsschicht von einem anderen Substrat übertragen werden, wo sie gewachsen wurden, anstatt auf dem Katalysatorsystem des Substrats 100 gewachsen zu sein, um die in 2C gezeigte Struktur zu erhalten. Die zuvor ausgebildeten Spalten 202 im Katalysatorsystem mit der ersten Schicht 102 und der zweiten Schicht 104 können die Position und den Querschnittsbereich der unteren Oberfläche der Gräben 112 definieren.
  • In einem weiteren Prozessschritt wird das isolierende Material 110 über dem Substrat 100 abgeschieden, so dass es sich zwischen den Nanoröhren 106 innerhalb jedem der Isolator-Nanoröhren-Verbundabschnitte 116 absetzen kann. Das isolierende Material 110 kann selektiv auf und um die Nanoröhren 106 aus einer Gasphase abgeschieden werden, da sie als Keimbildungsstellen für die Oxidabscheidung aus der Gasphase wirken. Jedoch kann eine dünne Schicht aus isolierendem Material 106 mit einer Dicke von einigen wenigen Nanometern innerhalb der Gräben 112 abgeschieden werden, welche gegebenenfalls nach dem Abscheidungsprozess weggeätzt werden muss. Die resultierende Struktur ist in 2D gezeigt, welche der in 1E gezeigten Struktur entspricht. Daher kann beim Vergleich der beiden Ausführungsformen des Verfahrens zum Herstellen einer Metallisierungsschicht auf einem Substrat, wie oben beschrieben, das Katalysatorsystem im Voraus so strukturiert werden, dass die Nanoröhren 106 auf dem Substrat 100 in einer gemusterten oder strukturierten Weise in Form von Isolator-Nanoröhren-Verbundabschnitten gewachsen oder angeordnet werden, oder das Katalysatorsystem kann unstrukturiert bleiben, was ein Ätzen des Isolator-Nanoröhren-Verbunds 116 erforderlich macht, um die gleiche gemusterte Struktur der Isolator-Nanoröhren-Verbundabschnitte zu erhalten. Weitere Prozessschritte, wie unter Bezugnahme auf 1F bis 1H beschrieben, können auf der in 2D gezeigten Struktur durchgeführt werden.
  • Der Vorteil der beiden Ausführungsformen des Herstellungsprozesses, welcher zu einer (strukturierten) Metallisierungsschicht auf dem Substrat 100 führt, wie unter Bezugnahme auf 1A bis 1H und 2A bis 2D beschrieben, kann in der einfachen Strukturierung des Nanoröhrenwaldes 108 gesehen werden. Die einfache Strukturierung des Katalysatorsystems vor dem Wachsen oder Übertragen der Nanoröhren 106 auf das Substrat 100 kann verwendet werden, um komplizierte Grabenmuster auszubilden, während die gewünschte Höhe des Nanoröhrenwaldes oder der Blockabschnitte davon von der Wachstumszeit linear abhängig ist. Folglich kann die Höhe H von Abschnitten des Metalls 114, wie in 1H gezeigt, von einigen wenigen Mikrometern bis zu einigen wenigen Millimeter angepasst werden.
  • Es ist darauf hinzuweisen, dass die Abscheidung des isolierenden Materials 110 auf die und zwischen den Nanoröhren 106 ein optionaler Schritt ist. Die beiden beschriebenen Ausführungsformen des Verfahrens zum Herstellen einer Metallisierungsschicht auf einem Substrat können ohne Verwendung des isolierenden Materials 106 im Prozess und somit unter Weglassung der in 1E und 2D gezeigten augenblicklichen Ausführungsformen ausgeführt werden. Das nachfolgende Ätzen des Isolator-Nanoröhren-Verbunds 116 (siehe 1F) wird dann durch das Ätzen des Waldes von Nanoröhren 106 in separate Abschnitte oder Gruppen von Nanoröhren ersetzt. Mit anderen Worten beeinflusst das Vorhandensein des isolierenden Materials 110 nicht das Ätzen des Waldes von Nanoröhren 108 in Abschnitte oder Gruppen von Nanoröhren oder die Funktionalität der Gruppen von Nanoröhren 106 als ausbildendes Material, um die Gräben 112 bereitzustellen, in welche das Metall 114 gefüllt wird. Falls das isolierende Material 110 jedoch im Herstellungsprozess weggelassen wird und die Nanoröhren/Nanodrähte elektrisch leitend sind, dann können sie als Opferstrukturen angesehen werden, welche gegebenenfalls entfernt werden müssen, um Kurzschlüsse zwischen den einzelnen Abschnitten des Metalls 114 zu vermeiden. Falls das isolierende Material 110 wenigstens zwischen den Nanoröhren abgeschieden wird, um den Isolator-Nanoröhren-Verbund 116 auszubilden, können die einzelnen Abschnitte davon in Position verbleiben, da das isolierende Material 110 diese Abschnitte des Isolator-Nanoröhren-Verbunds elektrisch nicht-leitend macht.
  • In 3 ist ein Flussdiagramm 300 gezeigt, welches den Prozessfluss des Verfahrens zum Herstellen einer Metallisierungsschicht auf einem Substrat gemäß verschiedenen weiteren Ausführungsformen beschreibt. In einem ersten Schritt 302 kann eine Mehrzahl von Gruppen von Nanoröhren über einem Substrat ausgebildet werden, wobei die Mehrzahl der Gruppen von Nanoröhren so angeordnet ist, dass ein Abschnitt des Substrats freigelegt ist. In einem zweiten Schritt 304 kann Metall über dem freigelegten Abschnitt des Substrats ausgebildet oder in einen Graben gefüllt werden, welcher zwischen der Mehrzahl der Gruppen von Nanoröhren ausgebildet ist. Das Verfahren, wie basierend auf dem Flussdiagramm 300 beschrieben, kann weitere Schritte gemäß den oben unter Bezugnahme auf 1A bis 1H und 2A bis 2D beschriebenen Aspekten umfassen.
  • In 4 ist eine Halbleitervorrichtung 400 gemäß verschiedenen Ausführungsformen gezeigt. Die Halbleitervorrichtung 400 kann gemäß verschiedenen Ausführungsformen ein Substrat 402 umfassen. Über dem Substrat 402 kann eine Mehrzahl von Gruppen 404 von Nanoröhren so angeordnet werden, dass eine Mehrzahl von Gruppen von Nanoröhren, welche über dem Substrat 402 und Metall 406 angeordnet ist, über dem Substrat 402 zwischen der Mehrzahl von Gruppen von Nanoröhren ausgebildet werden kann. Die Halbleitervorrichtung 400 gemäß verschiedenen Ausführungsformen kann weitere Merkmale gemäß den oben unter Bezugnahme auf 1A bis 1H und 2A bis 2D beschriebenen Aspekten umfassen.
  • Im Folgenden werden einige nicht beanspruchte Beispiele aufgeführt:
    Beispiel 1: Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat, wobei das Verfahren aufweist: Ausbilden einer Mehrzahl von Gruppen von Nanoröhren über dem Substrat, wobei die Gruppen von Nanoröhren so angeordnet sind, dass ein Abschnitt des Substrats freigelegt ist; Ausbilden von Metall über dem freigelegten Abschnitt des Substrats zwischen den Gruppen von Nanoröhren.
    Beispiel 2: Verfahren nach Beispiel 1, wobei jede Gruppe von Nanoröhren isolierendes Material zwischen den Nanoröhren aufweist.
    Beispiel 3: Verfahren nach Beispiel 1 oder 2, wobei das Ausbilden der Mehrzahl von Gruppen von Nanoröhren über einem Substrat das Abscheiden eines Katalysatormaterials auf dem Substrat aufweist, wobei optional das Katalysatormaterial eine erste Materialschicht aufweist, wobei das Material eines von Aluminium, Tantal, Nickel, Titan, Chrom, Eisen und Wolfram ist, wobei weiter optional das Katalysatormaterial eine zweite Materialschicht über der ersten Materialschicht aufweist, wobei das Material der zweiten Materialschicht eines von Eisen, Kobalt und Nickel ist.
    Beispiel 4: Verfahren nach Beispiel 3, wobei das Ausbilden der Mehrzahl von Gruppen von Nanoröhren über einem Substrat das Entfernen einer Mehrzahl von Nanoröhren zusammen mit dazwischen abgeschiedenem isolierenden Material von einer einheitlichen Anordnung von Nanoröhren mit dazwischen abgeschiedenem isolierenden Material aufweist.
    Beispiel 5: Verfahren nach Beispiel 3 oder 4, ferner aufweisend: Wachsen einer einheitlichen Anordnung von Nanoröhren auf der Oberfläche des Katalysatormaterials.
    Beispiel 6: Verfahren nach einem der Beispiele 1 bis 5, ferner umfassend: Ausbilden einer haftvermittelnden Schicht auf dem Substrat; und Übertragen von vollständig gewachsenen Nanoröhren von einem anderen Substrat auf die haftvermittelnde Schicht.
    Beispiel 7: Verfahren nach einem der Beispiele 4 bis 6, ferner aufweisend: Entfernen des Katalysatormaterials von unterhalb der Mehrzahl von Nanoröhren, welche zusammen mit dazwischen abgeschiedenem isolierendem Material entfernt wurden.
    Beispiel 8: Verfahren nach einem der Beispiele 1 bis 7, wobei die Mehrzahl von Gruppen von Nanoröhren Kohlenstoff-Nanoröhren aufweisen.
    Beispiel 9: Verfahren nach einem der Beispiele 4 bis 8, wobei das Entfernen der Mehrzahl von Nanoröhren zusammen mit dazwischen abgeschiedenem isolierendem Material einen Plasma-Ätzprozess aufweist.
    Beispiel 10: Verfahren nach einem der Beispiele 1 bis 9, wobei das Ausbilden der Mehrzahl von Gruppen von Nanoröhren über einem Substrat das Abscheiden einer strukturierten Schicht von Katalysatormaterial auf dem Substrat aufweist, wobei optional das Verfahren ferner aufweist ein Wachsen einer einheitlichen Anordnung von Nanoröhren auf der Oberfläche der strukturierten Schicht von Katalysatormaterial.
    Beispiel 11: Verfahren nach einem der Beispiele 6 bis 10, ferner umfassend: Strukturieren der haftvermittelnden Schicht; und Übertragen von vollständig gewachsenen Nanoröhren von einem anderen Substrat auf die strukturierte haftvermittelnde Schicht.
    Beispiel 12: Verfahren nach einem der Beispiele 1 bis 11, wobei sich die Nanoröhren in der Mehrzahl von Gruppen von Nanoröhren, welche über dem Substrat angeordnet sind, im Wesentlichen im rechten Winkel in Bezug auf das Substrat erstrecken.
    Beispiel 13: Halbleitervorrichtung, aufweisend: ein Substrat; eine Mehrzahl von Gruppen von Nanoröhren, welche über dem Substrat angeordnet sind; Metall, welches über dem Substrat zwischen der Mehrzahl von Gruppen von Nanoröhren ausgebildet ist.
    Beispiel 14: Halbleitervorrichtung nach Beispiel 13, wobei jede Gruppe von Nanoröhren isolierendes Material zwischen den Nanoröhren aufweist.
    Beispiel 15: Halbleitervorrichtung nach Beispiel 13 oder 14, wobei die Mehrzahl von Gruppen von Nanoröhren Kohlenstoff-Nanoröhren aufweisen.

Claims (6)

  1. Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat (100), wobei das Verfahren aufweist: Abscheiden eines Katalysatormaterials, zumindest umfassend eine erste Materialschicht (102) auf dem Substrat (100) und eine zweite Materialschicht (104) über der ersten Materialschicht (102); Ausbilden einer Mehrzahl von Gruppen von Nanoröhren (106) über dem Katalysatormaterial, wobei jede Gruppe (116) von Nanoröhren (106) isolierendes Material (110) zwischen den Nanoröhren (106) aufweist und wobei das Ausbilden der Mehrzahl von Gruppen (116) von Nanoröhren (106) das Entfernen einer Mehrzahl von Nanoröhren (106) zusammen mit dazwischen abgeschiedenem isolierenden Material (110) von einer einheitlichen Anordnung von Nanoröhren (106) mit dazwischen abgeschiedenem isolierenden Material (110) aufweist, derart dass ein Abschnitt des Substrats (100) freigelegt wird; Ausbilden von Metall (114) über dem freigelegten Abschnitt (112) des Substrats (100) zwischen den Gruppen (116) von Nanoröhren (106); Entfernen der Gruppen von Nanoröhren (106) zusammen mit dem dazwischen abgeschiedenen isolierenden Material (110); und Entfernen des Katalysatormaterials von unterhalb der Mehrzahl von Nanoröhren (106), welche zusammen mit dazwischen abgeschiedenem isolierendem Material (110) entfernt wurden, wobei die erste Materialschicht (102) eines von Aluminium, Tantal, Nickel, Titan, Chrom, Eisen und Wolfram ist; und wobei die zweite Materialschicht (104) eines von Eisen, Kobalt und Nickel ist.
  2. Verfahren zum Herstellen einer Metallisierungsschicht auf einem Substrat (100), wobei das Verfahren aufweist: Abscheiden einer strukturierten Schicht von Katalysatormaterial, zumindest umfassend eine erste Materialschicht (102) auf dem Substrat (100) und eine zweite Materialschicht (104) über der ersten Materialschicht (102); Ausbilden einer Mehrzahl von Gruppen von Nanoröhren (106) über dem Katalysatormaterial, wobei die Gruppen von Nanoröhren so angeordnet sind, dass ein Abschnitt des Substrats (100) freigelegt ist, wobei jede Gruppe (116) von Nanoröhren (106) isolierendes Material (110) zwischen den Nanoröhren (106) aufweist; Ausbilden von Metall (114) über dem freigelegten Abschnitt (112) des Substrats (100) zwischen den Gruppen (116) von Nanoröhren (106); Entfernen der Gruppen von Nanoröhren (106) zusammen mit dem dazwischen abgeschiedenen isolierenden Material (110); und Entfernen des Katalysatormaterials von unterhalb der Mehrzahl von Nanoröhren (106), welche zusammen mit dazwischen abgeschiedenem isolierendem Material (110) entfernt wurden, wobei die erste Materialschicht (102) eines von Aluminium, Tantal, Nickel, Titan, Chrom, Eisen und Wolfram ist; und wobei die zweite Materialschicht (104) eines von Eisen, Kobalt und Nickel ist.
  3. Verfahren nach Anspruch 1, ferner aufweisend: Wachsen der einheitlichen Anordnung von Nanoröhren (106) auf der Oberfläche des Katalysatormaterials (102, 104).
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei die Mehrzahl von Gruppen (116) von Nanoröhren (106) Kohlenstoff-Nanoröhren (106) aufweisen.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Entfernen der Mehrzahl von Nanoröhren (106) zusammen mit dazwischen abgeschiedenem isolierendem Material (110) einen Plasma-Ätzprozess aufweist.
  6. Verfahren nach einem der Ansprüche 1 bis 5, wobei sich die Nanoröhren (106) in der Mehrzahl von Gruppen (116) von Nanoröhren (106), welche über dem Substrat (100) angeordnet sind, im Wesentlichen im rechten Winkel in Bezug auf das Substrat (100) erstrecken.
DE102015006465.7A 2014-01-30 2015-01-28 Nanoröhrenstruktur-basierter metall-damaszener-prozess Active DE102015006465B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/168,025 2014-01-30
US14/168,025 US9159669B2 (en) 2014-01-30 2014-01-30 Nanotube structure based metal damascene process

Publications (2)

Publication Number Publication Date
DE102015006465A1 DE102015006465A1 (de) 2015-08-27
DE102015006465B4 true DE102015006465B4 (de) 2016-08-18

Family

ID=53523123

Family Applications (2)

Application Number Title Priority Date Filing Date
DE102015101231.6A Ceased DE102015101231A1 (de) 2014-01-30 2015-01-28 Nanoröhrenstruktur-basierter metall-damaszener-prozess
DE102015006465.7A Active DE102015006465B4 (de) 2014-01-30 2015-01-28 Nanoröhrenstruktur-basierter metall-damaszener-prozess

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE102015101231.6A Ceased DE102015101231A1 (de) 2014-01-30 2015-01-28 Nanoröhrenstruktur-basierter metall-damaszener-prozess

Country Status (3)

Country Link
US (4) US9159669B2 (de)
CN (1) CN104821291B (de)
DE (2) DE102015101231A1 (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018125108A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Using nanotubes as a guide for selective deposition in manufacturing integrated circuit components
CN110071067A (zh) * 2018-01-23 2019-07-30 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340822B1 (en) * 1999-10-05 2002-01-22 Agere Systems Guardian Corp. Article comprising vertically nano-interconnected circuit devices and method for making the same
US20040169281A1 (en) * 2003-02-27 2004-09-02 Applied Materials, Inc. Ultra low k plasma CVD nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
US20050148271A1 (en) * 2000-02-25 2005-07-07 Si Diamond Technology, Inc. Nanotubes cold cathode
US20060128137A1 (en) * 2004-12-09 2006-06-15 International Business Machines Corporation Integrated circuit chip utilizing dielectric layer having oriented cylindrical voids formed from carbon nanotubes

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6420092B1 (en) * 1999-07-14 2002-07-16 Cheng-Jer Yang Low dielectric constant nanotube
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
JP4744360B2 (ja) * 2006-05-22 2011-08-10 富士通株式会社 半導体装置
US20130249382A1 (en) * 2010-12-01 2013-09-26 Sn Display Co., Ltd. Field emission display and fabrication method thereof
CN102417175A (zh) * 2011-09-02 2012-04-18 上海大学 室温下碳纳米管束的转移方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340822B1 (en) * 1999-10-05 2002-01-22 Agere Systems Guardian Corp. Article comprising vertically nano-interconnected circuit devices and method for making the same
US20050148271A1 (en) * 2000-02-25 2005-07-07 Si Diamond Technology, Inc. Nanotubes cold cathode
US20040169281A1 (en) * 2003-02-27 2004-09-02 Applied Materials, Inc. Ultra low k plasma CVD nanotube/spin-on dielectrics with improved properties for advanced nanoelectronic device fabrication
US20060128137A1 (en) * 2004-12-09 2006-06-15 International Business Machines Corporation Integrated circuit chip utilizing dielectric layer having oriented cylindrical voids formed from carbon nanotubes

Also Published As

Publication number Publication date
US20150214144A1 (en) 2015-07-30
US10910309B2 (en) 2021-02-02
CN104821291A (zh) 2015-08-05
US9159669B2 (en) 2015-10-13
US20150371942A1 (en) 2015-12-24
DE102015101231A1 (de) 2015-07-30
US20150311157A1 (en) 2015-10-29
US9704800B2 (en) 2017-07-11
US10043750B2 (en) 2018-08-07
US20180012836A1 (en) 2018-01-11
DE102015006465A1 (de) 2015-08-27
CN104821291B (zh) 2018-03-13

Similar Documents

Publication Publication Date Title
DE10006964C2 (de) Elektronisches Bauelement mit einer leitenden Verbindung zwischen zwei leitenden Schichten und Verfahren zum Herstellen eines elektronischen Bauelements
DE2729030C2 (de) Verfahren zum Herstellen eines mehrschichtigen Leiterzugsmusters für monolithisch integrierte Halbleiterschaltungen
DE2430692C2 (de) Verfahren zum Herstellen von Verbindungslöchern in Isolierschichten
DE102013108518B4 (de) Halbleitervorrichtung und verfahren zum herstellen derselben
DE102017117937A1 (de) Aufgeteilte Schienenstrukturen, die sich in angrenzenden Metallschichten befinden
DE102008059650A1 (de) Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102012217482A1 (de) Strukturieren von Kontakten in Kohlenstoff-Nanoröhren-Einheiten
DE102008048651A1 (de) Stapelkondensator in einem Halbleiterbauelement und Verfahren zu seiner Herstellung
DE102015201385A1 (de) Elektrischer Kontakt für ein Graphenteil
DE102013103976A1 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE102019205284A1 (de) Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung
DE102007035898B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102019203224A1 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102019204020B4 (de) Verbindungsstruktur und Verfahren zu deren Herstellung
DE102015006465B4 (de) Nanoröhrenstruktur-basierter metall-damaszener-prozess
DE112012002648T5 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE10252607B4 (de) Verfahren zum Herstellen einer Nanoelement-Anordnung und Nanoelement-Anordnung
DE102010029528A1 (de) Halbleiterbauelement mit einer Chipumrandung mit gradueller Strukturdichte
DE102010000892A1 (de) Verfahren zum Bereitstellen und Verbinden von zwei Kontaktbereichen eines Halbleiterbauelements bzw. einem Substrat, sowie ein Substrat mit zwei solchen verbundenen Kontaktbereichen
WO2022012903A1 (de) Verbindungselement, verfahren zum herstellen eines verbindungselements, anordnung umfassend ein verbindungselement und zwei damit verbundene bauteile sowie verfahren zum verbinden zweier bauteile mit einem verbindungselement
EP1597760B1 (de) Integriertes elektronisches bauelement mit gezielt erzeugten nanoröhren in vertikalen strukturen
DE102011100779B4 (de) Elektronische Vorrichtung und Verfahren zur Herstellung einer elektronischen Vorrichtung
DE102015107974A1 (de) Induktivität und Verfahren zur Bildung einer Induktivität

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R129 Divisional application from

Ref document number: 102015101231

Country of ref document: DE

R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative