DE102008035816B4 - Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials - Google Patents

Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials Download PDF

Info

Publication number
DE102008035816B4
DE102008035816B4 DE102008035816A DE102008035816A DE102008035816B4 DE 102008035816 B4 DE102008035816 B4 DE 102008035816B4 DE 102008035816 A DE102008035816 A DE 102008035816A DE 102008035816 A DE102008035816 A DE 102008035816A DE 102008035816 B4 DE102008035816 B4 DE 102008035816B4
Authority
DE
Germany
Prior art keywords
transistor
semiconductor material
deformation
transistors
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102008035816A
Other languages
English (en)
Other versions
DE102008035816A1 (de
Inventor
Jan 01309 Höntschel
Vassilios Tex. Papageorgiou
Belinda Tex. Hannon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102008035816A priority Critical patent/DE102008035816B4/de
Priority to US12/473,726 priority patent/US8154084B2/en
Priority to TW098125629A priority patent/TWI479604B/zh
Priority to TW104105248A priority patent/TWI611517B/zh
Priority to PCT/US2009/004417 priority patent/WO2010014246A1/en
Priority to CN200980129329.5A priority patent/CN102105977B/zh
Publication of DE102008035816A1 publication Critical patent/DE102008035816A1/de
Application granted granted Critical
Publication of DE102008035816B4 publication Critical patent/DE102008035816B4/de
Priority to US13/362,763 priority patent/US8772878B2/en
Priority to US14/287,806 priority patent/US20140264386A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

Verfahren mit: Bilden erster Aussparungen (203p) benachbart zu einer ersten Gateelektrodenstruktur (251) eines ersten Transistors (250p) und Bilden zweiter Aussparungen (203n) benachbart zu einer zweiten Gateelektrodenstruktur (251) eines zweiten Transistors (250n), wobei der erste und der zweite Transistor (250p, 250n) von unterschiedlicher Leitfähigkeitsart sind; Bilden eines Halbleitermaterials (253) in den ersten und zweiten Aussparungen (203p, 203n), wobei das Halbleitermaterial (253) eine erste Art Verformung besitzt; Erzeugen von Gitterschäden in dem Halbleitermaterial (253) selektiv in dem ersten Transistor (250p), um ein im Wesentlichen entspanntes Halbleitermaterial (253p) zu bilden; und Rekristallisieren des im Wesentlichen entspannten Halbleitermaterials (253p) in einem verformten Zustand, wobei der verformte Zustand einer zweiten Verformungsart entspricht, die entgegengesetzt zur ersten Art an Verformung ist.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung integrierte Schaltungen und betrifft insbesondere die Herstellung unterschiedlicher Transistorarten mit verformten Kanalgebieten unter Anwendung eines eingebetteten verformungsinduzierenden Materials, um die Ladungsträgerbeweglichkeit in den Kanalgebieten zu verbessern.
  • Beschreibung des Stands der Technik
  • Integrierte Schaltungen werden in vielen Gebieten auf Grund der zunehmenden Funktionsvielfalt, die auf einer vorgegebenen Chipfläche bereitgestellt wird, eingesetzt. Integrierte Schaltungen sind aus zahlreichen einzelnen Schaltungskomponenten, etwa Transistoren, aufgebaut, wobei mehrere Millionen oder sogar mehrere hundert Millionen einzelner Transistoren in komplexen Bauelementen vorgesehen ist. Allgemein werden mehrere Prozesstechnologien eingesetzt, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie aktuell eine der vielversprechendsten Lösungen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Drain- und Sourcegebiete mit einem invers dotierten Kanalgebiet gebildet sind, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist. Die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die in der Nähe des Kanalgebiets ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit ist die Verringerung der Kanallänge und damit verknüpft die Verringerung des Kanalwiderstands ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.
  • Die ständige Verringerung der Transistorabmessungen zieht jedoch eine Reihe damit verknüpfter Probleme nach sich, die es zu lösen gilt, um nicht in unerwünschter Weise die Vorteile aufzuheben, die durch das stetige Verringern der Kanallänge von MOS-Transistoren gewonnen werden. Ein wichtiges Problem in dieser Hinsicht ist die Entwicklung aufwendiger Photolithographie- und Ätzstrategien, um zuverlässig und reproduzierbar Schaltungselemente mit kritischen Abmessungen, etwa der Gateelektrode der Transistoren, für eine neue Schaltungsgeneration zu schaffen. Ferner sind äußerst aufwendige Dotierstoffprofile in der vertikalen Richtung sowie in lateraler Richtung in den Drain- und Sourcegebieten erforderlich, um den geringen Schichtwiderstand und Kontaktwiderstand in Verbindung mit einer gewünschten Kanalsteuerbarkeit zu erreichen.
  • Die ständige Größenreduzierung der kritischen Abmessungen, d. h. der Gatelänge von Transistoren, macht die Anpassung und möglicherweise die Neuentwicklung äußerst komplexer Prozesstechniken im Hinblick auf die oben genannten Prozessschritte erforderlich. Es wurde daher vorgeschlagen, die Kanalleitfähigkeit der Transistorelemente zu verbessern, indem die Ladungsträgerbeweglichkeit in dem Kanalgebiet für eine vorgegebene Kanallänge erhöht wird, wodurch die Möglichkeit geschaffen wird, eine Leistungssteigerung zu erreichen, die vergleichbar ist dem Voranschreiten zu einen zukünftigen Technologiestandard, wobei viele der oben genannten Prozessanpassungen, die mit der Bauteilgrößenreduzierung verknüpft sind, vermieden oder zumindest zeitlich verschoben werden. Ein effizienter Mechanismus zum Erhöhen der Ladungsträgerbeweglichkeit ist die Modifizierung der Gitterstruktur in dem Kanalgebiet, indem beispielsweise eine Zugverspannung oder eine kompressive Verspannung in der Nähe des Kanalgebiets hervorgerufen wird, um damit eine entsprechende Verformung in dem Kanalgebiet zu erzeugen, die zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Beispielsweise erhöht das Erzeugen einer Zugverformung in dem Kanalgebiet mit einer standardmäßigen (100) Oberflächenorientierung die Beweglichkeit von Elektronen, was sich wiederum direkt in einer entsprechenden Zunahme der Leitfähigkeit ausdrückt. Andererseits erhöht eine kompressive Verformung in dem Kanalgebiet die Beweglichkeit von Löchern, wodurch die Möglichkeit geschaffen wird, das Leistungsverhalten von p-Transistoren zu verbessern. Die Einführung einer Verspannungs- oder Verformungstechnologie in den Herstellungsprozess für integrierte Schaltungen ist ein äußerst vielversprechender Ansatz für weitere Bauteilgenerationen, da beispielsweise verformtes Silizium als eine „neue” Art an Halbleitermaterial betrachtet werden kann, die die Herstellung schneller und leistungsfähiger Halbleiterbauelemente ermöglicht, ohne dass teuere Halbleitermaterialien erforderlich sind, wobei dennoch viele der gut etablierten Fertigungstechniken weiterhin eingesetzt werden können.
  • In einer Vorgehensweise wird die Löcherbeweglichkeit von PMOS-Transistoren erhöht, indem eine verformte Silizium/Germaniumschicht in den Drain- und Sourcegebieten der Transistoren hergestellt wird, wobei die kompressiv verformten Drain- und Sourcegebiete eine uniaxiale Verformung in dem benachbarten Siliziumkanalgebiet hervorrufen. Dazu wird selektiv in den Drain- und Sourcegebieten der PMOS-Transistoren eine Aussparung geschaffen, während die NMOS-Transistoren maskiert sind und nachfolgend wird die Silizium/Germanium-Schicht selektiv in dem PMOS-Transistor durch epitaktisches Aufwachsen gebildet. Somit müssen komplexe Fertigungsschritte, etwa ein Ätzprozess, die Herstellung einer geeigneten Ätz- und Wachstumsmaske und selektive epitaktische Wachstumstechniken in den CMOS-Prozessablauf eingebunden werden.
  • In anderen Vorgehensweisen wird Silizium/Kohlenstoffmaterial für NMOS-Transistoren verwendet, um eine gewünschte Gitterfehlanpassung speziell in den Kanalgebieten der NMOS-Transistoren hervorzurufen, was häufig durch Ionenimplantation von Kohlenstoff in die Drain- und Sourcegebiete erreicht wird. Eine Leistungszunahme für Transistoren unterschiedlicher Leitfähigkeitsart auf der Grundlage von Silizium/Kohlenstofflegierungen kann jedoch zu einen noch komplexeren Prozessablauf führen, da diversen Schritte für die Herstellung entsprechender Verformungsschichten in geeigneter Weise in den komplexen Fertigungsablauf eingebunden werden müssen, was zu einem weniger ausgeprägten Leistungszuwachs führt, als dies erwartet wird.
  • In der US 2007/0018252 A1 wird ein Halbleiterbauteil mit einem N-MOSFET und einem P-MOSFET beschrieben, wobei sie Source-/Draingebiete des N-MOSFETs eine zugverspannte Metallsilizidschicht und die Source-/Draingebiete des P-MOSFETs eine druckverspannte Metallsilizidschicht aufweisen.
  • Die US 2007/0048907 A1 offenbart ein Verfahren zur Herstellung integrierter Schaltungen, das das Ausbilden von Source-/Draingebieten von NMOS-Transistoren mit Kohlenstoffdotierung umfasst.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Techniken und Halbleiterbauelemente mit Transistoren unterschiedlicher Leitfähigkeitsart mit eingebetteten verformungsinduzierenden Materialien, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest verringert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung Techniken und Halbleiterbauelemente, die die Herstellung unterschiedlich verformter Halbleitermaterialien ermöglichen, die in den Drain- und Sourcebereichen eingebettet sind, wobei zumindest eine Halbleiterlegierung verwendet wird, die eine natürliche Gitterkonstante aufweist, die kleiner ist als eine natürliche Gitterkonstante von Silizium. In anschaulichen hierin offenbarten Aspekten wird ein Halbleitermaterial, etwa Silizium/Kohlenstoff, das typischerweise zur Verbesserung der Eigenschaften von n-Kanaltransistoren eingesetzt wird, auch in p-Kanaltransistoren in Verbindung mit effizienten Verspannungsgedächtnistechniken eingesetzt, wodurch eine gewünschte Art an Verformung in den p-Kanaltransistoren erreicht wird, während gleichzeitig eine insgesamt verbesserte Fertigungseffizienz und ein zusätzlicher Zuwachs im Transistorleistungsvermögen auf Grund der positiven Wirkungen der Kohlenstoffsorte in den Drain- und Sourcebereichen des p-Kanaltransistors erreich wird.
  • Ein erfindungsgemäßes hierin beschriebenes Verfahren umfasst das Bilden erster Aussparungen benachbart zu einer ersten Gateelektrodenstruktur eine ersten Transistors und Bilden zweiter Aussparungen benachbart zu einer zweiten Gateelektrodenstruktur eines zweiten Transistors, wobei der erste und der zweite Transistor von unterschiedlicher Leitfähigkeitsart sind. Das Verfahren umfasst ferner das Bilden eines Halbleitermaterials in dem ersten und zweiten Aussparungen, wobei das Halbleitermaterial eine erste Art an Verformung aufweist. Ferner wird ein Gitterschaden in dem Halbleitermaterial selektiv in den ersten Transistor erzeugt, um damit ein im Wesentlichen relaxiertes Halbleitermaterial zu bilden. Des weiteren umfasst das Verfahren das Rekristallisieren des im Wesentlichen relaxierten Halbleitermaterials in einem verformten Zustand, der einen zweiten Art an Verformung entspricht, die gegengesetzt zur ersten Art an Verformung ist.
  • Kurze Beschreibung der Zeichnungen
  • Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1h schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, wobei Transistorelemente mit unterschiedlichen Verformungskomponenten unter Anwendung einer gemeinsamen Halbleiterlegierung, etwa von Silizium/Kohlenstoff, gemäß anschaulicher Ausführungsformen hergestellt werden.
  • Detaillierte Beschreibung
  • Im Allgemeinen betrifft die vorliegende Offenbarung Fertigungstechniken und entsprechende Halbleiterbauelemente, in denen Feldeffekttransistoren unterschiedlicher Leitfähigkeitsart ein verformtes Halbleitermaterial in den Drain- und/oder Sourcegebiet erhalten, um damit eine gewünschte Größe und/oder Art an Verformung in den entsprechenden Kanalgebieten dieser Transistoren zu schaffen.
  • In anschaulichen hierin offenbarten Ausführungsformen wird ein sehr effizienter Fertigungsablauf angewendet beim Einbau eines Silizium/Kohlenstoffmaterials in Transistoren unterschiedlicher Leitfähigkeitsart, wobei auch zusätzliche verformungsinduzierende Mechanismen eingesetzt werden, beispielsweise in p-Kanaltransistoren, um eine negative Auswirkung des Silizium/Kohlenstoffmaterials überzukompensieren, während gleichzeitig die Eigenschaften des Kohlenstoffs im Hinblick auf die Funktion eines diffusionsbehindernden Materials ausgenutzt werden, das somit verbesserte Dotierstoffprofile mit höherer Genauigkeit in p-Kanaltransistor ermöglicht. Folglich kann in Verbindung mit den besseren elektronischen Eigenschaften der Drain- und Sourcegebiete des p-Kanaltransistors und der überkompensierten Verformungskomponente eine deutliche Leistungssteigerung des p-Kanaltransistors erreicht werden, wobei auch für SOI-Architekturen eine geringerer Ausprägung des Effekts der potentialfreien Körper erreicht wird, während der n-Kanaltransistor einen geringeren Source/Drain-Übergangswiderstand in Verbindung mit einer höheren Elektronenbeweglichkeit in dem Kanalgebiet auf Grund der eingebetteten Silizium/Kohlenstofflegierung aufweist. Auch in diesem Falle wird eine Ladungsträgeransammlung in dem potentialfreien Körper von SOI-n-Kanaltransistoren verringert, indem pn-Übergänge mit erhöhten Leckströmen auf Grund des Vorhandenseins der Kohlenstoffsorte geschaffen werden.
  • Mit Bezug zu den 1a bis 1h werden nunmehr Ausführungsformen der vorliegenden Erfindung beschrieben, in denen ein verformtes Halbleitermaterial mit einer kleineren natürlichen Gitterkonstante im Vergleich zu Silizium in Transistoren mit unterschiedlicher Leitfähigkeitsart vorgesehen wird, um damit eine Leistungssteigerung beider Transistorarten zu erreichen.
  • 1a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201, einer vergrabenen isolierenden Schicht 202 in den Halbleiterschichten 203. Ferner sind Gateelektrodenstrukturen 251 eines ersten Transistors 250p und eines zweiten Transistors 250n unterschiedlicher Leitfähigkeitsart über der Halbleiterschicht 203 ausgebildet. Die Gateelektrodenstrukturen 251 weisen ein Gateelektrodenmaterial 251a, eine Gateisolationsschicht 251p und eine Deckschicht 251c auf. Im Hinblick auf die bislang beschriebenen Komponenten gelten folgende Kriterien:
    Das Halbleiterbauelement 200 umfasst ein Substrat 201, das ein beliebiges geeignetes Trägermaterial repräsentiert, um darüber eine Halbleiterschicht 203, etwa eine siliziumbasierte Schicht, zu bilden, deren elektronischen Eigenschaften lokal durch Erzeugen einer gewünschten Art an Verformung in dem ersten und dem zweiten Transistor 250p, 250n angepasst werden. Die Halbleiterschicht 203 kann eine siliziumbasierte Schicht, d. h. ein Halbleitermaterial mit einem ausgeprägten Anteil an Silizium repräsentieren, wobei auch andere Komponenten, etwa Germanium, Kohlenstoff, Dotierstoffsorten und dergleichen vorhanden sein können. Des weiteren ist in der gezeigten Ausführungsform eine vergrabene isolierende Schicht 202 zwischen dem Substrat 201 und der Halbleiterschicht 203 angeordnet, wodurch eine SOI-Architektur (Silizium-auf-Isolator) gebildet wird, wobei zu beachten ist, dass in anderen Bauteilbereichen des Bauelements 100 eine Vollsubstratkonfiguration vorgesehen sein kann, wobei dies von den gesamten Bauteilerfordernissen abhängt. Es sollte beachtet werden, dass die hierin offenbarten Prinzipien äußerst vorteilhaft sind im Hinblick auf eine SOI-Architektur, da effiziente verformungsinduzierende Mechanismen in Verbindung mit zusätzlichen Maßnahmen zur Verringerung der Ladungsträgeransammlung bereitgestellt werden, wodurch im Allgemeinen das Leistungsverhalten von SOI-Transistoren im Hinblick auf die Wirkungen des potentialfreien Körpers und Hystereseeffekte verbessert wird, d. h. im Hinblick auf eine Schwellwertschwankung im Abhängigkeit der „Schaltgeschichte” eines entsprechenden Transistorelements. In anderen anschaulichen Ausführungsformen werden die hierin offenbarten Prinzipien vorteilhaft auf eine Vollsubstratkonfiguration angewendet, d. h. eine Konfiguration, in der eine Dicke der Halbleiterschicht 203 größer ist als eine Tiefe der Drain- und Sourcegebiete, die noch in den Transistoren 250p, 250n zu bilden sind. Ferner sind geeignete aktive Gebiete in der Halbleiterschicht 203 auf der Grundlage entsprechender Isolationsstrukturen gebildet, etwa flache Grabenisolationen (nicht gezeigt), die zwischen den Transistoren 250p, 250n vorgesehen sein können. Des weiteren besitzen die Transistoren 250p, 250n eine Gateelektrodenstruktur 251, die in dieser Fertigungsphase ein Elektrodenmaterial 251a aufweist, das auf einer Gateisolationsschicht 251b gebildet ist, die wiederum das Elektrodenmaterial 251a von einem Kanalgebiet 252 trennt. Das Gateelektrodenmaterial 251a repräsentiert ein beliebiges geeignetes Material, etwa Polysilizium und dergleichen, sogar durch ein Material mit besserer Leitfähigkeit in einer späteren Fertigungsphase abhängig von den gesamten Prozess- und Bauteilerfordernissen ersetzt werden kann. In ähnlicher Weise kann die Gateisolationsschicht 251b aus einem beliebigen geeigneten dielektrischen Material aufgebaut sein, etwa aus Materialien auf Siliziumdioxidbasis, Siliziumnitrid, Siliziumnoxinitrid, dielektrische Materialien mit großem ε, etwa Hafniumoxid, Zirkonoxid und dergleichen. Des weiteren enthalten die Gateelektrodenstrukturen 251 eine Deckschicht, die aus Siliziumnitrid und dergleichen aufgebaut ist.
  • Das Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung entsprechender Isolationsstrukturen (nicht gezeigt) und dem Bilden entsprechender grundlegender Dotierstoffprofile für die Transistoren 250p, 250n in der Halbleiterschicht 203, wird die Gateelektrodenstruktur 251 durch gut etablierte Techniken hergestellt, wozu eine Oxidation und/oder eine Abscheidung eines Gatedielektrikums gehört, woran sich das Abscheiden des Gateelektrodenmaterials 251a und des Materials der Deckschicht 251c anschließt. Der entsprechende Materialstapel wird durch aufwendige Lithographie- und Ätztechniken strukturiert.
  • Des weiteren ist eine Abstandshalterschicht 204 auf dem Gateelektrodenstrukturen 251 ausgebildet, wobei die Abstandshalterschicht 204 eine geeignete Dicke zum Einstellen eines Abstands von Aussparungen besitzt, die in der Schicht 203 in einer späteren Fertigungsphase zu bilden sind.
  • 1b zeigt schematisch das Halbleiterbauelement 200 mit Abstandshalterelementen 204s, die an Seitenwänden der Gateelektrodenstrukturen 251 gebildet sind, was auf Basis gut etablierter Ätztechniken erreicht werden kann, wobei bei Bedarf eine dünne Ätzstoppschicht (nicht gezeigt), etwa in Form von Siliziumdioxid, vorgesehen wird, etwa durch Oxidation freiliegender Oberflächenbereiche des Gateelektrodenmaterials 251a und der Halbleiterschicht 203.
  • 1c zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium. Wie dargestellt, unterliegt das Bauelement 200 der Einwirkung einer Ätzumgebung eines Ätzprozesses 207, um Aussparungen 203p und 203n in den Transistoren 250p bzw. 250n zu bilden. Der Ätzprozess 207 kann auf der Grundlage gut etablierter Ätzchemien ausgeführt werden, die eine moderat hohe Selektivität in Bezug auf das Material der Abstandshalter 204s und der Deckschicht 251c zeigen, so dass nicht in unerwünschter Weise Material der Gateelektrodenmaterialien 251a abgetragen wird. Da der Ätzprozess 207 für die Transistoren 250p, 250n gleichzeitig ausgeführt werden kann, ist im Allgemeinen die gesamte Prozessgleichmäßigkeit auf Grund des Fehlens von Ätzmasken verbessert. Folglich kann die substratinterne Gleichmäßigkeit, beispielsweise im Hinblick auf eine Tiefe der entsprechenden Aussparungen 203p, 203n, verbessert werden. Des weiteren werden zusätzliche Lithographieschritte für das individuelle Vorsehen der Aussparungen 203p, 203n vermieden. In einigen anschaulichen Ausführungsformen wird, beginnend von dem in 1a gezeigten Bauelement 200, die Ätzsequenz zum Bilden der Abstandshalter 204s und zum Erzeugen der Aussparungen 203p, 203n als ein in-situ-Prozess ausgeführt, d. h. in der gleichen Prozesskammer, während die Ätzchemie während der diversen Phasen des gemeinsamen Ätzprozesses in geeigneter Weise angepasst wird. Nach dem Ätzprozess 207 wird das Bauelement 200 für die Abscheidung eines verformungsinduzierenden Halbleitermaterials vorbereitet, wobei auch entsprechende Reinigungsprozesse und dergleichen enthalten sein können.
  • 1d zeigt schematisch das Halbleiterbauelement 200 während eines selektiven epitaktischen Wachstumsprozesses 209, der so gestaltet ist, dass eine Halbleiterlegierung 253, beispielsweise in Form einer Silizium/Kohlenstofflegierung, abgeschieden wird, wobei diese auf dem siliziumbasierten Schablonenmaterial der Schicht 203 mit einem Zugverformungspegel aufgewachsen wird. Im Hinblick auf die entsprechenden Prozessparameter des Prozesses 209 gelten ähnliche Kriterien. Es sollte beachtet werden, dass auch während des selektiven epitaktischen Wachstumsprozesses 209 eine bessere Gesamtprozessgleichmäßigkeit erreicht werden kann auf Grund des Fehlens ausgeprägter Maskengebiete, in denen eine Abscheidung des Materials 253 nicht erwünscht ist. Des weiteren kann das Material 253 in einem im Wesentlichen nicht-dotierten Zustand aufgewachsen werden, da die entsprechenden Drain- und Sourcegebiete eine unterschiedliche Art an Dotierstoffsorte für die Transistoren 250p bzw. 250n erfordern. Somit kann eine Zugverformungskomponente in Kanalgebieten 252 für Transistoren 250p, 250n hervorgerufen werden, wenn die Zugverformungskomponente 252t entlang der Kanallängsrichtung orientiert ist, d. h. in 1d entlang der horizontalen Richtung.
  • 1e zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, unterliegt das Bauelement 200 einem Ionenbeschuss 208, wobei der zweite Transistor 250n durch eine geeignete Maske 210, etwa eine Lackmaske, abgedeckt ist, während der Transistor 250p frei liegt. In einigen anschaulichen Ausführungsformen wurden, wie dies gezeigt ist, die Abstandshalter 204 und die Deckschichten 251c vor dem Bilden der Maske 210 entfernt, während in anderen Fällen die Gateelektrodenstrukturen 251 weiterhin von den Abstandshaltern 204s und den Deckschichten 251c (nicht gezeigt) eingekapselt sind. Das Beibehalten der Deckschicht 251c während des Ionenbeschusses 208 kann das Eindringen der entsprechenden Sorte in das Gateelektrodenmaterial 251a verringern, das das Eindringen als ungeeignet erachtet wird. In der gezeigten Ausführungsform wird der Ionenbeschuss 208 ausgeführt, wenn die Abstandshalter 204s entfernt sind, wobei bei Bedarf Versatzabstandshalter mit geringerer Breite (nicht gezeigt) an Seitenwänden der Gateelektrodenmaterialien 251a vorgesehen werden können. Während des Ionenbeschusses 208 wird folglich die Kristallstruktur von Bereichen der Halbleiterschicht 203 und der zuvor aufgewachsenen Halbleiterlegierung 253 stark geschädigt oder im Wesentlichen amorphisiert. Zu diesem Zweck kann eine beliebige geeignete Implantationssorte verwendet werden, etwa Xenon, Germanium, Silizium, Krypton und dergleichen. Es sollte beachtet werden, dass geeignete Implantationsparameter für den Beschuss 208 effizient auf Grundlage gut etablierter Simulationsprogramme, Experimenten und dergleichen bestimmt werden können. Folglich wird das Material 253 entspannt, wodurch eine im Wesentlichen relaxierte Halbleiterlegierung 253p gebildet wird. Des weiteren kann auch das Halbleitermaterial benachbart zu dem Gateelektrodenmaterial 251a stark geschädigt oder amorphisiert werden, wobei dies von einer Breite eines entsprechenden Versatzabstandshalters abhängt, falls dieser vorgesehen wird.
  • 1f zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie dargestellt, ist eine verspannungsinduzierende Materialschicht 218, wie beispielsweise aus Siliziumnitrid, stickstoffenthaltenden Siliziumkarbid und dergleichen aufgebaut ist, über dem ersten und dem zweiten Transistor 250p, 250n gebildet, wobei die Schicht einen hohen inneren kompressiven Verspannungspegel von einem oder mehreren GPa oder noch höher aufweist. Siliziumnitridmaterial kann so hergestellt werden, dass dieses einen hohen kompressiven Verspannungspegel aufweist, wobei dies durch geeignete Auswahl der Abscheideparameter gelingt. Somit kann die Schicht 218 eine moderat hohe kompressive Verspannung in dem zuvor relaxierten Material 253p und damit in dem Kanalgebiet 252 des Transistors 250p hervorrufen. In ähnlicher Weise kann temporär die Verformungskomponente in dem Kanalgebiet 252 des Transistors 250n kompensiert oder sogar überkompensiert werden mittels der verspannungsinduzierenden Schicht 218. Des weiteren wird das Bauelement 200 einem Ausheizprozess 219 unterzogen, der so gestaltet ist, dass die in dem Material 253p und benachbart zu dem Kanalgebiet 252 des ersten Transistors 250p hervorgerufenen schweren Gitterschäden rekristallisiert werden. Beispielsweise kann eine geeignete Ausheiztechnik, etwa schnelles thermischen Ausheizen, lasergestütztes oder blitzlichtgestütztes Ausheizen eingesetzt werden, wobei eine effiziente Rekristallisierung auftritt. Auf Grund des Vorhandenseins der stark verspannten Schicht 218 kann das Material 253p und ein Material benachbart zu der Schicht 203 in einem stark kompensiv verspannten Zustand aufwachsen, wodurch die kompressive Verformungskomponente in dem Kanalgebiet 252 des ersten Transistors 250p beibehalten wird. Es sollte beachtet werden, dass auf Grund des verformten Zustands des rekristallisierten Materials 253p die entsprechende kompressive Verformungskomponente 252c in dem Kanalgebiet 252 selbst nach dem Entfernen der Schicht 218 beibehalten wird. Techniken, in denen ein im Wesentlichen amorphisiertes Halbleitermaterial in Anwesenheit einer verspannten Deckschicht rekristallisiert wird, werden häufig als Verspannungsgedächtnistechniken bezeichnet.
  • 1g zeigt schematisch das Halbleiterbauelement 200 nach dem Entfernen der verspannungsinduzierenden Deckschicht 218. Es sollte beachtet werden, dass ein geeignetes Ätzstoppmaterial zusammen mit der verspannungsinduzierenden Schicht 218 bei Bedarf vorgesehen werden kann, um den Abtragungsprozess zu verbessern. In anderen Fällen wird die Schicht 218 auf der Grundlage sehr selektiver Ätzrezepte entfernt, in denen beispielsweise Siliziumnitridmaterial selektiv in Bezug auf siliziumbasierte Materialien entfernt wird. Folglich kann in dem Transistor 250n die Zugverformungskomponente 252c auf Grund des Entfernens der „externen” verformungsinduzierenden Quelle in Form der Schicht 218 wieder hergestellt werden, wobei die kompressive Verformungskomponente 252c in dem Transistor 250p weiterhin auf Grund der zuvor verformten Rekristallisierung des Materials 253t und des daran angrenzenden Materials, das auch während des Prozesses 208 (siehe 1e) amorphisiert worden sein kann, vorhanden ist. Auf der Grundlage der in 1d gezeigten Bauteilkonfiguration wird die weitere Bearbeitung fortgesetzt, indem beispielsweise gut etablierte Prozesstechniken angewendet werden.
  • 1h zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem Drain- und Sourcegebiete 254 in der Halbleiterschicht 203 gebildet sind, wobei zumindest ein Teil der Drain- und Sourcegebiete 254 das verformungsinduzierende Material 253 in dem Transistor 250m und das verformungsinduzierende Material 253p in dem Transistor 250p aufweist. Des weiteren ist eine entsprechende Seitenwandabstandshalterstruktur 256 an Seitenwänden der Gateelektrodenstrukturen 251 vorgesehen, wobei die Abstandshalterstruktur 256 eine beliebige Anzahl individueller Abstandshalterelemente aufweisen kann, um damit in geeigneter Weise das laterale und vertikale Dotierstoffprofil der Drain- und Sourcegebiete 254 einzustellen. Ferner sind Metallsilizidgebiete 255 in den Drain- und Sourcegebieten und dem Gateelektrodenmaterial 251a gebildet.
  • Das in 1h gezeigte Halbleiterbauelement 200 kann auf der Grundlage gut etablierter Prozesstechniken hergestellt werden, wobei die Drain- und Sourcegebiete 254 auf Basis der Abstandshalterstruktur 256 mittels Ionenimplantation geschaffen werden. In dem Transistor 250p dient typischerweise Bor als eine Dotierstoffsorte, wobei jedoch auf Grund des Vorhandenseins einer weiteren Sorte, etwa Kohlenstoff, im Material 253p ein besseres Dotierstoffprofil auf Grund der diffusionshindernden Wirkung der Kohlenstoffsorte in Bezug auf die Borsorte erreicht wird. D. h., der pn-Übergang an dem Transistor 250p, der durch 254p bezeichnet ist, kann gemäß den entsprechenden Implantationsschritten so gebildet werden, dass eine ausgeprägte Strecke davon innerhalb der Halbleiterlegierung 253p liegt. Somit kann beim Ausheizen des Bauelements 200 die diffusionshindernde Wirkung der Kohlenstoffsorte für einen verbesserten „Einschluss” der Borsorte zumindest innerhalb des Materials 253p sorgen. Folglich kann zusätzlich zu der kompressiven Verformungskomponente 252c der stärkere Dotierstoffgradient an dem pn-Übergang 254p zumindest innerhalb des Gebiets 253p zu einem geringeren Widerstand des Übergangsgebiets beitragen, was zu einem besseren Transistorleistungsverhalten führt. Des weiteren kann die Anwesenheit der Kohlenstoffsorte in den Transistoren 250p, 250n zu einem größeren Leckstrom in den jeweiligen pn-Übergängen führen, wodurch ein effizienter Mechanismus zur Entfernung von angesammelten Ladungen zwischen den Drain- und Sourcegebieten 254 während des Betriebs der Transistoren 250p, 250n gesorgt wird, wie dies auch zuvor erläutert ist. Es können weitere verformungsinduzierende Mechanismen eingerichtet werden, indem beispielsweise stark verspannte Abstandshalterelemente in der Abstandshalterstruktur 256 vorgesehen werden und/oder in dem verspannungsinduzierende Schichten über den Transistoren 250p, 250n angeordnet werden, um damit die gesamten Verformungskomponente in diesen Transistoren weiter zu erhöhen.
  • Es gilt also: Die vorliegende Offenbarung stellt Halbleiterbauelemente und Verfahren zu deren Herstellung bereit, wobei verformte Halbleitermaterialien, etwa Silizium/Kohlenstoff in die Drain- und Sourcegebiete auf der Grundlage eines sehr effizienten Fertigungsablaufs eingebaut werden, möglicherweise in Verbindung mit zusätzlichen Implantationssorten, um die gesamten Transistoreigenschaften weiter zu verbessern, so dass Dotierstoffprofile in Verbindung mit erhöhten Verformungspegel erreicht werde können. Insbesondere wird ein Halbleitermaterial mit einer natürlichen Gitterkonstante, die kleiner ist als die eines siliziumbasierten Materials, in Transistoren unterschiedlicher Leitfähigkeitsart verwendet, wobei ein negativer Einfluss der entsprechenden anfänglich erzeugten Verformungskomponente überkompensiert wird, indem eine Verspannungsgedächtnistechnik angewendet wird. Folglich kann eine verbesserte Gesamtprozessgleichmäßigkeit auf Grund der besseren Bedingungen während des Ätzens der jeweiligen Aussparungen und während des Abscheidens des Halbleiterlegierungsmaterials erreicht werden, wobei gleichzeitig Dotierstoffprofileigenschaften sowie das Leckstromverhalten in p-Kanaltransistor als auch in n-Kanaltransistor verbessert werden können, wodurch ebenfalls zu besseren gesamten Bauteileigenschaften beigetragen wird.

Claims (5)

  1. Verfahren mit: Bilden erster Aussparungen (203p) benachbart zu einer ersten Gateelektrodenstruktur (251) eines ersten Transistors (250p) und Bilden zweiter Aussparungen (203n) benachbart zu einer zweiten Gateelektrodenstruktur (251) eines zweiten Transistors (250n), wobei der erste und der zweite Transistor (250p, 250n) von unterschiedlicher Leitfähigkeitsart sind; Bilden eines Halbleitermaterials (253) in den ersten und zweiten Aussparungen (203p, 203n), wobei das Halbleitermaterial (253) eine erste Art Verformung besitzt; Erzeugen von Gitterschäden in dem Halbleitermaterial (253) selektiv in dem ersten Transistor (250p), um ein im Wesentlichen entspanntes Halbleitermaterial (253p) zu bilden; und Rekristallisieren des im Wesentlichen entspannten Halbleitermaterials (253p) in einem verformten Zustand, wobei der verformte Zustand einer zweiten Verformungsart entspricht, die entgegengesetzt zur ersten Art an Verformung ist.
  2. Verfahren nach Anspruch 1, wobei Rekristallisieren des im Wesentlichen entspannten Halbleitermaterials (253p) umfasst: Bilden einer verformungsinduzierenden Materialschicht (218) über dem ersten Transistor (250p) und Ausheizen des im Wesentlichen entspannten Halbleitermaterials (253p) in Anwesenheit der verformungsinduzierenden Materialschicht (218).
  3. Verfahren nach Anspruch 2, wobei das Halbleitermaterial (253) eine Silizium/Kohlenstofflegierung aufweist.
  4. Verfahren nach Anspruch 1, wobei die ersten und zweiten Aussparungen (203p, 203n) in einem gemeinsamen Ätzprozess hergestellt werden.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer ersten verformungsinduzierenden Schicht über Metallsilizidgebieten des ersten Transistors (250p) und Bilden einer zweiten verformungsinduzierenden Schicht über Metallsilizidgebieten des zweiten Transistors (250n), wobei die erste und die zweite verformungsinduzierende Schicht eine unterschiedliche Art an Verformung hervorrufen.
DE102008035816A 2008-07-31 2008-07-31 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials Active DE102008035816B4 (de)

Priority Applications (8)

Application Number Priority Date Filing Date Title
DE102008035816A DE102008035816B4 (de) 2008-07-31 2008-07-31 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US12/473,726 US8154084B2 (en) 2008-07-31 2009-05-28 Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
TW104105248A TWI611517B (zh) 2008-07-31 2009-07-30 基於矽/碳材料之pmos與nmos電晶體的性能提升
TW098125629A TWI479604B (zh) 2008-07-31 2009-07-30 基於矽/碳材料之pmos與nmos電晶體的性能提升
PCT/US2009/004417 WO2010014246A1 (en) 2008-07-31 2009-07-31 Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material
CN200980129329.5A CN102105977B (zh) 2008-07-31 2009-07-31 基于硅/碳材料的pmos与nmos晶体管的性能提升
US13/362,763 US8772878B2 (en) 2008-07-31 2012-01-31 Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
US14/287,806 US20140264386A1 (en) 2008-07-31 2014-05-27 Performance enhancement in pmos and nmos transistors on the basis of silicon/carbon material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008035816A DE102008035816B4 (de) 2008-07-31 2008-07-31 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials

Publications (2)

Publication Number Publication Date
DE102008035816A1 DE102008035816A1 (de) 2010-02-11
DE102008035816B4 true DE102008035816B4 (de) 2011-08-25

Family

ID=41501082

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008035816A Active DE102008035816B4 (de) 2008-07-31 2008-07-31 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials

Country Status (5)

Country Link
US (3) US8154084B2 (de)
CN (1) CN102105977B (de)
DE (1) DE102008035816B4 (de)
TW (2) TWI611517B (de)
WO (1) WO2010014246A1 (de)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
DE102008054075B4 (de) * 2008-10-31 2010-09-23 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Abgesenktem Drain- und Sourcebereich in Verbindung mit einem Verfahren zur komplexen Silizidherstellung in Transistoren
JP5668277B2 (ja) 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
DE102009031114B4 (de) * 2009-06-30 2011-07-07 Globalfoundries Dresden Module One LLC & CO. KG, 01109 Halbleiterelement, das in einem kristallinen Substratmaterial hergestellt ist und ein eingebettetes in-situ n-dotiertes Halbleitermaterial aufweist, und Verfahren zur Herstellung desselben
US8487354B2 (en) * 2009-08-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving selectivity of epi process
KR101669470B1 (ko) * 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
US8211784B2 (en) * 2009-10-26 2012-07-03 Advanced Ion Beam Technology, Inc. Method for manufacturing a semiconductor device with less leakage current induced by carbon implant
DE102010029531B4 (de) 2010-05-31 2017-09-07 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung der Defektraten in PFET-Transistoren mit einem Si/Ge-Halbleitermaterial, das durch epitaktisches Wachsen hergestellt ist
US8535999B2 (en) * 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
TWI512946B (zh) * 2010-11-30 2015-12-11 United Microelectronics Corp 應變矽半導體結構
US8552503B2 (en) 2010-11-30 2013-10-08 United Microelectronics Corp. Strained silicon structure
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US20120231591A1 (en) * 2011-03-11 2012-09-13 Globalfoundries Inc. Methods for fabricating cmos integrated circuits having metal silicide contacts
US9240350B2 (en) * 2011-05-16 2016-01-19 Varian Semiconductor Equipment Associates, Inc. Techniques for forming 3D structures
US8884341B2 (en) 2011-08-16 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits
US8476131B2 (en) * 2011-08-24 2013-07-02 Globalfoundries Inc. Methods of forming a semiconductor device with recessed source/design regions, and a semiconductor device comprising same
CN102437165A (zh) * 2011-08-29 2012-05-02 上海华力微电子有限公司 绝缘体上宽禁带材料cmos结构及其制备方法
CN102437164A (zh) * 2011-08-29 2012-05-02 上海华力微电子有限公司 绝缘体上宽禁带材料cmos结构及其制备方法
US9318345B2 (en) * 2011-10-05 2016-04-19 Globalfoundries Inc. Enhancing transistor performance by reducing exposure to oxygen plasma in a dual stress liner approach
TWI556439B (zh) * 2011-12-20 2016-11-01 英特爾股份有限公司 用於pmos整合之第iv族電晶體
US9012277B2 (en) * 2012-01-09 2015-04-21 Globalfoundries Inc. In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
CN103633025B (zh) * 2012-08-21 2016-02-17 中芯国际集成电路制造(上海)有限公司 互补型金属氧化物半导体管的形成方法
CN103681332B (zh) * 2012-09-10 2016-03-16 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法、半导体器件的形成方法
CN103681846B (zh) 2012-09-20 2017-02-08 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US8872172B2 (en) 2012-10-16 2014-10-28 International Business Machines Corporation Embedded source/drains with epitaxial oxide underlayer
CN103779216B (zh) * 2012-10-18 2016-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
CN103811420B (zh) * 2012-11-08 2016-12-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
JP2015032651A (ja) * 2013-08-01 2015-02-16 マイクロン テクノロジー, インク. 半導体装置
CN104465388A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 嵌入式源/漏极mos晶体管的制造方法
FR3014244B1 (fr) * 2013-11-29 2018-05-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede ameliore de realisation d'un substrat semi-conducteur contraint sur isolant
US9634140B2 (en) 2014-11-10 2017-04-25 Samsung Electronics Co., Ltd. Fabricating metal source-drain stressor in a MOS device channel
US9515071B2 (en) * 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
KR102282980B1 (ko) * 2015-01-05 2021-07-29 삼성전자주식회사 실리사이드를 갖는 반도체 소자 및 그 형성 방법
EP3093881B1 (de) 2015-05-13 2020-11-11 IMEC vzw Verfahren zur herstellung einer cmos-vorrichtung
WO2016209284A1 (en) 2015-06-26 2016-12-29 Intel Corporation High-mobility semiconductor source/drain spacer
US9768254B2 (en) * 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
CN108028272B (zh) 2015-09-25 2022-09-27 英特尔公司 具有反向掺杂的掺杂剂扩散屏障的高电子迁移率晶体管
WO2017052609A1 (en) 2015-09-25 2017-03-30 Intel Corporation High-electron-mobility transistors with heterojunction dopant diffusion barrier
US10340374B2 (en) * 2015-09-25 2019-07-02 Intel Corporation High mobility field effect transistors with a retrograded semiconductor source/drain
US9923070B2 (en) * 2015-11-25 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10957769B2 (en) 2016-06-17 2021-03-23 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
US9911656B1 (en) 2016-08-19 2018-03-06 International Business Machines Corporation Wimpy device by selective laser annealing
US9960084B1 (en) * 2016-11-01 2018-05-01 United Microelectronics Corp. Method for forming semiconductor device
WO2018231928A1 (en) * 2017-06-15 2018-12-20 Efficient Power Conversion Corporation ENHANCEMENT-MODE GaN TRANSISTOR WITH SELECTIVE AND NONSELECTIVE ETCH LAYERS FOR IMPROVED UNIFORMITY IN GaN SPACER THICKNESS
US10734531B2 (en) 2017-06-22 2020-08-04 The Penn State Research Foundation Two-dimensional electrostrictive field effect transistor (2D-EFET)
US10347764B2 (en) 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
TWI743252B (zh) 2017-06-30 2021-10-21 台灣積體電路製造股份有限公司 鰭狀場效電晶體裝置與其形成方法
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법
US10734489B2 (en) * 2018-07-31 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with metal silicide layer
CN110357631B (zh) * 2019-08-14 2021-09-17 曾杰 基于微波处理的化学气相转化工艺制备碳化硅部件的方法及设备
US11398411B2 (en) * 2020-06-19 2022-07-26 Nexchip Semiconductor Co., Ltd. Method for manufacturing semiconductor element

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US20070048907A1 (en) * 2005-08-24 2007-03-01 Ho Lee Methods of forming NMOS/PMOS transistors with source/drains including strained materials and devices so formed
US20070072376A1 (en) * 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
DE102006015087A1 (de) * 2006-03-31 2007-10-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eingebetteter Drain/Source-Gebiete auf der Grundlage eines Prozesses zum kombinierten Ätzen von Abstandshaltern und einer Aussparung

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5824584A (en) * 1997-06-16 1998-10-20 Motorola, Inc. Method of making and accessing split gate memory device
US5874328A (en) * 1997-06-30 1999-02-23 Advanced Micro Devices, Inc. Reverse CMOS method for dual isolation semiconductor device
US6686629B1 (en) * 1999-08-18 2004-02-03 International Business Machines Corporation SOI MOSFETS exhibiting reduced floating-body effects
EP1672700A2 (de) * 1999-11-15 2006-06-21 Matsushita Electric Industrial Co., Ltd. Feldeffekt-Halbleiterbauelement
US6509241B2 (en) 2000-12-12 2003-01-21 International Business Machines Corporation Process for fabricating an MOS device having highly-localized halo regions
US6682992B2 (en) * 2002-05-15 2004-01-27 International Business Machines Corporation Method of controlling grain size in a polysilicon layer and in semiconductor devices having polysilicon structures
US7297617B2 (en) * 2003-04-22 2007-11-20 Micron Technology, Inc. Method for controlling diffusion in semiconductor regions
DE10318283A1 (de) * 2003-04-22 2004-11-25 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer verspannten Schicht auf einem Substrat und Schichtstruktur
US7545001B2 (en) * 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7175709B2 (en) * 2004-05-17 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy layer and method of forming the same
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7279430B2 (en) * 2004-08-17 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for fabricating a strained channel MOSFET device
CN100421225C (zh) * 2004-09-13 2008-09-24 中国科学院微电子研究所 一种提高pmos场效应晶体管空穴迁移率的方法
US7405465B2 (en) * 2004-09-29 2008-07-29 Sandisk 3D Llc Deposited semiconductor structure to minimize n-type dopant diffusion and method of making
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
DE102005028919B4 (de) * 2005-06-22 2010-07-01 Infineon Technologies Ag Verfahren zum Herstellen eines elektronischen Bauelementes und elektronisches Bauelement
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
DE102005057074B4 (de) * 2005-11-30 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Reduzieren von Kristalldefekten in verformten Transistoren durch eine geneigte Voramorphisierung
US20070196991A1 (en) 2006-02-01 2007-08-23 Texas Instruments Incorporated Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
DE102006015077B4 (de) 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
DE102006019935B4 (de) * 2006-04-28 2011-01-13 Advanced Micro Devices, Inc., Sunnyvale SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE102006019921B4 (de) * 2006-04-28 2010-10-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7919402B2 (en) * 2006-12-06 2011-04-05 Semequip, Inc. Cluster ion implantation for defect engineering
JP2008159960A (ja) * 2006-12-26 2008-07-10 Renesas Technology Corp 半導体装置の製造方法
US7432167B2 (en) 2007-01-10 2008-10-07 United Microelectronics Corp. Method of fabricating a strained silicon channel metal oxide semiconductor transistor
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
DE102007020039B4 (de) * 2007-04-27 2011-07-14 Infineon Technologies Austria Ag Verfahren zur Herstellung einer vertikal inhomogenen Platin- oder Goldverteilung in einem Halbleitersubstrat und in einem Halbleiterbauelement, derart hergestelltes Halbleitersubstrat und Halbleiterbauelement
JP2008294148A (ja) * 2007-05-23 2008-12-04 Toshiba Corp 半導体装置の製造方法
US7812370B2 (en) * 2007-07-25 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Tunnel field-effect transistor with narrow band-gap channel and strong gate coupling
US20090278233A1 (en) * 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
US7927989B2 (en) * 2007-07-27 2011-04-19 Freescale Semiconductor, Inc. Method for forming a transistor having gate dielectric protection and structure
US7662680B2 (en) * 2007-09-28 2010-02-16 Infineon Technologies Ag Method of producing a semiconductor element in a substrate and a semiconductor element
JP5223285B2 (ja) * 2007-10-09 2013-06-26 富士通セミコンダクター株式会社 半導体装置の製造方法
DE102007063229B4 (de) * 2007-12-31 2013-01-24 Advanced Micro Devices, Inc. Verfahren und Teststruktur zur Überwachung von Prozesseigenschaften für die Herstellung eingebetteter Halbleiterlegierungen in Drain/Source-Gebieten
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US7749847B2 (en) * 2008-02-14 2010-07-06 International Business Machines Corporation CMOS integration scheme employing a silicide electrode and a silicide-germanide alloy electrode
US8003454B2 (en) * 2008-05-22 2011-08-23 Freescale Semiconductor, Inc. CMOS process with optimized PMOS and NMOS transistor devices
DE102008030854B4 (de) * 2008-06-30 2014-03-20 Advanced Micro Devices, Inc. MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102008035812B4 (de) * 2008-07-31 2011-12-15 Advanced Micro Devices, Inc. Flacher pn-Übergang, der durch in-situ-Dotierung während des selektiven Aufwachsens einer eingebetteten Halbleiterlegierung mittels eines zyklischen Aufwachs-Ätz-Abscheideprozesses gebildet wird
DE102008063399B4 (de) * 2008-12-31 2012-04-12 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Asymmetrischer Transistor mit einer eingebetteten Halbleiterlegierung mit einer asymmetrischen Anordnung und Verfahren zur Herstellung des Transistors
DE102009006800B4 (de) * 2009-01-30 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Transistoren und entsprechendes Halbleiterbauelement
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20110034014A1 (en) * 2009-08-07 2011-02-10 Varian Semiconductor Equipment Associates, Inc. Cold implant for optimized silicide formation
US8080454B2 (en) * 2009-10-26 2011-12-20 United Microelectronics Corp. Method of fabricating CMOS transistor
US20140246696A1 (en) * 2013-03-04 2014-09-04 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in cavities formed in a silicon/germanium substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US20070048907A1 (en) * 2005-08-24 2007-03-01 Ho Lee Methods of forming NMOS/PMOS transistors with source/drains including strained materials and devices so formed
US20070072376A1 (en) * 2005-09-29 2007-03-29 Semiconductor Manufacturing International (Shanghai) Corporation Strained-induced mobility enhancement nano-device structure and integrated process architecture for CMOS technologies
DE102006015087A1 (de) * 2006-03-31 2007-10-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eingebetteter Drain/Source-Gebiete auf der Grundlage eines Prozesses zum kombinierten Ätzen von Abstandshaltern und einer Aussparung

Also Published As

Publication number Publication date
TW201521153A (zh) 2015-06-01
CN102105977A (zh) 2011-06-22
US20120129308A1 (en) 2012-05-24
US8154084B2 (en) 2012-04-10
WO2010014246A1 (en) 2010-02-04
TW201030902A (en) 2010-08-16
US20140264386A1 (en) 2014-09-18
TWI611517B (zh) 2018-01-11
US20100025771A1 (en) 2010-02-04
TWI479604B (zh) 2015-04-01
CN102105977B (zh) 2014-06-18
DE102008035816A1 (de) 2010-02-11
US8772878B2 (en) 2014-07-08

Similar Documents

Publication Publication Date Title
DE102008035816B4 (de) Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
DE102006019935B4 (de) SOI-Transistor mit reduziertem Körperpotential und ein Verfahren zur Herstellung
DE102005052054B4 (de) Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
DE102006019921B4 (de) Verfahren zur Herstellung des Transistors mit eingebetteter Schicht mit Zugverformung mit geringem Abstand zu der Gateelektrode
DE102006019835B4 (de) Transistor mit einem Kanal mit Zugverformung, der entlang einer kristallographischen Orientierung mit erhöhter Ladungsträgerbeweglichkeit orientiert ist
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102008030854B4 (de) MOS-Transistoren mit abgesenkten Drain- und Source-Bereichen und nicht-konformen Metallsilizidgebieten und Verfahren zum Herstellen der Transistoren
DE102010028462B4 (de) Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
DE102008035812B4 (de) Flacher pn-Übergang, der durch in-situ-Dotierung während des selektiven Aufwachsens einer eingebetteten Halbleiterlegierung mittels eines zyklischen Aufwachs-Ätz-Abscheideprozesses gebildet wird
DE102009047304B4 (de) Leistungssteigerung in PFET-Transistoren mit einem Metallgatestapel mit großem ε durch Verbessern des Dotierstoffeinschlusses
DE102007015500B4 (de) Verfahren zum Erzeugen einer Zugverspannung bei einem Halbleiterbauelement durch wiederholtes Anwenden von "Verspannungsgedächtnisverfahren" und Halbleiterbauelement
DE102008049725B4 (de) CMOS-Bauelement mit NMOS-Transistoren und PMOS-Transistoren mit stärkeren verformungsinduzierenden Quellen und Metallsilizidgebieten mit geringem Abstand und Verfahren zur Herstellung des Bauelements
DE102006019936B4 (de) Halbleiterbauelement mit unterschiedlich verspannten Ätzstoppschichten in Verbindung mit PN-Übergängen unterschiedlicher Gestaltung in unterschiedlichen Bauteilgebieten und Verfahren zur Herstellung des Halbleiterbauelements
DE102009047313B4 (de) Leistungssteigerung in Transistoren mit einem Metallgatestapel mit großem ε durch eine frühe Implantation der Erweiterungsgebiete
DE102007004862B4 (de) Verfahren zur Herstellung von Si-Ge enthaltenden Drain/Source-Gebieten in Transistoren mit geringerem Si/Ge-Verlust
DE102009055393B4 (de) Verfahren zur Herstellung und Halbleiterbauelement mit besserem Einschluss von empfindlichen Materialien einer Metallgateelektrodenstruktur mit großem ε
DE102011003439B4 (de) Verfahren zur Durchlassstromerhöhung in Feldeffekttransistoren durch asymmetrische Konzentrationsprofile von Legierungssubstanzen einer Kanalhalbleiterlegierung und Halbleiterbauelement
DE102008007003B4 (de) Verfahren zum selektiven Erzeugen von Verformung in einem Transistor durch eine Verspannungsgedächtnistechnik ohne Hinzufügung weiterer Lithographieschritte
DE102006030264B4 (de) Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird
DE102008016426B4 (de) Verfahren zum Erzeugen einer Zugverformung durch Anwenden von Verspannungsgedächtnistechniken in unmittelbarer Nähe zu der Gateelektrode
DE102008063432B4 (de) Verfahren zum Einstellen der Verformung, die in einem Transistorkanal eines FET hervorgerufen wird, durch für die Schwellwerteinstellung vorgesehenes Halbleitermaterial
DE102007009915B4 (de) Halbleiterbauelement mit verformter Halbleiterlegierung mit einem Konzentrationsprofil und Verfahren zu dessen Herstellung
DE102010063293B3 (de) Verfahren zur Herstellung von Transistoren mit unterschiedlichen Source/Drain-Implantationsabstandshaltern
DE102010029531B4 (de) Verfahren zur Verringerung der Defektraten in PFET-Transistoren mit einem Si/Ge-Halbleitermaterial, das durch epitaktisches Wachsen hergestellt ist
DE102009055438A1 (de) Höhere Integrität einer Metallgateelektrodenstruktur mit großem ε durch Anwenden eines Opferabstandshalters für die Deckschichtabtragung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8172 Supplementary division/partition in:

Ref document number: 102008064702

Country of ref document: DE

Kind code of ref document: P

Q171 Divided out to:

Ref document number: 102008064702

Country of ref document: DE

Kind code of ref document: P

8127 New person/name/address of the applicant

Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R018 Grant decision by examination section/examining division
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R020 Patent grant now final

Effective date: 20111126

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE