CN1959685A - 用于改进半导体器件的可制造性的方法和*** - Google Patents

用于改进半导体器件的可制造性的方法和*** Download PDF

Info

Publication number
CN1959685A
CN1959685A CNA2006101371165A CN200610137116A CN1959685A CN 1959685 A CN1959685 A CN 1959685A CN A2006101371165 A CNA2006101371165 A CN A2006101371165A CN 200610137116 A CN200610137116 A CN 200610137116A CN 1959685 A CN1959685 A CN 1959685A
Authority
CN
China
Prior art keywords
unit
changing
change
wiring
dfm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101371165A
Other languages
English (en)
Inventor
小安东尼·科里勒
本杰明·J·鲍尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1959685A publication Critical patent/CN1959685A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

公开了用于改进集成电路的单元和单元之内的结构的可制造性的方法、***和介质。实施例包括一种安排可编程单元、对可编程单元布线、针对制造改进机会而分析单元安排和互连布线以及更改可编程单元结构以结合制造改进的方法。在一些实施例中,扩展导线以防短路。在其他实施例中,通过向接触和通孔周围的区域添加附加金属化,或者通过添加冗余接触和通孔,来改进接触和通孔的可靠性。在一个实施例中,按迭代方式对集成电路实行一系列制造改进。

Description

用于改进半导体器件的可制造性的方法和***
技术领域
本发明一般地涉及集成电路的领域。更特别地,本发明涉及用于将在集成电路的衬底中形成的单元和单元之内的结构进行互连以改进可制造性和可靠性的方法、***和介质。
背景技术
现今,集成电路在一个单芯片上包含数百万个晶体管,其中许多关键电路特征具有深亚微米范围的测量值。随着制造者在越来越小的硅衬底表面区域内实现越来越多的电路元件,工程师和设计者开发了硬件和软件工具,以使大量的集成电路设计和制造过程自动化。虽然这些软件和硬件工具急剧地缩短了从构思到制造的时间,但是这些相同工具目前具有制造限制。一种这样的限制是,在制造和测试阶段两者期间以及在最终产品芯片中的集成电路的可靠性。由于目前正在使用这些工具,所以这些工具还妨碍增加电路组件密度。
虽然有若干开发集成电路的方法,但是现今主要方法在开发过程中使用标准单元。这种标准单元方法也称为基于单元的拓扑,具有比其他方法例如门阵列方法实现更大电路密度的优点。如同门阵列方法那样,标准单元设计依赖一组称为单元的预定电路元件,以实现电路设计。标准单元的复杂性可以从诸如在门阵列中可找到的那些简单逻辑门到诸如RAM、ROM和PLA的块级组件之间变化。换句话说,基于单元的拓扑可以包括低级单元,例如n-fet和p-fet晶体管,或者它可以包括从无到有而设计的或通过组合低级单元而设计的复杂功能块。
设计者和工程师通常首先通过制备逻辑电路的示意图或硬件描述语言(HDL)规范来设计和制造半导体集成电路。HDL规范提供怎样将电路元件进行互连的细节。利用标准单元技术,将示意图或HDL规范合成到特定单元库的标准单元中。每个标准单元与使用晶体管实现的逻辑功能相对应。
使用HDL规范和来自单元库的标准单元,将集成电路设计的电路元件的特定互连典型地表示为网络元件的列表,也叫做连线表(netlist)。一系列计算机辅助设计工具产生标准单元的这个连线表及单元之间的互连。布局规划器(floor planner)或布置工具使用连线表将标准单元布置在集成电路版图图形中的特定位置。在产生这个版图图形之后,称为布线器(router)的软件工具确定单元之间的导电路径的物理位置。已经开发和实现了许多算法,以便对基于单元的电路设计的电路元件之间的互连进行自动布线(routing)。这些算法包括布线器软件工具的核心。连同其他参数,这些布线器算法使用连线表参数,并且试图自动地给包括功能块单元的标准单元的电路元件之间的互连进行布线。
用于基于单元的设计的大多数布线工具从电路元件(例如标准单元和功能块)的布置开始。电路元件的布置可以是自动的,如上述布局规划器的情况那样,或者布置可以是手动的。电路元件的布置由大量参数驱动,例如取向需求、相对于其他非独立单元的单元布置需要、电路紧凑需求和单元之间的互连线路数。
在布置之后,用于布线的典型下一步骤通常称为全局布线。全局布线器试图逻辑上确定互连分组的一般路径或通道。全局布线器在确定通道时考虑许多因素,例如用于互连的可用途径和互连的长度。一旦全局布线器分配了互连线路的一般流动,则设计者使用具体布线器,例如通道布线器,使实际互连线路符合全局布线器所作的分配。换句话说,一旦作出了全局分配,就变成通道布线器的工作,以算出怎样对通过如由全局布线器所分配的每个通道的全部线路进行布线。简单地说,通道布线器从一个单元中选择目标,并且确定至第二单元上的目标的特定物理路径。布线器针对全部限定互连重复这个过程。
为了便于有效布线,标准单元经常具有用于布线器的众多单元目标。在标准单元上具有众多目标允许布线器更灵活地选择互连线路目的地。换一种方式,布线器可以在多种目标中做出选择,以使互连线路缩短,符合标准单元需求,或遵从通道限制。在对实际互连线路进行布线时,通道布线器可能需要重新定位先前布置的单元以实现设计。作为例子,必须在某一通道内延伸的互连线路数可能物理上超过分配的通道宽度,需要移动单元,以增加通道宽度并且容纳互连线路。
一旦标准单元得到布置和布线,则连线表、单元版图限定、布置数据和布线数据一起形成用于制造集成电路的集成电路版图限定。通过在已知为晶片的衬底上沉积多层来制造集成电路。最下“基”层包括晶体管的有源区域,包括扩散区、栅氧化区域和多晶硅栅电极(经常称为多晶硅栅电极)的希望图形。
通过与例如氧化、蚀刻、掺杂和材料沉积的其他工艺步骤相混合的一系列图形限定步骤,制造集成电路层。然后在基层的顶部沉积一个或多个金属层,以形成将标准单元进行互连的导电部分。在衬底上方形成金属化层便于晶体管的互连,以形成更复杂的器件,例如NAND门、反相器等。目前的集成电路典型地具有六至十个金属化层。
金属化层利用线路、接触和通孔(via),将每一个单元内的晶体管进行互连,以及将单元进行互连,以形成集成电路,例如处理器、状态机或存储器。线路典型地驻留在每个层内的平行路径中。在垂直相邻层中的线路经常相互垂直地延伸,通过一个也称为级间介电层(inter-level dielectric layer)的非导电钝化层例如氧化硅所分开。将氧化硅蚀刻以形成通孔,这些通孔将按照电路设计的各种金属化层的线路进行互连。使集成电路的输入和输出处于具有接触和通孔的表面上,以将电路与芯片封装的管脚键合。芯片封装典型地包括环氧树脂或陶瓷,其密封集成电路以保护电路免遭损坏;以及管脚,其便于集成电路的输入和输出与例如印刷电路板之间的连接。
使用上述制造过程,使集成电路按比例缩减,以增加芯片封装可得到的功能性和速度。设计者不断地使单元结构按比例缩减到越来越小的尺寸,增加了衬底的每单位面积的单元密度。不幸地,随着单元结构和互连线路缩短,这种小型化带来某些制造挑战。
工程师和设计者在集成电路小型化过程中遇到了众多制造问题。作为一个制造问题的例子,互连线路或导线有时布置得过于靠近,以至它们在创建时往往一起短路。除了与使导线短路相关的制造问题之外,不适当蚀刻的通孔有时阻碍通孔完全接触顶部和底部金属层。另外,在制造过程期间多晶硅的圆角化(corner rounding)或有源扩散改变单元组件例如晶体管的有效尺寸。因为例如这些的问题,所以可制造性设计(DFM)技术,例如解决短路导线或不适当蚀刻的通孔的问题的那些技术,变得越来越重要。
不幸地,在单元级的DFM技术不足,因为它们仅改进单元内部的特征。改进单元外部特征,即可能与其他单元或顶级互连相互作用的那些特征,在单元一旦得到布置或就位,就必须出现。因为有大量方式可以使单元定位,所以针对每个单元位置来设计详尽的版图集是不可行的。
代替使用单元级的DFM技术,设计者可选择地转向软件方法。使用软件,有些设计者改变顶级并且对其添加附加形状。然而,按照这种方式使用软件添加形状具有许多缺点。首先,对顶级添加形状大大增加设计的复杂性。其次,设计者除了改变如布尔运算这样的事情外,对设计几乎没有控制。最后,按这样方式使用软件一般仅添加形状,并且使设计复杂,而不是使形状减去并且使设计简化。
已经提出了减少顶级复杂性的分级方法,例如减少布线目标连接数。然而,由于其中可以布置单元的不同位置,这种方法限制了减少单元和顶级复杂性的有效性。例如,考虑一个可以实行三个DFM改进的单元。进一步假定该单元可以用在两个不同位置或实例中。唯一可以实行的DFM改进是对两个单元位置所共同的那些改进。通常,这样将仅允许对两个单元实例实行三个潜在改进中的一个DFM改进。
所需要的是在基单元级增加集成电路的制造可靠性的新方法,或新DFM技术。需要新DFM技术,例如用于防止导线的短路及改进通孔和接触的那些技术。另外,这些新DFM技术应便于集成电路的进一步小型化。
发明内容
通过用于改进半导体器件的可制造性的方法、***和介质,以上识别的问题可以得到大部分的解决。一个实施例包括一种使用更改单元来改进集成电路的可制造性的方法。该方法一般地包含安排未更改单元,限定单元之间的导电路径的布线,针对制造改进机会而评估单元的安排,和更改单元,以将制造改进变化结合到各个单元实例。
另一个实施例包括一种用于通过更改单元来改进半导体衬底上的集成电路的可制造性的***。该***可以包括用于布置和安排一组未更改单元的安排模块,和用于指定单元之间的导线布线的布线器。该***可以包括评估模块,它针对制造改进机会而检查单元安排。该***还可以进一步包括更改模块,它更改单元,以结合制造改进变化。该***还可以包括用于评估如集成电路的定时性能这样特征的模块。
又一个实施例包括一种机器可存取介质,它包含用于使机器改进集成电路设计的可制造性的指令。机器可存取介质可以包括用于以下步骤的指令:安排多个集成电路单元,限定单元之间的互连导电路径的布线,针对可制造性改进而评估集成电路单元安排,和更改单元以结合制造变化。
附图说明
在阅读以下详细描述及参考附图时,本发明的其他目的和优点将变得显而易见,其中相同标号可以指示类似元件:
图1描述将包括导线布线目标的基单元与可编程覆盖模板进行组合以形成可编程单元的概念;
图2描述将基单元与参数化幻像单元进行组合以创建就位(in-situ)可编程单元的可选概念;
图3描述一个可编程单元的实施例,具有众多布线目标和过量单元组件,以辅助布线过程;
图4说明怎样将一个可编程单元分成两个区别单元,一个包含不需要变化的元件的基单元,和一个包含众多可以被除去或重新安排的单元结构的幻像单元;
图5A和图5B说明一个布线器怎样可以选择一个可编程单元上的某些目标,和该单元怎样可以随后被改变,以结合可制造性改进;
图6A至图6E说明一些可制造性变化怎样可能相互排斥;和
图7A至图7C描述实施例的流程图,以将用于可制造性变化的一个或多个设计结合到集成电路设计中。
具体实施方式
以下是附图所描述的本发明的范例实施例的详细描述。范例实施例为这样详细,以便清晰地表达本发明。然而,所提供的大量细节不旨在限制实施例的预期变体,而相反,旨在覆盖落入如由所附权利要求书所限定的本发明的精神和范围之内的全部修改方案、等同方案和可选方案。以下详细描述设计为使这种实施例对本领域普通技术人员来说显而易见。
一般地说,公开用于改进半导体器件的可制造性的新DFM技术的方法、***和介质。讨论用于增强集成电路的半导体衬底中形成的单元和单元之内结构的可制造性和可靠性的新DFM技术。实施例包括基单元,具有布置和布线工具可用的可选布线目标;和可编程DFM覆盖模板,它们组合形成就位可编程单元。在一些实施例中,软件或设计者除去未使用的冗余输入和输出连接,以减小电容和关键面积。在其他一些实施例中,软件或硬件移动单元内部的导线,以便使它们分开并减小金属桥接的可能性。在又一些实施例中,添加附加金属以增加接触的覆盖范围。
在可选实施例中,利用在覆盖单元上能够允许的全部布线目标布置初始基单元。执行分析步骤,并且部分地更改覆盖单元,除去未使用输入或输出。在覆盖单元的部分更改时,可以执行两个不同算法中的一个。在一个实例中,然后可以运行冗余通孔算法,以分析增加接触金属覆盖范围的机会。在本实例中,当在覆盖单元的部分更改之后运行冗余通孔算法时,可以运行第二算法,例如用于分析实现冗余通孔的机会的算法。在一个可选实例中,在用于增加金属覆盖范围的算法之前,可以执行冗余通孔算法。
虽然以下详细讨论的部分描述许多包括用于改进集成电路的可靠性的新DFM技术的实施例,但是在查阅这里的教导下,本领域普通技术人员将会认识到,以下发明可以通过多种方式来实践,例如通过设计者,通过使用软件算法,以及在一些实施例中使用集成电路设计硬件。实践本发明的全部方法是可以互换的。此外,实施例讨论多晶硅栅结构、硅衬底、金属线路等,但是本领域普通技术人员将会认识到,当按照类似限制使用时,可以使用其他材料来代替这些结构,以执行基本上等同的功能。
转到附图,图1说明创建在位或就位可编程单元的概念。因为现今许多集成电路使用计算机辅助设计(CAD)和计算机辅助制造(CAM)工具来设计,所以这里公开的改进DFM技术可以集成到设计过程中。设计者可以构造可编程单元库,这个可编程单元库然后可以用来构造集成电路设计。作为示例,设计者可以从单个标准单元开始。该单元可以是简单的,仅包括场效应晶体管,或该单元可以包括众多晶体管,它们形成一个复杂功能块。
标准单元可以具有多个组件,全部组件在最终设计中并不都是必要的。例如,标准单元可以包括具有众多上层布线目标的基单元(元件110)。这些多个布线目标可以为布置和布线器工具提供用于与其他单元连接的多种可选着落点。对这个标准单元,设计者可以添加DFM可编程覆盖模板120。标准单元(元件110)和DFM可编程覆盖模板120的组合包括在位或就位可编程单元130。就位可编程单元130然后可以在集成电路设计过程期间使用。一旦在设计中布置和布线,就位可编程单元130然后可以被更改,除去任何不必要组件,并且从而允许其他DFM增强。
为了清晰,附加说明可以帮助理解就位可编程单元的概念。在图2中,可选的就位可编程单元230可以被认为实际上包括两个或多个区别单元。单元的一部分可以称为基单元210,它可以包含不与其他单元互连的单元内部的结构。用于与其他单元互连的其余结构可以布置在第二单元中。这个第二单元可以称为幻像单元220,它然后可以转换成参数化版图单元。换句话说,幻像单元220可以具有分配给单元中每个结构的若干可编程参数。对于每个唯一单元布置或安排的幻像单元220,可以执行多个DFM更改。
一旦在集成电路设计文件中布置了多个就位可编程单元,然后程序可以针对每个就位可编程单元分析每个安排。基于特别安排,程序可以启动或禁止幻像单元参数中的一个或多个。例如,一个就位可编程单元安排可以具有五个分立结构,仅需要其中三个来将单元与其他相邻单元互连。程序可以启动用于三个必要结构的参数,并且禁止用于两个不必要结构的参数。关于不必要结构,程序可以将它们从设计文件中全体除去,结果得到较小设计文件,使设计具有改进的关键面积和其他DFM属性。
设计者可以按多种方式组合使用基单元210和幻像单元220,以创建就位可编程单元230。例如,设计者可以在设计中布置幻像单元220的情况下,在幻像单元220内部创建基单元210。可选地,设计者可以将基单元210和幻像单元220布置在集成电路中的相同级之内。显然,本实施例中通过使用就位可编程单元230所公开的新DFM技术可以按多种方式来实施。
为了进一步说明新DFM技术使用就位可编程单元的概念,现在转到图3。图3表示单元300,包括一对晶体管。更具体地,在本实施例中,单元300包括一对并联连接的P沟道场效应晶体管(p-fet)和一对并联连接的N沟道场效应晶体管(n-fet)。在可选实施例中,单元300可以包括若干晶体管,包括多个n-fet和p-fet对。在又一些实施例中,单元300可以是功能块,例如NAND门或逻辑门的网络,由多个较低级器件例如场效应晶体管所组成。
单元300使用四种基本材料:扩散材料、多晶硅、接触材料和金属。在下级,单元300具有扩散材料375,通过接触315和接触385与驻留在上级的金属轨320连接。金属轨320可以向单元300传送例如VDD的有效电位。相反,单元300还可以包含另一个金属轨350,传送例如接地的有效电位。接触315和接触385包括导电接触材料,夹在下面的扩散材料375与上面的金属轨320之间。与接触315和接触385类似,接触335驻留在多晶硅材料310与金属条340之间。除接触315、接触385和接触335外,单元300还使用众多其他接触,在上级与下级材料之间提供导电路径。
单元300具有众多布线目标。一旦在集成电路设计中布置了单元300,当将多晶硅材料310与外部单元互连时,软件布线工具就可以选择布线目标305、布线目标355、布线目标345或布线目标380。另外,当将外部单元与单元300的扩散材料365和扩散材料375互连时,软件布线工具可以在布线目标330和布线目标360之间选择。在布置和布线两者都完成时,可以保留一个或多个目标(元件305、355、345、380、330和360)未连接。这种未连接目标元件可以被从最终设计除去,并且使单元300为DFM改进而作改变。以下是怎样可以实现各种新DFM技术的更详细讨论。
与图1和图2讨论的概念类似,图3所示的单元300可以认为是图4所描述的两个单元的组合。一个单元即基单元400可以包括不与单元300外部的其他集成电路单元直接连接的元件。在一个实施例中,基单元400可以包括扩散材料375和365,以及例如金属轨320的金属结构和例如接触315的接触。如图4所示,基单元400也可以包括多晶硅材料,例如多晶硅310。在可选实施例中,基单元400可以仅包括扩散材料,例如扩散材料365和375。因此,在布线步骤之后可随后改变的其余元件可以布置在幻像单元450中。在一个实施例中,幻像元件450可以包括不布置在基单元400中的多晶硅、金属和接触材料。如图4所描述的,幻像单元450可以包含分别具有布线目标305和355的多晶硅310的上部分和下部分。幻像单元450也可以包含可选金属布线目标380和345,通过接触335和接触370分别将金属条340和金属条373与多晶硅310的部分连接。另外,幻像单元450也可以包含分别具有关联金属条328和358的可选金属布线目标330和360。当组合在一起时,例如将幻像单元450直接布置在基单元400上方时,结果产生的单元可以具有如图3所示单元300那样的单个单元的外观。
在又一些实施例中,基单元400可以包含例如只有扩散元件的元件的不同组合,或扩散元件、多晶硅、金属、接触和通孔的各种组合。一些实施例可以包含多晶硅、金属或接触材料元件中的一个或多个。同样地,幻像单元450可以包含较少多晶硅、金属和接触材料。用于确定与基单元400中所包括的元件相对地在幻像单元450中布置哪些元件的因素,可以是元件在布置和布线之后是否很可能更改。在又一些实施例中,可以将全部元件布置在幻像单元450中。布置在幻像单元450中的元件可以全部具有分配给它们的参数,例如启动、禁止、宽度或长度。幻像单元450的最终参数可以在制造过程期间的某一步骤之后,例如在布置和布线之后来选择。
现在继续本示例,在布置和布线之后为了DFM改进而可以怎样更改单元,关注图5A。图5A表示单元301,除具有关联接触306的附加金属元件307和金属元件332外,它和图3所示的单元300相同。这样的金属元件和接触可以是在执行全局和具体布线步骤之后由布线器软件所识别的选择布线互连的结果。注意虽然布线软件添加金属元件307,通过接触306布线到多晶硅材料310布线目标305,和金属元件332,布线到布线目标330,但是有许多布线目标和关联结构留下未使用。例如,图5A表示布线目标345、360、380和355留下未使用。通过再参考图4所示的幻像单元450,可见与这些未使用布线目标相关联的结构也未使用,即分别为金属条340、358、373,接触335和370,多晶硅310的下部分,和与接触335及370相关联的中间部分多晶硅310。
在布线期间未被选择的布线目标和它们的关联材料可以被除去,并且其余单元元件可以被改变,以取得DFM改进。在图5B中可见一个实施例,其中已经除去用于未使用布线目标的金属、多晶硅和接触材料。新单元302仍包含上面多晶硅材料310,但是用于提供布线目标355的多晶硅材料310的下部分已经消除。类似地,金属元件332布线到新单元302的中间的布线目标330,而与布线目标345、360和380相关联的未使用的金属和接触也被除去。另外,多晶硅材料310的中间面积减小。
按这样方式除去未使用的多晶硅、金属和接触材料,使关键面积和***电容两者减小,它们两者都是重要的DFM改进。按这样方式减小单元之内的关键面积允许在半导体衬底上的较大产量。按这样方式减小***电容使集成电路的功率消耗减小,为另一种可制造性改进。另外,当除去未使用元件时,可以改进关键几何,以取得单元本身之内的更多DFM改进。
图6A至图6E说明在单元已经布置和布线之后可以实现多个新DFM技术,包括一些相互排斥的技术。在有多个可能的可使用的相互排斥DFM技术的情况下,可以评估各种折衷,以从相互排斥的选项中做出选择。换句话说,设计者会需要选择一种DFM技术而不选择另一种,因为这两种技术可能物理上难以或不可能同时使用。这种做出折衷的概念在以下讨论之后将变得更加清晰。
图6A表示一个幻像单元600。虽然为了清晰而未示出,但假定幻像单元600驻留在基单元的顶上,并且在集成电路设计中已经布置了这两个单元。还假定与幻像单元600和关联的基单元类似,其他集成电路单元也已经类似地布置在幻像单元600周围的区域中,并且设计现在为具体布线阶段。为了布线,又假定幻像单元600启动全部布线目标,即接触610和630。换句话说,布线软件算法可以选择接触610作为指定单元输入,或选择接触630。接触610和630分别提供至金属层1部分625和615的导电路径。
查看图6B,假定布线软件算法选择接触610,并且算法还选择未使用金属部分615周围的区域,用于一个包含金属层2部分605、通孔626和金属层1部分627的立交布线(fly-over route)。因为接触630是接触610的可选接触,以及因为在布线期间选择了接触610,所以不再需要接触630及其关联支持结构,即金属层1部分615。代替地,金属层1部分625和接触610在最终集成电路设计中提供对幻像单元600和下面基单元的输入。因此,DFM算法可以除去金属层1部分615和接触630,以为DFM改进让出空间,如图6C所描述。在本特别实施例中,从设计中除去这两个结构使金属层1关键面积减小,并且提供一个部分更改的幻像单元603。
如上所述,在单元布置和布线之后,计算机算法可以做出随后DFM改进,包括相互排斥的一些改进。在这样情况下,设计者会需要选择一个DFM改进而不选择另一个,因为两者改进可能物理上难以或不可能同时实现。图6D和图6E提供这个问题的说明。图6D说明可以对由布线软件所添加的金属层2部分605、金属层1部分627和关联通孔626所实行的一个DFM改进。如果希望,则DFM改进算法可以添加支持金属层1部分627和第二通孔619,以改进金属层1部分627与金属层2部分605之间的通孔可靠性。值得注意的是,由于在先前个性化步骤期间消除了金属层1部分615和接触630,所以这种添加冗余通孔和关联金属层1部分的增强是可能的。
作为代替,改进通孔可靠性而可以实现改进接触可靠性的可选DFM改进,可以是增加金属层1覆盖面积的改进。图6E说明这个可选DFM改进。为了改进接触610的接触可靠性,DFM改进算法可以增加接触610周围的金属层1覆盖材料。更具体地,DFM算法可以紧邻金属层1部分625和接触610添加金属层1部分623。集成电路制造领域的技术人员将会认识到,按这样方式增加接触周围的金属表面积将大大改进接触可靠性。使用这种技术可以允许不适当蚀刻的接触和通孔,以仍使顶部和底部金属层适当地接触。
图6D和图6E示范一个例子,其中当两种变化相互非常接近时,在增加接触可靠性之前增加通孔可靠性,排除了实现两者改进的可能性。为了清晰地说明这点不同,添加支持金属层1部分622和第二通孔619,以改进金属层1部分627与金属层2部分605之间的通孔可靠性,禁止了对邻近金属层1部分625添加金属层1部分623,因为支持金属层1部分622与金属层1部分623之间有距离限制。实行两种变化会带来制造问题,例如在两个金属结构之间的金属桥接。
更一般地,应该注意,实现相互排斥的不同DFM改进的顺序限制了随后DFM更改的可用性。因此,执行不同DFM改进算法的顺序会影响最终集成电路设计。在一些实施例中,这种不同DFM改进算法可以是完全分开的程序。在可选实施例中,算法可以包括在同一程序中,但是设计者或程序参数可以确定哪些改进可以实行。在又一些实施例中,机器可以使选择哪些DFM改进将要实行的过程自动化,而可能无需软件的辅助。全部这样的实施例变体是预期的,并且属于本发明的范围之内。
图7A描述用于形成具有改进DFM增强的集成电路的实施例的流程图700。流程图700通过首先安排一个或多个幻像单元,并且确定布线互连的位置,开始设计集成电路(要素705)。这样的安排和布线可以是物理的,如利用CAD/CAM工具的情况那样,或它们可以是抽象的,如具体文本电路描述或预备连线表的情况那样。
虽然没有明确地申明,但图7A所述的实施例中固有的是一个首先开发幻像单元库的预先步骤。也就是说,必须在设计集成电路之前完成用可配置参数组装集成电路单元的集合,它们将用作创建集成电路设计的构造块。在一些实施例中,开发这样的幻像单元库或可配置单元可能涉及的工作量与更改现有单元库和对布线之后可除去和/或更改的元件配置参数所涉及的差不多。
在布置和布线幻像单元之后,可以分析电路的定时性能(要素710)。分析集成电路设计的定时性能可以发生在设计的早期,与图7A的实施例类似,或者它可以发生在设计的后期,例如紧在制造之前。然而,设计者可以优先在设计过程的早期分析定时,以使后期设计阶段中所需的附加工作最小化。
一旦定时分析完成,设计者或执行设计者的工作的软件可以选择更改布置和互连布线(要素715和705)。更改布置和互连布线对于校正初始电路设计中的误差或传播延迟是必需的。然而,假定定时可接受,设计者可以选择编译连线表,包括幻像单元和互连布线的安排的布置和布线信息(要素720)。
然后在针对DFM改进机会而分析集成电路设计的幻像单元互连时(要素725),可以使用连线表(在要素720期间创建)。例如,DFM算法可以通过连线表挑选其中不布置外部互连的实例,以便在接触周围添加金属覆盖。可选地,DFM算法可以针对可能另外经受可制造性问题的其中在敏感位置布置虚设层填充或格栅结构(waffle)的实例来分析数据。这样的改进机会是众多且详尽的。用于接触的虚设填充和附加金属覆盖仅是两个例子。
在针对DFM改进机会而详细检查幻像单元版图和互连之后,设计者可以精确地选择将要实现哪些DFM更改(要素730)。对其中已经识别DFM更改的每个实例,DFM算法然后可以选择受影响的幻像单元,并且改变适当单元参数(要素735)。例如,一个包含n-fet和p-fet晶体管对的具有未使用布线目标的单元可以将未使用元件的参数设置为零,或禁止,使得这些元件将不在集成电路设计中出现。更改各个幻像单元的这个过程可以继续到全部识别的DFM更改已经实现为止。
基于在更新幻像单元的过程期间对幻像单元实行哪种更改类型(要素735),设计者或软件程序可以用DFM更改的更新参数来改变位置和布线信息(要素740)。例如,当限制互连线路的宽度或长度的金属层元件已经除去时,则这种更新是必需的。在除去问题根源的金属层元件的情况下,可以按更大宽度和更短长度对互连进行重新布线。
一旦布置和布线信息已经更新(要素740),设计者就可以再次校验集成电路定时性能,以及关于其他电路限制来评估电路(要素745)。例如,当任何逻辑电路元件作了改变,或如果任何DFM更改具有会影响电路定时的性质,则校验定时性能是必需的。其他电路限制的例子可以是关键几何或最大互连长度。
在校验定时性能并且满足任何其他设计限制之后(要素745),DFM软件算法可以进一步实现其他DFM改进(要素750)。DFM软件算法可以选择在敏感位置用虚设填充来补充金属化层,或增加将会传送高电流负载的金属互连导线的宽度。
以上提到的概念是在单个集成电路设计上结合多个DFM改进的概念。设计者可以在设计过程中在单一步骤中结合多个DFM变化,或者设计者可以按更有条理、仔细和迭代的方式来选择地实现它们。不管其中在设计中是通过单遍还是迭代地实现DFM改进的方式,DFM改进可以发生在设计过程中的不同时间。为了只说明其中在设计过程中可以实现DFM改进的方式中的一些方式,现在转到图7B和图7C。
图7B描述一个可选实施例的流程图701,以通过使用可编程单元或幻像单元形成具有改进DFM特性的集成电路。与流程图700类似,流程图701中的实施例说明使用幻像单元来在集成电路中实现DFM增强的方法可以发生在最终顶级布线之后(要素720至745)。
流程图701以安排一个或多个幻像单元开始(要素703)。任选地,幻像单元的安排也可以全局布线,但是不在顶级布线。换句话说,可以选择较大集成电路单元结构之间的布线互连的一般位置或一般路径,而实际上不作顶级分配。
在安排幻像单元之后,设计工程师或DFM软件程序然后可以确定单元的就位或在位属性(要素704)。在设计过程中的该早期阶段对于检查有利的属性的例子,可以是幻像单元取向、幻像单元位置和一般幻像单元拥塞。可选地,DFM软件或设计者也可以针对可能另外经受可制造性问题的其中在脆弱位置可以布置虚设填充的实例来评估设计。
在确定幻像单元的初始就位属性时,DFM软件或设计者然后可以指定将要实行哪些初始DFM更改(要素706)。在具体布线之前指定DFM更改的潜在优点是就位属性将不基于幻像单元的目标在哪里与顶级布线接触。
在确定将要实行哪些初始DFM改进之后,DFM算法或硬件然后可以通过更改覆盖模板来更新每个幻像单元实例(要素708)。一旦DFM算法或硬件在各个幻像单元实例上结合全部的指定初始DFM改进,则可以针对定时性能而分析初步设计(要素710)。只要定时可接受,DFM算法然后可以进行具体布线,产生连线表,并且分析具体布线DFM改进机会之后的幻像单元(要素720至725)。设计流程然后可以依照如图7A所概括的相同进程(要素730至745)。
图7C示出了本发明的另一个实施例的流程图,其中以迭代方式对集成电路实行多个DFM改进。换句话说,在实现一组DFM改进之后,然后可以实现第二组改进。这个迭代过程可以按照DFM改进的连续循环,直到实现了全部希望的更改。
与图7A所描述的先前实施例类似,设计过程可以通过布置和布线若干可编程单元并且保证定时适当而开始(要素705至715)。一个新DFM软件算法然后可以创建包含可编程单元布置和布线信息的具体连线表(要素720)。使用具体连线表,硬件或计算机程序然后可以针对DFM改进机会而分析可编程单元的就位安排(要素725)。基于安排,硬件或计算机程序然后可以选择一组DFM改进(要素730),并且相应地更新可应用或受影响的可编程单元参数(要素735)。
在分析和更改可编程单元时,单组DFM改进可以包括单一更改,例如除去不必要布线目标元件。可选地,首先经过的这组改进可以包括两个或多个DFM改进,例如在除去不必要布线元件之后扩展互连导线。选择将要实行哪些更改的过程可以是自动的,如在自动DFM例程的情况那样,或者它可以是手动的,由设计者从计算机程序菜单中选择特定DFM更改。
在完成一组DFM更改之后,算法可以结合另一组不同的DFM更改(要素765)。在这样的情况下,算法然后可以针对其中可以实现不同组DFM更改的实例,重新分析可编程单元的就位安排(要素725和730),并且相应地更新受影响的可编程单元(要素735)。实现一组DFM更改之后接着第二组DFM更改的这个循环过程可以继续直到实现全部类型的DFM改进为止。
实现DFM更改的循环过程可以以优先级排序,这在所希望的更改相互排斥时是必需的。例如,在对集成电路设计进行布置、布线和定时之后,DFM分析例程可以逐步经过连线表,并且确定初步集成电路设计具有针对特别类型的DFM更改(例如消除未使用金属层1输入接触)的众多机会。DFM更改算法然后可以改变受影响的可编程单元参数,或实现互补(complimentary)DFM更改,例如对顶级金属添加冗余通孔。另一个DFM分析例程然后可以分析不同类型的DFM增强,例如在输入接触周围添加附加金属层1边界。另一个DFM增强算法然后可以改变受影响的可编程单元参数。如前所述,这个循环过程可以继续直到实现全部希望的DFM更改为止。
值得指出的是在布线和实现其他DFM更改之后,有可能仍可以实现众多DFM机会。例如,在一遍的可编程单元改变期间消除和减少阻碍,可以通过将导线移到先前占居的位置中,而为使导线变直、创建较短布线或减小全局耦合做好准备。这些附加DFM动作可以包括DFM改进过程的一个阶段(要素770)。一旦这些附加DFM动作完成,计算机程序然后可以更新布置和布线信息(要素775),并且校验定时和其他集成电路性能限制得到满足(要素780)。
本发明的另一个实施例作为一种用于在***中使用的程序产品而实现,用于例如按照如图7A所示的流程图700,实现制造集成电路时的DFM改进。程序产品的程序限定实施例的功能(包括这里所述的方法),并且能包含在各种数据和/或信号承载介质上。说明性数据和/或信号承载介质包括但不限于:(i)永久存储在不可写存储介质(例如,计算机之内的只读存储装置,例如可由CD-ROM驱动器读取的CD-ROM盘)上的信息;(ii)存储在可写存储介质(例如,磁盘驱动器之内的软盘或硬盘驱动器)上的可改变信息;和(iii)通过通信介质(例如通过计算机或电话网络,包括无线通信)传送到计算机的信息。后者实施例具体地包括从因特网和其他网络下载的信息。当传送针对本发明的功能的计算机可读指令时,这样的数据和/或信号承载介质代表本发明的实施例。
一般地,执行为实现本发明的实施例的例程可以是操作***或特定应用的部分、组件、程序、模块、对象或指令序列。本发明的计算机程序典型地包括将由计算机翻译成机器可读格式的大量指令,并且因此包括可执行指令。而且,程序包括变量和数据结构,它们或本地驻留在程序中,或在存储器或存储装置上找到。另外,下文描述的各种程序可以基于它们在本发明的特定实施例中所为之实现的应用来识别。然而,应该理解,任何随后特定程序术语只是为了方便而使用,并且因而本发明不应该限于由这样的术语所识别和/或意味的任何特定应用而单独使用。
集成电路设计领域的技术人员将会容易理解,使用可编程单元或类似方法来实行DFM改进的灵活性和机会给予了集成电路制造的领域。这些例子只是几种可能情况,其中使用布置和布线后DFM算法,或完成基本上相同功能的机器和介质,极大地增强集成电路可制造性和可靠性设计。
对于本领域技术人员来说本公开的好处显而易见,本发明预期了用于改进集成电路的半导体衬底中形成的单元和单元之内的结构的可制造性的方法、***和介质。应该理解,详细说明和附图所表示和描述的本发明的形式只是作为例子。本发明旨在将以下权利要求书进行广泛解释,以包含公开的范例实施例的全部变体。
虽然已经就某些实施例详细描述了本发明及其优点中的一些,但是应该理解,在不脱离如由所附权利要求书所限定的本发明的精神和范围的情况下,这里能实行各种变化、替代和改变。此外,实施例可以达到多个目标,但不是落入所附权利要求书的范围之内的每个实施例都将达到每个目标。而且,没有企图将本申请的范围限定于本说明书中所述的过程、机器、制造、物质成分、装置、方法和步骤的特别实施例。如本领域普通技术人员从本发明的公开中将会容易理解的,目前现有或以后开发的如这里所述对应实施例那样执行基本上相同功能或达到基本上相同结果的过程、机器、制造、物质成分、装置、方法或步骤,可以按照本发明得到利用。因此,所附权利要求书旨在将这样的过程、机器、制造、物质成分、装置、方法或步骤包括在它们的范围之内。

Claims (15)

1.一种使用多个未更改单元来改进在半导体衬底上的集成电路的可制造性的方法,所述方法包括:
安排所述多个未更改单元;
基于所述集成电路的操作,限定所述多个未更改单元之间的互连导电路径的布线;
针对制造改进机会,评估所述多个未更改单元的所述安排和所述多个未更改单元之间的所述互连导电路径的所述布线;和
基于所述制造改进机会,更改所述多个未更改单元中的至少一个,以创建多个更改单元,其中所述多个更改单元将用于创建所述半导体衬底上的所述集成电路。
2.根据权利要求1的方法,还包括产生连线表,以提供所述多个未更改单元的连通性信息。
3.根据权利要求1的方法,还包括评估所述多个未更改单元的逻辑定时。
4.根据权利要求1的方法,还包括针对不同的制造改进机会,重新评估所述多个未更改单元的所述安排和所述多个未更改单元之间的所述互连导电路径的所述布线。
5.根据权利要求4的方法,其中在评估所述多个未更改单元的逻辑定时之后,重新评估所述多个未更改单元的所述安排和所述多个未更改单元之间的所述互连导电路径的所述布线。
6.根据权利要求1的方法,其中安排所述多个未更改单元包括安排包含基单元和可更改单元的分级单元,所述基单元包括不可配置元件,所述可更改单元包括可配置元件。
7.根据权利要求1的方法,其中安排所述多个未更改单元包括安排包括基单元和可更改单元的分级单元,所述基单元包括可配置和不可配置元件,所述可更改单元包括面向制造设计的可编程覆盖模板。
8.根据权利要求1的方法,其中针对制造改进机会而评估所述多个未更改单元的所述安排和所述多个未更改单元之间的所述互连导电路径的所述布线包括针对减小关键面积的机会而评估所述安排和所述布线。
9.根据权利要求1的方法,其中更改所述多个未更改单元中的至少一个包括使用自动布线工具从具有多个输入和输出连接的所述多个未更改单元中除去未使用的输入和输出连接。
10.根据权利要求1的方法,其中更改所述多个未更改单元中的至少一个包括对所述多个未更改单元中的至少一个的内部的一个或多个导电路径重新布线,以增加所述一个或多个导电路径与邻近导电路径之间的间隔。
11.根据权利要求1的方法,其中更改所述多个未更改单元中的至少一个包括增加一个或多个金属层上的金属表面积,以提供与所述多个未更改单元中的一个或多个之间的改进的通孔接触。
12.一种用于使用多个未更改单元来改进在半导体衬底上的集成电路的可制造性的***,所述***包括:
安排模块,用于安排所述多个未更改单元;
布线器,用于基于所述集成电路的操作,限定所述多个未更改单元之间的互连导电路径的布线;
评估模块,用于针对制造改进机会,评估所述多个未更改单元的所述安排和所述多个未更改单元之间的所述互连导电路径的所述布线;和
更改模块,用于基于所述制造改进机会,更改所述多个未更改单元中的至少一个,以创建多个更改单元,其中所述多个更改单元将用于创建所述半导体衬底上的所述集成电路。
13.根据权利要求12的***,其中所述更改模块适于使用自动布线工具从具有多个输入和输出连接的所述多个未更改单元中的至少一个除去未使用的输入和输出连接。
14.根据权利要求12的***,其中所述更改模块适于对所述多个未更改单元中的至少一个的内部的一个或多个导电路径重新布线,以增加所述一个或多个导电路径与邻近导电路径之间的间隔。
15.根据权利要求12的***,其中所述更改模块适于增加一个或多个金属层上的金属表面积,以提供与所述多个更改单元中的一个或多个之间的改进的通孔接触。
CNA2006101371165A 2005-11-02 2006-10-20 用于改进半导体器件的可制造性的方法和*** Pending CN1959685A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/265,641 US7343570B2 (en) 2005-11-02 2005-11-02 Methods, systems, and media to improve manufacturability of semiconductor devices
US11/265,641 2005-11-02

Publications (1)

Publication Number Publication Date
CN1959685A true CN1959685A (zh) 2007-05-09

Family

ID=37998105

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101371165A Pending CN1959685A (zh) 2005-11-02 2006-10-20 用于改进半导体器件的可制造性的方法和***

Country Status (3)

Country Link
US (3) US7343570B2 (zh)
JP (1) JP4833023B2 (zh)
CN (1) CN1959685A (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070277139A1 (en) * 2004-10-13 2007-11-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor Integrated Circuit and Designing Method of the Same, and Electronic Apparatus Using the Same
US7490308B2 (en) * 2006-03-31 2009-02-10 International Business Machines Corporation Method for implementing overlay-based modification of VLSI design layout
US7587694B1 (en) * 2006-07-21 2009-09-08 Ciranova, Inc. System and method for utilizing meta-cells
US7698662B1 (en) 2006-07-21 2010-04-13 Ciranova, Inc. System and method for proxied evaluation of PCells
US20080077889A1 (en) * 2006-09-21 2008-03-27 International Business Machines Corporation Parameterized semiconductor chip cells and optimization of the same
JP2008171977A (ja) * 2007-01-11 2008-07-24 Matsushita Electric Ind Co Ltd 半導体集積回路のレイアウト構造
JP5029070B2 (ja) * 2007-03-02 2012-09-19 日本電気株式会社 集積回路のレイアウト設計支援装置、方法、及びプログラム
US7793238B1 (en) * 2008-03-24 2010-09-07 Xilinx, Inc. Method and apparatus for improving a circuit layout using a hierarchical layout description
JP5230251B2 (ja) 2008-04-25 2013-07-10 パナソニック株式会社 標準セルのレイアウト構造、標準セルライブラリ、及び半導体集積回路のレイアウト構造
US8051400B2 (en) * 2008-10-21 2011-11-01 Arm Limited Modifying integrated circuit layout
US8347261B2 (en) * 2009-09-10 2013-01-01 Cadence Design Systems, Inc. Method and system for implementing graphically editable parameterized cells
US9312260B2 (en) 2010-05-26 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and manufacturing methods thereof
US8352899B1 (en) * 2010-08-20 2013-01-08 Altera Corporation Method to modify an integrated circuit (IC) design
US8347260B2 (en) 2010-09-13 2013-01-01 International Business Machines Corporation Method of designing an integrated circuit based on a combination of manufacturability, test coverage and, optionally, diagnostic coverage
US8341588B2 (en) 2010-10-04 2012-12-25 International Business Machines Corporation Semiconductor layer forming method and structure
US8473888B2 (en) * 2011-03-14 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of designing integrated circuits
US9093164B2 (en) 2011-11-17 2015-07-28 International Business Machines Corporation Redundant via structure for metal fuse applications
US8739078B2 (en) 2012-01-18 2014-05-27 International Business Machines Corporation Near-neighbor trimming of dummy fill shapes with built-in optical proximity corrections for semiconductor applications
US20140282295A1 (en) * 2013-03-14 2014-09-18 United Microelectronics Corp. Method for Forming Photo-masks and OPC Method
US9136168B2 (en) 2013-06-28 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive line patterning
US9009644B1 (en) * 2013-12-20 2015-04-14 Freescale Semiconductor, Inc. Automatic generation of via definitions based on manufacturability
US9378320B2 (en) * 2014-06-23 2016-06-28 Synopsys, Inc. Array with intercell conductors including nanowires or 2D material strips
US10037397B2 (en) 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9361418B2 (en) * 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
JP2016086007A (ja) * 2014-10-23 2016-05-19 パナソニックIpマネジメント株式会社 部品実装システムにおける基板の生産管理方法
US9928333B2 (en) 2015-07-30 2018-03-27 Samsung Electronics Co., Ltd. Methods of designing a layout of a semiconductor device including field effect transistor and methods of manufacturing a semicondutor device using the same
KR102415952B1 (ko) 2015-07-30 2022-07-05 삼성전자주식회사 반도체 소자의 레이아웃 설계 방법, 및 그를 이용한 반도체 소자의 제조 방법
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US11062073B2 (en) * 2016-12-23 2021-07-13 Google Llc Integrated circuit design system and method
KR102379450B1 (ko) 2017-06-01 2022-03-30 삼성전자주식회사 반도체 소자
US10332870B2 (en) 2017-06-01 2019-06-25 Samsung Electronics Co, Ltd. Semiconductor device including a field effect transistor
DE102017127276A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Standardzellen und abwandlungen davon innerhalb einer standardzellenbibliothek
US11314914B2 (en) * 2018-11-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method and non-transitory computer readable medium of operating an electronic design automation platform for an optimal intgrated circuit design
CN114730353A (zh) * 2019-12-09 2022-07-08 美商新思科技有限公司 使用具有金属线的单元进行电路设计

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05206270A (ja) * 1992-01-27 1993-08-13 Fujitsu Ltd 半導体装置の製造方法
US6493658B1 (en) 1994-04-19 2002-12-10 Lsi Logic Corporation Optimization processing for integrated circuit physical design automation system using optimally switched fitness improvement algorithms
US5557533A (en) * 1994-04-19 1996-09-17 Lsi Logic Corporation Cell placement alteration apparatus for integrated circuit chip physical design automation system
US5856927A (en) 1995-05-01 1999-01-05 Vlsi Technology, Inc. Method for automatically routing circuits of very large scale integration (VLSI)
US5850564A (en) 1995-05-03 1998-12-15 Btr, Inc, Scalable multiple level tab oriented interconnect architecture
US6002857A (en) 1996-11-14 1999-12-14 Avant! Corporation Symbolic constraint-based system for preroute reconstruction following floorplan incrementing
JP3420694B2 (ja) * 1996-12-27 2003-06-30 株式会社東芝 スタンダードセル方式の集積回路
JP2910734B2 (ja) * 1997-06-06 1999-06-23 日本電気株式会社 レイアウト方法
JPH1167914A (ja) * 1997-08-08 1999-03-09 Fujitsu Ltd レイアウトデータ作成方法、レイアウトデータ作成装置、及び、記憶媒体
US6170080B1 (en) 1997-08-29 2001-01-02 Vlsi Technology, Inc. Method and system for floorplanning a circuit design at a high level of abstraction
CN1276092A (zh) 1997-10-07 2000-12-06 三菱电机株式会社 半导体装置
US6308307B1 (en) 1998-01-29 2001-10-23 Texas Instruments Incorporated Method for power routing and distribution in an integrated circuit with multiple interconnect layers
US6093214A (en) 1998-02-26 2000-07-25 Lsi Logic Corporation Standard cell integrated circuit layout definition having functionally uncommitted base cells
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
JP2001298091A (ja) 2000-04-17 2001-10-26 Mitsubishi Electric Corp 自動配置配線手法および半導体集積回路
US6425115B1 (en) * 2000-05-09 2002-07-23 Ess Technology, Inc. Area efficient delay circuits
DE10025583A1 (de) * 2000-05-24 2001-12-06 Infineon Technologies Ag Verfahren zur Optimierung integrierter Schaltungen, Vorrichtung zum Entwurf von Halbleitern und Programmobjekt zum Entwerfen integrierter Schaltungen
JP2002203905A (ja) 2000-12-28 2002-07-19 Mitsubishi Electric Corp レイアウト設計装置、レイアウト設計方法および半導体装置
US20020124233A1 (en) 2001-01-08 2002-09-05 Andreev Alexander E. Process for fast cell placement in integrated circuit design
FR2823096B1 (fr) * 2001-04-06 2004-03-19 Materiel Orthopedique En Abreg Plaque pour dispositif d'osteosynthese des vertebres l5 et s1, dispositif d'osteosynthese incluant une telle plaque, et instrument pour la pose d'une telle plaque
US20030208738A1 (en) 2002-04-24 2003-11-06 Yu-Ming Hsu Design method for full chip element on memory
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
CN100403518C (zh) 2002-08-06 2008-07-16 松下电器产业株式会社 半导体装置及其制造方法、生成该装置图案的装置和方法
JP2004186257A (ja) * 2002-11-29 2004-07-02 Matsushita Electric Ind Co Ltd 半導体集積回路および遅延計算方法
US7062740B2 (en) 2003-05-22 2006-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for reducing design cycle time for designing input/output cells
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US7626257B2 (en) * 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
JP5206270B2 (ja) 2007-11-06 2013-06-12 日立化成株式会社 積層体を切断する方法及びそれを用いたストリップの製造方法

Also Published As

Publication number Publication date
US7908571B2 (en) 2011-03-15
JP2007128512A (ja) 2007-05-24
US20080115093A1 (en) 2008-05-15
US20080127024A1 (en) 2008-05-29
JP4833023B2 (ja) 2011-12-07
US7343570B2 (en) 2008-03-11
US20070101306A1 (en) 2007-05-03
US8516428B2 (en) 2013-08-20

Similar Documents

Publication Publication Date Title
CN1959685A (zh) 用于改进半导体器件的可制造性的方法和***
US10127344B2 (en) Automation for monolithic 3D devices
Das et al. Design tools for 3-D integrated circuits
US11030371B2 (en) Automation for monolithic 3D devices
US7600208B1 (en) Automatic placement of decoupling capacitors
US11106853B1 (en) Automation for monolithic 3D devices
US11205034B2 (en) Automation for monolithic 3D devices
US11270055B1 (en) Automation for monolithic 3D devices
US11341309B1 (en) Automation for monolithic 3D devices
US11487928B2 (en) Automation for monolithic 3D devices
CN1505133A (zh) 用于生成选择连线表的方法、装置和计算机程序产品
Chen et al. FPGA placement and routing
US11574109B1 (en) Automation methods for 3D integrated circuits and devices
US11514221B2 (en) Automation for monolithic 3D devices
US11615228B1 (en) Automation methods for 3D integrated circuits and devices
US7032190B2 (en) Integrated circuits, and design and manufacture thereof
US7107200B1 (en) Method and apparatus for predicting clock skew for incomplete integrated circuit design
US20190258767A1 (en) Method of selecting routing resources in a multi-chip integrated circuit device
Pangracious et al. Three-Dimensional Design Methodologies for Tree-based FPGA Architecture
Huang et al. Layer-aware design partitioning for vertical interconnect minimization
US11720736B2 (en) Automation methods for 3D integrated circuits and devices
Minz et al. Block-level 3-D global routing with an application to 3-D packaging
US6978433B1 (en) Method and apparatus for placement of vias
EP1538540A2 (en) Configurable circuit with cells having predefined functionality and configurable subcells
US20230394211A1 (en) Timing-aware and simultaneous optimization of latch clustering and placement in an integrated circuit

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20070509