CN1930670A - 沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法 - Google Patents

沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法 Download PDF

Info

Publication number
CN1930670A
CN1930670A CNA2005800077727A CN200580007772A CN1930670A CN 1930670 A CN1930670 A CN 1930670A CN A2005800077727 A CNA2005800077727 A CN A2005800077727A CN 200580007772 A CN200580007772 A CN 200580007772A CN 1930670 A CN1930670 A CN 1930670A
Authority
CN
China
Prior art keywords
layer
amorphous carbon
conductor material
deposition
antireflecting coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800077727A
Other languages
English (en)
Inventor
玉祥·梅·王
戴维·R·比瑞士
克里斯多佛·丹尼斯·本彻
海尔多·L·布太霍
苏哈·S·R·瑞斯
迈克尔·楚·卡万
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1930670A publication Critical patent/CN1930670A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

本发明提供一种刻蚀衬底的方法,该方法包括用沉积在其上的无定型碳材料刻蚀导体材料。一方面,本发明提供一种用于处理衬底的方法,该方法包括在衬底表面上沉积导体材料层、在导体材料层上沉积无定型碳层、刻蚀无定型碳层以形成图案化的无定型碳层、以及对应于图案化的无定型碳层在所述导体材料层中刻蚀特征定义。无定型碳层可以充当硬掩模、刻蚀阻挡层或抗反射涂层。

Description

沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法
技术领域
本发明的实施例涉及集成电路的制造,并涉及用于在衬底上沉积材料的工艺和由该材料形成的结构。
背景技术
在现代半导体器件制造中的主要步骤之一是通过气体的化学反应在衬底上形成金属和电介质层。这样的沉积工艺被称为化学气相沉积或CVD。常规的热CVD工艺将反应气体供应到衬底表面,在衬底表面进行热引发的化学反应以产生所期望的层。
自从在几十年前第一次引入半导体器件以来,这样的半导体器件的几何尺寸已经极大地减小了。从那以后,集成电路一般遵循两年/尺寸减半规则(通常称为摩尔定律),这意味着芯片上的器件的数量每两年翻一番。现在的制造设备常规上制造具有0.35μm甚至0.18μm特征尺寸的器件,并且下一代的设备将制造具有甚至更小特征尺寸的器件。
半导体器件的几何尺寸的持续减小也产生了对于用于集成电路制造的工艺工序的需要。例如,在利用常规的光刻技术的工艺工序中,能量敏感的抗蚀剂层被形成在衬底上的材料层叠层上方。图案的图像被引入到能量敏感抗蚀剂层。此后,利用能量敏感抗蚀剂层作为掩模,被引入到能量敏感抗蚀剂层中的图案被转移到形成在衬底上的材料叠层中的一层或者多层中。可以利用化学刻蚀剂将被引入到能量敏感抗蚀剂中的图案转移到材料叠层中的一层或者多层中。化学刻蚀剂被设计成对于叠层中的材料层比对于能量敏感抗蚀剂具有更大的刻蚀选择性。就是说,化学刻蚀剂以比刻蚀能量敏感抗蚀剂快得多的速率刻蚀材料叠层中的一层或者多层。对于叠层中的一层或者多层材料层的更快的刻蚀速率通常防止了能量敏感抗蚀剂材料在完成图案转移之前被消耗掉。
随着图案尺寸的减小,能量敏感抗蚀剂的厚度必须相应地减小,以控制图案的分辨率。在使用化学刻蚀剂的图案转移步骤中,这样的更薄的抗蚀剂层(小于约6000埃)对于掩蔽下面的材料层可能是不足的。被称为硬掩模的中间氧化物层(例如,二氧化硅、氮化硅)常常被用于能量敏感抗蚀剂层和下方的材料层之间,以便于将图案转移到下方的材料层中。但是,在某些用于形成半导体结构的应用中,难以实现硬掩模材料的去除,并且任何残留的硬掩模材料可能不利地影响半导体处理。此外,常规的硬掩模材料不能在被刻蚀材料和硬掩模之间提供足够的刻蚀选择性来保持所形成的特征的期望尺寸。
当具有深紫外(DUV)成像波长(例如,小于约250纳米(nm))的光刻成像工具被用于产生抗蚀剂图案时,还进一步带来了抗蚀剂图案化的问题。DUV成像波长提高了抗蚀剂图案分辨率,因为在此更短的波长下衍射效应被减小了。但是,许多下方的材料(诸如多晶硅、金属和金属硅化物)在这样的DUV波长下增大的反射性质可能劣化所得的抗蚀剂图案。
一种提出来使从下方的材料层的反射最小化的技术使用抗反射涂层(ARC)。ARC在抗蚀剂图案化之前形成在反射材料层上方。ARC抑制了在抗蚀剂图案化过程中离开下方的材料层的反射,在能量敏感抗蚀剂层中提供了精确的图案复制性。
许多ARC材料已经被建议来与能量敏感抗蚀剂组合使用。但是,像硬掩模材料一样,ARC材料难以去除,并且可能留下残余物,这可能干扰后续的集成电路制造步骤。
因此,在本领域中存在对于可用于集成电路制造的这样的材料层的需要,该材料层具有良好的刻蚀选择性和/或抗反射性能,可以被进一步去除而具有很少或者最少的残余物。
发明内容
本发明的多个方面一般地提供一种在最小或者减小的缺陷形成的情况下利用沉积在其上的无定型碳材料刻蚀导体材料的方法。在一个方面中,本发明提供一种处理衬底的方法,包括:在所述衬底的表面上形成导体材料层;在所述导体材料层上沉积无定型碳层;刻蚀所述无定型碳层以形成图案化的无定型碳层;以及对应于所述图案化的无定型碳层在所述导体材料层中刻蚀特征定义。
在本发明的另一个方面中,提供了一种处理衬底的方法,包括:在所述衬底的表面上形成导体材料层;在所述导体材料层上沉积无定型碳硬掩模;在所述无定型碳硬掩模上沉积抗反射涂层;在所述抗反射涂层上沉积并图案化抗蚀剂材料;刻蚀所述抗反射涂层和无定型碳硬掩模,直到所述导体材料层;以及在所述导体材料层中刻蚀特征定义。
在本发明的另一个方面中,提供了一种处理衬底的方法,包括:在所述衬底的表面上形成含铝层;在所述含铝层上沉积无定型碳硬掩模;在所述无定型碳硬掩模上沉积抗反射涂层,其中所述抗反射涂层是选自由氮化硅、碳化硅、掺碳氧化硅、无定型碳及其组合组成的组的材料;在所述抗反射涂层上沉积并图案化抗蚀剂材料;刻蚀所述抗反射涂层和无定型碳硬掩模,直到所述含铝层;以及去除所述抗蚀剂材料;在约1∶3~约1∶10的无定型碳与所述含铝层的刻蚀选择性下,在所述含铝层中刻蚀特征定义;以及通过将所述一层或者多层无定型碳层暴露到含氢气体或含氧气体的等离子体,去除所述一层或者多层无定型碳层。
附图说明
作为可以详细理解本发明的上述特征的方式,可以参考实施例对在上面简要说明的本发明进行更具体描述,其中的一些实施例图示于附图中。
但是,应该注意,附图仅仅图示了本发明的典型实施例,因此不应认为是限制其范围,因为本发明可以允许其他等效实施例。
图1A-1E是示出了本发明的双镶嵌沉积工序的一个实施例的剖视图。
为了进一步理解本发明的各个方面,应该参考随后的详细说明。
具体实施方式
本发明的多个方面一般地提供用于在最小或者减小的缺陷形成的情况下沉积、处理和去除布置在导体材料上的无定型碳材料的方法。除非另有进一步的定义,在本文中所使用的术语和短语应该具有本领域技术人员所理解的普通的和惯用的含义。
在使用300mm的ProducerTM双沉积台处理室的情况下描述下面的沉积工艺,并且相应地应该解释的是,例如,流率是总流率并且应该一分为二以描述在室中的各个沉积台处的工艺流率。此外,对于单沉积室(例如可从加利福尼亚的Santa Clara的应用材料公司商购的DxZ处理室),可以以合适的工艺转换来进行下面的工艺,即将流率从总的双沉积台ProducerTM处理室流率调节为单沉积台流率。
无定型碳材料被沉积在导体材料上。然后,无定型碳材料可以被图案化并且刻蚀,以在其中形成特征定义。然后刻蚀下方的导体材料,从衬底表面去除无定型碳材料。导体材料可以包括例如铝或者铝合金。
然后,通过包括将一种或者多种烃化合物的气体混合物引入到处理室内的工艺,在导体材料上沉积无定型碳层。烃化合物具有通式CxHy,其中,x的范围为2~4,y的范围为2~10。例如,丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)或者乙炔(C2H2)以及它们的组合可以被用作烃化合物。
或者,可以使用烃化合物的部分或者完全氟化的衍生物。氟化烃化合物具有通式CxHyFz,其中,x的范围为2~4,y的范围为0~10,z的范围为0~10,同时y+z大于或者等于2并且小于或者等于10。其实例包括全氟化的烃,诸如C3F8或C4F8,其可以用于沉积可以被称为无定型氟碳层的氟化的无定型碳层。烃化合物和烃化合物的氟化衍生物的组合可以被用于沉积无定型碳层或者无定型氟碳层。或者,烃化合物和其氟化的衍生物(包括具有5个或者更多个碳的烷烃、烯烃、炔烃、环状化合物和芳族化合物,诸如戊烷、苯和甲苯)可以被用于沉积无定型碳。
惰性或者反应性气体可以被添加到气体混合物中,以改进无定型碳材料的性质。气体可以是反应性气体,诸如氢气(H2)、氨气(NH3)、氢气(H2)和氮气(N2)的混合物、或者它们的组合。H2和/或NH3的添加可以用于控制无定型碳层的氢比率,从而控制诸如反射率之类的层性质。诸如氮气(N2)和稀有气体(包括氩(Ar)和氦(He))之类的惰性气体可以被添加来用于控制无定型碳层的密度和沉积速率。反应性气体和惰性气体的混合物可以被添加到处理气体,以沉积无定型碳层。
无定型碳层可以由处理气体通过下面的工艺来沉积:衬底温度保持在约100℃~约400℃,诸如约250℃~约400℃;室压强保持在约1托(Torr)~约20托;对于200mm衬底以约50sccm~约2000sccm的流率分别引入烃气体(CxHy)和任何惰性或者反应性气体;通过施加约0.03W/cm2~约20W/cm2或者对于200mm衬底施加约10瓦特(W)~约6000W,例如约0.3W/cm2~约3W/cm2或约100W~约1000W的RF功率产生等离子体;气体分配器距离衬底表面约200密耳~约600密耳。上述的工艺参数提供了范围约100埃/分~约5000埃/分的典型无定型碳层沉积速率。该工艺可以在诸如可从加利福尼亚Santa Clara的应用材料公司得到的ProducerTM处理室之类的沉积室中在200mm的衬底上实现。也可以使用其它的合适的沉积设备,诸如可从加利福尼亚Santa Clara的应用材料公司得到的DxZTM处理室。
或者,双频***可以被应用来沉积无定型碳材料。双频混合RF功率源提供范围为约10MHz~约30MHz,例如约13.56MHz的高频功率,以及范围为约100KHz~约500KHz,例如约350KHz的低频功率。混合频率RF功率施加的实例可以包括频率范围为10MHz~约30MHz并且功率范围为约200W~约800W的第一RF功率和频率范围为约100KHz~约500KHz并且功率范围为约1W~约200W的至少一第二RF功率。第二RF功率对总的混合频率功率的比率优选为小于约0.6~1.0。
高频RF功率和低频RF功率可以被耦合到气体分配器(喷淋头)或者衬底支撑,或者一个RF功率可以耦合到喷淋头,而另一个耦合到支撑底座。混合RF功率源的细节在共同转让的标题为“Use Of AsymmetricWaveform To Control Ion Bombardment During Substrate Processing”的美国专利6041734中有描述,该美国专利在2000年3月28日授权并且通过引用被包含在本文中。
无定型碳层包含碳和氢原子,其具有可调节的碳:氢比率,该碳:氢比率的范围为从约10%的氢到约60%的氢。控制无定型碳层的氢比率对于调节其光学性能、刻蚀选择性以及耐化学机械抛光性是理想的。具体地,随着氢含量减小,所沉积的层的光学性能,诸如折射率(n)和吸收系数(k)增大。类似地,随着氢比率减小,无定型碳层的耐刻蚀性增强。
无定型碳层的光吸收系数(k)可以在低于约250nm的波长(例如约193nm~约250nm)下在约0.1到约1.0之间变化,使该无定型碳层适于用作DUV波长以及可见波长下的抗反射涂层(ARC)。无定型碳层的吸收系数可以作为沉积温度的函数而变化。具体来说,随着温度增高,所沉积的层的吸收系数同样也增大。例如,当丙烯作为用于沉积无定型碳层的烃化合物时,通过将沉积温度从约150℃增高到约480℃,所沉积的无定型碳层的k值可以从约0.2增大到约0.7。
无定型碳层的吸收系数还可以作为在气体混合物中所使用的添加剂的函数变化。具体来说,在气体混合物中H2、NH3、N2或其组合物的存在可以将k值增大约10%到约100%。无定型碳层在标题为“Method ForDepositing An Amorphous Carbon Layer”的美国专利No.6573030中有描述,该美国专利在2003年6月3日授权并且通过引用被包含在本文中,只要其不与本申请的权利要求和说明书相冲突。
在替换实施例中,无定型碳层可以具有在层的厚度上变化的吸收系数(k)。就是说,无定型碳层中可以形成有吸收系数梯度。这样的梯度在层形成期间按气体混合物组成和温度变化的函数形成。
在两个材料层的任何界面上,因为其折射率(n)和吸收系数(k)的差异可以发生反射。当无定型碳ARC具有梯度时,其可以匹配两个材料层的折射率(n)和吸收系数(k),于是无定型碳ARC的反射最小并且进入无定型碳ARC的透射最大。于是,无定型碳ARC的折射率(n)和吸收系数(k)可以被逐渐调节,以吸收所有透射到其中的光。
无定型碳层可以以两层或者更多层具有不同光学性质的层来沉积。例如,无定型碳双层可以包括根据上述的工艺参数的第一无定型碳层,并且被主要设计来进行光吸收。因此,第一无定型碳层230具有在小于约250nm的波长下约1.5~约1.9的折射率和约0.5~约1.0的吸收系数(k)。例如为抗反射涂层层的第二无定型碳层可以根据上述的工艺参数形成在第一无定型碳层上,以具有约1.5~约1.9的折射率和约0.1~约0.5的吸收系数。第二无定型碳层被主要设计来通过产生消除在与上覆材料层(诸如,能量敏感抗蚀剂材料(例如抗蚀剂))的界面处产生的反射的反射来进行相移消除。第一和第二无定型碳层的折射率(n)和吸收系数(k)是可调节的,因为其可以作为在层形成期间的温度以及气体混合物的组分的函数而变化。
通过使无定型碳层受到含氢气体和/或含氧气体的等离子体的作用,可以实现从导体材料去除无定型碳材料。含氢气体和/或含氧气体的等离子体被认为在对被布置于其下方的导体材料的最小影响的情况下去除了无定型碳材料。
等离子体处理一般包括以约100sccm~约1000sccm、优选约500sccm~约1000sccm的流率向处理室提供含氢气体(包括氢气、氨气、水蒸气(H2O)、或其组合),并且在处理室中产生等离子体。可以使用约0.15W/cm2~5W/cm2的功率密度产生等离子体,该功率密度对于200mm衬底为约50W~约1500W的RF功率水平。该RF功率可以以诸如13MHz~14MHz的高频提供。该RF功率可以连续提供,或者以短的持续周期提供,其中,功率以所述水平接通小于约200Hz的周期,并且接通周期为总的工作周期的约10%~约30%。
可以通过将室压强保持在约1Torr~约10Torr(优选约3Torr~约8Torr)、在等离子体处理期间将衬底保持在约100℃~约300℃(优选约200℃~约300℃)下约15秒~约120秒(或者去除无定型碳材料所必要的时间)来执行等离子体处理,其中在等离子体处理过程中,气体分配器距离衬底表面约100密耳~约2000密耳、优选约200密耳~约1000密耳布置。但是,应该注意到,各个参数可以被修改以在各种室和对于不同的衬底尺寸(诸如200mm~300mm的衬底)执行等离子体工艺。或者,等离子体处理工艺参数可以与材料沉积工艺参数相同或者基本相同。
适用于执行在此所描述的无定型碳材料沉积和无定型碳材料的含氢气体等离子体去除的反应器可以是可从加利福尼亚Santa Clara的应用材料公司商购的ProducerTM处理室或者DxZTM化学气相沉积室。
导体特征形成
在图1A-1E中示出了利用在此所描述的无定型碳作为硬掩模和/或抗反射涂层(ARC)和无定型碳材料的去除工艺所形成的导体特征的实例,其中,图1A-1E是本发明的各个步骤所形成的结构100的剖视图。
如图1A所示,可选的阻挡层110被沉积在衬底105上,以消除衬底105和随后沉积的材料之间的层间扩散。衬底105可以包括电介质或者导体材料,并且虽然没有示出,衬底105可以包括形成在电介质材料中的金属特征。阻挡层110可以被沉积到约100埃~约1000埃的厚度。
阻挡层110可以包括任何常规的阻挡层材料,包括例如氮化硅、氧氮化硅、或者其组合。阻挡层也可包括低介电常数材料,诸如其介电常数为约5或者更小的碳化硅或者含氮碳化硅。低k材料的实例是可从加利福尼亚Santa Clara的应用材料公司商购的BLOkTM电介质材料。
导体材料层120被沉积在阻挡层110上。导体材料可以是金属,例如铝或者铝合金。导体材料层120可以包括其它导体材料,包括多晶硅、钨和诸如硅化钨的金属硅化物。该材料的名单是示例性的,并且不应被认为或解释为对本发明的范围的限制。
导体材料层120可以通过例如化学气相沉积(包括原子层沉积技术)、物理气相沉积(包括高密度物理气相沉积技术)、电化学沉积(包括电镀和无电镀沉积技术)、或者多种沉积技术的组合,沉积在阻挡层110上。导体材料层120还可以被沉积到约2000埃~约4000埃的厚度,并且其厚度可以根据待制造的结构的尺寸而变化。
然后,无定型碳层130被形成在导体材料层120上。典型地,无定型碳层具有约50埃~约1000埃的厚度。无定型碳层130是硬掩模,该硬掩模可以充当化学机械抛光技术的停止层,以允许材料的选择性去除同时防止下面的材料(诸如导体材料层120)在刻蚀过程中被损伤或者受到抛光方法的影响。
无定型碳层130还可以充当硬掩模或者刻蚀停止层,并且允许下方的导体材料的选择性去除。硬掩模提供了约1∶3或者更大的、优选大于约1∶3~约1∶10的无定型碳与导体材料的选择性或者去除速率比。无定型碳层130的减小的去除速率允许有效的导体材料刻蚀,而不会损耗定义正被刻蚀到导体材料中的特征定义的无定型碳层。还观察到无定型碳层的硬度增大了,这增大了对氧化物的选择性,允许在后续诸如铝的金属材料的刻蚀过程中的更好的角部完整性。
无定型碳层还可以充当抗反射涂层。具体地,随着氢含量减小,无定型碳层的光学性能,诸如折射率(n)和吸收系数(k)增大。类似地,随着氢含量减小,无定型碳层的耐刻蚀性增强。无定型碳层的光吸收系数,k,可以在低于约250nm的波长(例如约193nm~约250nm)下在约0.1到约1.0之间变化,使该无定型碳层适于用作DUV波长下的抗反射涂层(ARC)。典型地,无定型碳层130具有约200埃~约1100埃的厚度。此外,多层的无定型碳可以被用于抗反射涂层。例如,在此所述的无定型碳双层ARC层可以用作无定型碳层130。
取决于在制造工序中所使用的能量敏感抗蚀剂材料的刻蚀化学剂,可选的覆盖层(没有示出)被形成在无定型碳层130上。当在其中转移图案时,可选的覆盖层充当无定型碳层130的硬掩模。可选的覆盖层可以包括包含诸如氧化硅的氧化物、诸如氮化硅或者氮化钛的氮化物、氧氮化硅、碳化硅、无定型硅、未掺杂硅石玻璃(USG)、掺杂氧化硅、或者其它材料在内的材料。可选的覆盖层可以被沉积到约300埃~约1000埃的厚度,但是层的厚度可能根据工艺需要而变化。覆盖层被认为保护了无定型碳层免受抗蚀剂的影响,以及覆盖了任何的层缺陷,诸如在无定型碳材料中形成的针孔。
可选地,抗反射涂层140可以被沉积在无定型碳层130上。抗反射涂层可以包括选自由氧化物、氮化物、氧氮化硅、碳化硅、无定型硅及其组合组成的组的材料。当在其中转移图案时,抗反射涂层140可以充当无定型碳层130的硬掩模。无定型碳层和抗反射涂层的双层结构被认为允许随后使用薄得多的抗蚀剂,这于是将允许更小的临界尺寸分辨率。
或者,抗反射涂层140可以包括另一无定型碳层。如果抗反射涂层140是无定型碳层,则无定型碳双层可以包括根据上述的工艺参数的第一无定型碳层130,并且被主要设计来进行光吸收。因此,第一无定型碳层130具有在小于约250nm波长下约1.5~约1.9的折射率和约0.5~约1.0的吸收系数(k)。第一无定型碳层130的厚度可以根据处理的具体阶段而变化。典型地,第一无定型碳层130的厚度为约300埃~约1500埃。
第二无定型碳层,即抗反射涂层层140根据上述的工艺参数形成在第一无定型碳层上,具有约1.5~约1.9的折射率和约0.1~约0.5的吸收系数。第二无定型碳层140被主要设计来通过产生消除在与上覆材料层(诸如能量敏感抗蚀剂材料,例如抗蚀剂)的界面处产生的反射的反射来进行相移消除。第二无定型碳层140的厚度也可以根据处理的具体阶段而变化,例如为约300埃~约1500埃。第一和第二无定型碳层的折射率(n)和吸收系数(k)是可调节的,因为其可以作为在层形成期间的温度以及气体混合物的组分的函数而变化。
能量敏感抗蚀剂材料,诸如抗蚀剂150被沉积在无定型碳材料的表面上并被图案化。抗蚀剂层150可以被旋涂在衬底上,到约200埃~6000埃范围内的厚度。光致抗蚀剂材料对于具有小于约450nm波长的紫外(UV)辐射敏感。DUV抗蚀剂材料对于具有245nm或者193nm波长的UV辐射敏感。通过经由光刻光罩进行UV辐射曝光,图案的图像被引入到抗蚀剂材料层150。引入到抗蚀剂材料层150中的图案图像在合适的显影剂中显影,以定义图案,如图1A所示。
定义在抗蚀剂材料150中的图案被转移穿过无定型碳层130和任何中间层(诸如抗反射涂层140),如图1B所示。通过使用适当的化学刻蚀剂进行刻蚀,将图案转移穿过无定型碳层130和任何中间层。例如,臭氧、氧或者氨气等离子体可以被用于刻蚀无定型碳材料。包含可变的刻蚀气体组分的多个刻蚀步骤可以被用于刻蚀穿过无定型碳层130和任何中间层。可选地,在进行进一步处理之前,可以去除在刻蚀工艺之后的任何残留抗蚀剂材料。
然后,通过使用适当的化学刻蚀剂进行刻蚀,可以将形成在无定型碳层130中的图案转移到导体材料层120和任何中间层,以形成导体材料特征160,如图1D所示。任何已知的导体材料刻蚀剂可以被用于刻蚀导体材料120。
然后,将无定型碳层130暴露于含氢气体等离子体,以将无定型碳材料从衬底表面去除。含氢气体等离子体去除工艺的实例通过下面的工艺来执行:以约1000sccm的流率引入氢气;室压强保持在约5托;衬底温度保持在约250℃;通过提供对于200mm衬底约100W~约300W的RF功率水平产生等离子体;并且将等离子体维持约60秒,或者去除无定型碳材料所需的时间。在等离子体处理期间,气体分配器被布置为距离衬底表面约500密耳,如图1D所示。通过导体材料刻蚀剂或者通过无定型碳去除工艺,任何残留的中间材料(诸如ARC材料)被去除。本发明考虑了单独的对于ARC层的去除工艺可能对于在无定型碳去除之前去除这样的层残余物是必要的。
包括低k电介质材料在内的电介质材料可以被沉积和平面化,以将特征160彼此电隔离,如图1E所示。用低k电介质材料进行的空隙填充工艺的实例在2000年4月25日授权的美国专利No.6054379中有描述,该美国专利通过引用被包含在本文中,只要不与本申请的记载内容利权利要求相冲突。
实例
下面的实例阐明了与标准的层间叠层相比的本文所述的粘附工艺的各种实施例,以举例说明改进的层间粘附。利用化学气相沉积室并且在双处理台ProducerTM 200mm和300mm处理室中进行制样,所述双处理台ProducerTM 200mm和300mm处理室包括具有两件石英处理套件的固态双频RF匹配单元,这都由加利福尼亚Santa Clara的应用材料公司制造和销售。
无定型碳膜按如下方式进行沉积:利用单个频率和氦载气,通过以约1200sccm的流率引入丙烯(C3H6)和以约650sccm的流率引入氦,可选地将室保持在约400℃的衬底温度下,将室压强保持在约7Torr,将气体分配器布置在距离衬底表面约240密耳处,并且施加约13.56MHz下约900W的RF功率。观察到沉积工艺具有约3290埃/分钟的沉积速率、约1.64的n值和约0.343的光学k值。
无定型碳膜按如下方式进行沉积:利用单个频率和氩载气,通过以约1200sccm的流率引入丙烯(C3H6)和以约1200sccm的流率引入氩,可选地将室保持在约400℃的衬底温度下,将室压强保持在约7Torr,将气体分配器布置在距离衬底表面约240密耳处,并且施加约13.56MHz下约700W的RF功率。观察到沉积工艺具有约4900埃/分钟的沉积速率、约1.619的n值和约0.363的光学k值。
无定型碳膜按如下进行沉积:利用单个频率和氦载气,通过以约1000sccm的流率引入丙烯(C3H6)和以约650sccm的流率引入氦,可选地将室保持在约400℃的衬底温度下,将室压强保持在约7Torr,将气体分配器布置在距离衬底表面约240密耳处,并且施加约13.56MHz下约700W的RF功率。观察到沉积工艺具有约1874埃/分钟的沉积速率、约1.648的n值和约0.342的光学k值。
无定型碳膜按如下方式进行沉积:利用单个频率和氩载气,通过以约1000sccm的流率引入丙烯(C3H6)和以约1200sccm的流率引入氩,可选地将室保持在约400℃的衬底温度下,将室压强保持在约7Torr,将气体分配器布置在距离衬底表面约240密耳处;并且施加约13.56MHz下约700W的RF功率。观察到沉积工艺具有约3320埃/分钟的沉积速率、约1.631的n值和约0.348的光学k值。
无定型碳膜按如下方式进行沉积:利用双频和氩载气,通过以约10000sccm的流率引入丙烯(C3H6)和以约1200sccm的流率引入氩,可选地将室保持在约400℃的衬底温度下,将室压强保持在约7Torr,将气体分配器布置在距离衬底表面约240密耳处,并且施加约13.56MHz下约700W和350KHz下约100W的RF功率。观察到沉积工艺具有约4032埃/分钟的沉积速率、约1.618的n值和约0.365的光学k值。双频沉积被认为提供了改善的选择性。
通过如下方式沉积了高沉积速率无定型碳层:利用单个频率和氩以及氦载气,通过以约650sccm的流率引入丙烯(C3H6)以约1450sccm的流率引入氩,以约500sccm的流率引入氦,可选地将室保持在约400℃的衬底温度下,将室压强保持在约10Torr,将气体分配器布置在距离衬底表面约210密耳处,并且施加约13.56MHz下约715W的RF功率。观察到沉积工艺具有约4000埃/分钟的沉积速率。
虽然上面所述的涉及本发明的实施例,但是可以设计本发明的其它和更多的实施例,而不偏离本发明的基本范围,本发明的基本范围有所附权利要求确定。

Claims (22)

1.一种在处理室中处理衬底的方法,包括:
在所述衬底的表面上形成导体材料层;
在所述导体材料层上沉积无定型碳层;
刻蚀所述无定型碳层以形成图案化的无定型碳层;以及
对应于所述图案化的无定型碳层在所述导体材料层中刻蚀特征定义。
2.如权利要求1所述的方法,其中,所述导体材料选自铝或者铝合金。
3.如权利要求1所述的方法,其中,所述沉积无定型碳层的步骤包括:
将一种或多种具有通式CxHy的烃化合物引入所述处理室中,其中x的范围为2到4,y的范围为2到10;以及
产生所述一种或多种烃化合物的等离子体。
4.如权利要求3所述的方法,其中,所述一种或多种烃化合物选自由丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、乙炔(C2H2)以及它们的组合组成的组。
5.如权利要求3所述的方法,还包括将惰性气体与所述一种或多种烃化合物引入到所述处理室中。
6.如权利要求3所述的方法,其中,所述产生等离子体的步骤包括从双频RF源施加功率。
7.如权利要求1所述的方法,其中,无定型碳与所述导体材料的刻蚀选择性为约1∶3~约1∶10。
8.如权利要求1所述的方法,其中,所述无定型碳层包括抗反射涂层。
9.一种在室中处理衬底的方法,包括:
在所述衬底的表面上形成导体材料层;
在所述导体材料层上沉积无定型碳硬掩模;
在所述无定型碳硬掩模上沉积抗反射涂层;
在所述抗反射涂层上沉积并图案化抗蚀剂材料;
刻蚀所述抗反射涂层和无定型碳硬掩模,直到所述导体材料层;以及
在所述导体材料层中刻蚀特征定义。
10.如权利要求9所述的方法,其中,所述导体材料选自铝或者铝合金。
11.如权利要求9所述的方法,其中,所述沉积无定型碳硬掩模的步骤包括:
将一种或多种具有通式CxHy的烃化合物引入所述处理室中,其中x的范围为2到4,y的范围为2到10;以及
产生所述一种或多种烃化合物的等离子体。
12.如权利要求11所述的方法,其中,所述一种或多种烃化合物选自由丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、乙炔(C2H2)以及它们的组合组成的组。
13.如权利要求11所述的方法,还包括将惰性气体与所述一种或多种烃化合物引入到所述处理室中。
14.如权利要求11所述的方法,其中,所述产生等离子体的步骤包括从双频RF源施加功率。
15.如权利要求9所述的方法,其中,所述抗反射涂层是选自由氮化硅、碳化硅、掺碳氧化硅、无定型碳及其组合组成的组的材料。
16.如权利要求9所述的方法,还包括在沉积所述铝层之前沉积阻挡层。
17.如权利要求9所述的方法,还包括在所述铝层中刻蚀特征定义之前去除所述抗蚀剂材料。
18.如权利要求9所述的方法,其中,无定型碳与所述导体材料的刻蚀选择性为约1∶3~约1∶10。
19.一种在室中处理衬底的方法,包括:
在所述衬底的表面上形成含铝层;
在所述含铝层上沉积无定型碳硬掩模;
在所述无定型碳硬掩模上沉积抗反射涂层,其中所述抗反射涂层是选自由氮化硅、碳化硅、掺碳氧化硅、无定型碳及其组合组成的组的材料;
在所述抗反射涂层上沉积并图案化抗蚀剂材料;
刻蚀所述抗反射涂层和无定型碳硬掩模,直到所述含铝层;以及
去除所述抗蚀剂材料;
在约1∶3~约1∶10的无定型碳与所述含铝层的刻蚀选择性下,在所述含铝层中刻蚀特征定义;以及
通过将所述一层或者多层无定型碳层暴露到含氢气体或含氧气体的等离子体,去除所述一层或者多层无定型碳层。
20.如权利要求19所述的方法,其中,所述一种或多种烃化合物选自由丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、乙炔(C2H2)以及它们的组合组成的组。
21.如权利要求19所述的方法,还包括将惰性气体与所述一种或多种烃化合物引入到所述处理室中。
22.如权利要求19所述的方法,其中,所述产生等离子体的步骤包括从双频RF源施加功率。
CNA2005800077727A 2004-03-12 2005-03-09 沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法 Pending CN1930670A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/800,112 US20050199585A1 (en) 2004-03-12 2004-03-12 Method of depositing an amorphous carbon film for metal etch hardmask application
US10/800,112 2004-03-12

Publications (1)

Publication Number Publication Date
CN1930670A true CN1930670A (zh) 2007-03-14

Family

ID=34920648

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800077727A Pending CN1930670A (zh) 2004-03-12 2005-03-09 沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法

Country Status (5)

Country Link
US (1) US20050199585A1 (zh)
KR (1) KR20060127250A (zh)
CN (1) CN1930670A (zh)
TW (1) TW200531211A (zh)
WO (1) WO2005091349A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102354669A (zh) * 2011-10-25 2012-02-15 上海华力微电子有限公司 硅纳米线器件的制作方法
CN102832163A (zh) * 2011-06-15 2012-12-19 联华电子股份有限公司 形成开口的方法
CN106024596A (zh) * 2015-02-03 2016-10-12 朗姆研究公司 减少无定形碳硬掩模膜的碳-氢含量的***及方法
CN102832163B (zh) * 2011-06-15 2016-11-30 联华电子股份有限公司 形成开口的方法
CN106997900A (zh) * 2016-01-22 2017-08-01 中芯国际集成电路制造(上海)有限公司 半导体结构、其形成方法及测试方法
CN109689881A (zh) * 2016-09-16 2019-04-26 伊士曼化工公司 由物理气相沉积制备的生物传感器电极
CN110770575A (zh) * 2017-06-22 2020-02-07 伊士曼化工公司 用于电化学传感器的物理气相沉积电极
CN112233976A (zh) * 2020-12-17 2021-01-15 度亘激光技术(苏州)有限公司 一种基板刻蚀方法
WO2022011757A1 (zh) * 2020-07-13 2022-01-20 Tcl华星光电技术有限公司 显示面板制备方法及显示装置

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7824498B2 (en) * 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
US7153780B2 (en) * 2004-03-24 2006-12-26 Intel Corporation Method and apparatus for self-aligned MOS patterning
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
KR100838394B1 (ko) 2007-01-03 2008-06-13 주식회사 하이닉스반도체 하드마스크층을 이용한 반도체소자의 식각 방법
KR100876898B1 (ko) * 2007-08-31 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 하드마스크막 형성 방법
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
CN105092898B (zh) * 2014-05-04 2018-03-09 中芯国际集成电路制造(北京)有限公司 半导体检测结构及形成方法、检测方法
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
CN109312384B (zh) 2016-06-15 2022-12-30 伊士曼化工公司 物理气相沉积的生物传感器组件
WO2018052713A1 (en) 2016-09-16 2018-03-22 Eastman Chemical Company Biosensor electrodes prepared by physical vapor deposition
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US635583A (en) * 1897-07-03 1899-10-24 Frank E Pelton Head for barrels, casks, &c.
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JPH0258221A (ja) * 1988-08-23 1990-02-27 Semiconductor Energy Lab Co Ltd 炭素または炭素を主成分とするマスクを用いたエッチング方法
DE69005938T2 (de) * 1989-07-31 1994-05-19 Matsushita Electric Ind Co Ltd Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht.
JPH07243064A (ja) * 1994-01-03 1995-09-19 Xerox Corp 基板清掃方法
US5461003A (en) * 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
JP2956571B2 (ja) * 1996-03-07 1999-10-04 日本電気株式会社 半導体装置
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
KR100205318B1 (ko) * 1996-10-11 1999-07-01 구본준 자유전율의 절연막 제조방법
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
KR100532801B1 (ko) * 1997-01-21 2005-12-02 굿리치 코포레이션 용량성 결합을 감소시키기 위하여 에어 갭을 가진 반도체 디바이스를 제조하는 방법
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US5986344A (en) * 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
JP2000106396A (ja) * 1998-09-29 2000-04-11 Sharp Corp 半導体装置の製造方法
US6140224A (en) * 1999-04-19 2000-10-31 Worldiwide Semiconductor Manufacturing Corporation Method of forming a tungsten plug
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) * 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6153935A (en) * 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6440878B1 (en) * 2000-04-03 2002-08-27 Sharp Laboratories Of America, Inc. Method to enhance the adhesion of silicon nitride to low-k fluorinated amorphous carbon using a silicon carbide adhesion promoter layer
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
TW462122B (en) * 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) * 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US20040224241A1 (en) * 2003-02-03 2004-11-11 Samsung Electronics Co., Ltd. Thin film transistor array panel, manufacturing method thereof, and mask therefor
US20040166691A1 (en) * 2003-02-26 2004-08-26 Chun-Feng Nieh Method of etching a metal line
US20040180551A1 (en) * 2003-03-13 2004-09-16 Biles Peter John Carbon hard mask for aluminum interconnect fabrication
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US7243991B2 (en) * 2003-06-02 2007-07-17 Pedro Ojeda Container for foodstuffs

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102832163A (zh) * 2011-06-15 2012-12-19 联华电子股份有限公司 形成开口的方法
CN102832163B (zh) * 2011-06-15 2016-11-30 联华电子股份有限公司 形成开口的方法
CN102354669A (zh) * 2011-10-25 2012-02-15 上海华力微电子有限公司 硅纳米线器件的制作方法
CN106024596A (zh) * 2015-02-03 2016-10-12 朗姆研究公司 减少无定形碳硬掩模膜的碳-氢含量的***及方法
CN106997900A (zh) * 2016-01-22 2017-08-01 中芯国际集成电路制造(上海)有限公司 半导体结构、其形成方法及测试方法
CN109689881A (zh) * 2016-09-16 2019-04-26 伊士曼化工公司 由物理气相沉积制备的生物传感器电极
CN110770575A (zh) * 2017-06-22 2020-02-07 伊士曼化工公司 用于电化学传感器的物理气相沉积电极
WO2022011757A1 (zh) * 2020-07-13 2022-01-20 Tcl华星光电技术有限公司 显示面板制备方法及显示装置
CN112233976A (zh) * 2020-12-17 2021-01-15 度亘激光技术(苏州)有限公司 一种基板刻蚀方法
CN112233976B (zh) * 2020-12-17 2021-03-05 度亘激光技术(苏州)有限公司 一种基板刻蚀方法

Also Published As

Publication number Publication date
TW200531211A (en) 2005-09-16
KR20060127250A (ko) 2006-12-11
US20050199585A1 (en) 2005-09-15
WO2005091349A1 (en) 2005-09-29

Similar Documents

Publication Publication Date Title
CN1930670A (zh) 沉积用于金属刻蚀硬掩模应用的无定型碳膜的方法
TWI788311B (zh) 拓撲受限電漿增強循環沉積方法
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
KR101907802B1 (ko) 하드마스크 물질
JP4879159B2 (ja) アモルファス炭素膜堆積のためのcvdプロセス
CN100524640C (zh) 用于各种刻蚀和光刻集成方案的无定型碳的方法
US7470611B2 (en) In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7928003B2 (en) Air gap interconnects using carbon-based films
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
KR100841495B1 (ko) 디바이스 형성 방법
US8337950B2 (en) Method for depositing boron-rich films for lithographic mask applications
US8178443B2 (en) Hardmask materials
KR101003475B1 (ko) 포토레지스트 접착 및 재생 일관성을 개선하기 위한 수소처리
TWI671795B (zh) 利用共形碳薄膜減低臨界尺寸之方法
TW201736947A (zh) 用於橫向硬光罩凹槽減小的混合碳硬光罩
TWI781368B (zh) 處理基板的方法
TWI810324B (zh) 半導體結構及其形成方法
JP2002012972A (ja) アモルファスカーボン層の堆積方法
CN1930320A (zh) 用于无定型碳膜的化学气相沉积的液体前驱体
KR20050084286A (ko) 나이트로겐-비함유 유전성 비반사 코팅부 및 하드마스크

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication