CN1649122A - 形成浅沟槽隔离(sti)的方法及其结构 - Google Patents
形成浅沟槽隔离(sti)的方法及其结构 Download PDFInfo
- Publication number
- CN1649122A CN1649122A CNA2004101015231A CN200410101523A CN1649122A CN 1649122 A CN1649122 A CN 1649122A CN A2004101015231 A CNA2004101015231 A CN A2004101015231A CN 200410101523 A CN200410101523 A CN 200410101523A CN 1649122 A CN1649122 A CN 1649122A
- Authority
- CN
- China
- Prior art keywords
- layer
- isolation structure
- fleet plough
- spin
- structure according
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 114
- 238000002955 isolation Methods 0.000 title claims abstract description 40
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 50
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 41
- 239000010703 silicon Substances 0.000 claims abstract description 41
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 38
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 37
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 37
- 239000000463 material Substances 0.000 claims abstract description 28
- 239000004065 semiconductor Substances 0.000 claims abstract description 26
- 239000000758 substrate Substances 0.000 claims abstract description 26
- 239000000377 silicon dioxide Substances 0.000 claims abstract description 25
- 235000012239 silicon dioxide Nutrition 0.000 claims abstract description 24
- 230000008569 process Effects 0.000 claims abstract description 20
- 238000012545 processing Methods 0.000 claims description 63
- 238000005229 chemical vapour deposition Methods 0.000 claims description 40
- 230000015572 biosynthetic process Effects 0.000 claims description 16
- 239000011521 glass Substances 0.000 claims description 16
- 239000005368 silicate glass Substances 0.000 claims description 14
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 claims description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 12
- 239000001301 oxygen Substances 0.000 claims description 12
- 229910052760 oxygen Inorganic materials 0.000 claims description 12
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 12
- 238000004528 spin coating Methods 0.000 claims description 12
- 239000000203 mixture Substances 0.000 claims description 4
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 claims description 3
- 229920000642 polymer Polymers 0.000 claims description 2
- -1 siloxanes Chemical class 0.000 claims description 2
- 239000004926 polymethyl methacrylate Substances 0.000 claims 4
- 229920005439 Perspex® Polymers 0.000 claims 3
- 238000005253 cladding Methods 0.000 claims 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 claims 1
- 238000011049 filling Methods 0.000 abstract description 15
- 238000000137 annealing Methods 0.000 abstract description 3
- 238000001312 dry etching Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 121
- 238000004519 manufacturing process Methods 0.000 description 24
- 238000000151 deposition Methods 0.000 description 21
- 238000005496 tempering Methods 0.000 description 21
- 230000035882 stress Effects 0.000 description 20
- 230000008021 deposition Effects 0.000 description 18
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 13
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 9
- 238000000059 patterning Methods 0.000 description 9
- 239000002002 slurry Substances 0.000 description 9
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 8
- 230000005611 electricity Effects 0.000 description 8
- 238000005530 etching Methods 0.000 description 7
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 6
- 229910021332 silicide Inorganic materials 0.000 description 6
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical group [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 6
- 239000013078 crystal Substances 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 150000003376 silicon Chemical class 0.000 description 4
- 235000012431 wafers Nutrition 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 230000027756 respiratory electron transport chain Effects 0.000 description 3
- 230000008646 thermal stress Effects 0.000 description 3
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000006835 compression Effects 0.000 description 2
- 238000007906 compression Methods 0.000 description 2
- 230000007613 environmental effect Effects 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 239000004575 stone Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- BMYNFMYTOJXKLE-UHFFFAOYSA-N 3-azaniumyl-2-hydroxypropanoate Chemical compound NCC(O)C(O)=O BMYNFMYTOJXKLE-UHFFFAOYSA-N 0.000 description 1
- 102100022717 Atypical chemokine receptor 1 Human genes 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 208000035126 Facies Diseases 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 101000678879 Homo sapiens Atypical chemokine receptor 1 Proteins 0.000 description 1
- 229910020776 SixNy Inorganic materials 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229920006037 cross link polymer Polymers 0.000 description 1
- 238000004132 cross linking Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000002715 modification method Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 150000002829 nitrogen Chemical class 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- NHDHVHZZCFYRSB-UHFFFAOYSA-N pyriproxyfen Chemical compound C=1C=CC=NC=1OC(C)COC(C=C1)=CC=C1OC1=CC=CC=C1 NHDHVHZZCFYRSB-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
- H01L21/76232—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
- H01L21/76235—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61F—FILTERS IMPLANTABLE INTO BLOOD VESSELS; PROSTHESES; DEVICES PROVIDING PATENCY TO, OR PREVENTING COLLAPSING OF, TUBULAR STRUCTURES OF THE BODY, e.g. STENTS; ORTHOPAEDIC, NURSING OR CONTRACEPTIVE DEVICES; FOMENTATION; TREATMENT OR PROTECTION OF EYES OR EARS; BANDAGES, DRESSINGS OR ABSORBENT PADS; FIRST-AID KITS
- A61F2/00—Filters implantable into blood vessels; Prostheses, i.e. artificial substitutes or replacements for parts of the body; Appliances for connecting them with the body; Devices providing patency to, or preventing collapsing of, tubular structures of the body, e.g. stents
- A61F2/95—Instruments specially adapted for placement or removal of stents or stent-grafts
- A61F2/958—Inflatable balloons for placing stents or stent-grafts
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/0009—Making of catheters or other medical or surgical tubes
- A61M25/001—Forming the tip of a catheter, e.g. bevelling process, join or taper
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/0067—Catheters; Hollow probes characterised by the distal end, e.g. tips
- A61M25/0068—Static characteristics of the catheter tip, e.g. shape, atraumatic tip, curved tip or tip structure
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/0067—Catheters; Hollow probes characterised by the distal end, e.g. tips
- A61M25/008—Strength or flexibility characteristics of the catheter tip
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/10—Balloon catheters
- A61M25/1027—Making of balloon catheters
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61F—FILTERS IMPLANTABLE INTO BLOOD VESSELS; PROSTHESES; DEVICES PROVIDING PATENCY TO, OR PREVENTING COLLAPSING OF, TUBULAR STRUCTURES OF THE BODY, e.g. STENTS; ORTHOPAEDIC, NURSING OR CONTRACEPTIVE DEVICES; FOMENTATION; TREATMENT OR PROTECTION OF EYES OR EARS; BANDAGES, DRESSINGS OR ABSORBENT PADS; FIRST-AID KITS
- A61F2/00—Filters implantable into blood vessels; Prostheses, i.e. artificial substitutes or replacements for parts of the body; Appliances for connecting them with the body; Devices providing patency to, or preventing collapsing of, tubular structures of the body, e.g. stents
- A61F2/95—Instruments specially adapted for placement or removal of stents or stent-grafts
- A61F2/958—Inflatable balloons for placing stents or stent-grafts
- A61F2002/9583—Means for holding the stent on the balloon, e.g. using protrusions, adhesives or an outer sleeve
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61F—FILTERS IMPLANTABLE INTO BLOOD VESSELS; PROSTHESES; DEVICES PROVIDING PATENCY TO, OR PREVENTING COLLAPSING OF, TUBULAR STRUCTURES OF THE BODY, e.g. STENTS; ORTHOPAEDIC, NURSING OR CONTRACEPTIVE DEVICES; FOMENTATION; TREATMENT OR PROTECTION OF EYES OR EARS; BANDAGES, DRESSINGS OR ABSORBENT PADS; FIRST-AID KITS
- A61F2250/00—Special features of prostheses classified in groups A61F2/00 - A61F2/26 or A61F2/82 or A61F9/00 or A61F11/00 or subgroups thereof
- A61F2250/0058—Additional features; Implant or prostheses properties not otherwise provided for
- A61F2250/0096—Markers and sensors for detecting a position or changes of a position of an implant, e.g. RF sensors, ultrasound markers
- A61F2250/0098—Markers and sensors for detecting a position or changes of a position of an implant, e.g. RF sensors, ultrasound markers radio-opaque, e.g. radio-opaque markers
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/10—Balloon catheters
- A61M2025/1043—Balloon catheters with special features or adapted for special applications
- A61M2025/1079—Balloon catheters with special features or adapted for special applications having radio-opaque markers in the region of the balloon
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/10—Balloon catheters
- A61M2025/1043—Balloon catheters with special features or adapted for special applications
- A61M2025/1093—Balloon catheters with special features or adapted for special applications having particular tip characteristics
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M2205/00—General characteristics of the apparatus
- A61M2205/32—General characteristics of the apparatus with radio-opaque indicia
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/0043—Catheters; Hollow probes characterised by structural features
- A61M25/005—Catheters; Hollow probes characterised by structural features with embedded materials for reinforcement, e.g. wires, coils, braids
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/0043—Catheters; Hollow probes characterised by structural features
- A61M25/0054—Catheters; Hollow probes characterised by structural features with regions for increasing flexibility
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/0067—Catheters; Hollow probes characterised by the distal end, e.g. tips
- A61M25/0068—Static characteristics of the catheter tip, e.g. shape, atraumatic tip, curved tip or tip structure
- A61M25/0069—Tip not integral with tube
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/01—Introducing, guiding, advancing, emplacing or holding catheters
- A61M25/0105—Steering means as part of the catheter or advancing means; Markers for positioning
- A61M25/0108—Steering means as part of the catheter or advancing means; Markers for positioning using radio-opaque or ultrasound markers
-
- A—HUMAN NECESSITIES
- A61—MEDICAL OR VETERINARY SCIENCE; HYGIENE
- A61M—DEVICES FOR INTRODUCING MEDIA INTO, OR ONTO, THE BODY; DEVICES FOR TRANSDUCING BODY MEDIA OR FOR TAKING MEDIA FROM THE BODY; DEVICES FOR PRODUCING OR ENDING SLEEP OR STUPOR
- A61M25/00—Catheters; Hollow probes
- A61M25/01—Introducing, guiding, advancing, emplacing or holding catheters
- A61M25/0105—Steering means as part of the catheter or advancing means; Markers for positioning
- A61M25/0127—Magnetic means; Magnetic markers
Landscapes
- Health & Medical Sciences (AREA)
- Life Sciences & Earth Sciences (AREA)
- Engineering & Computer Science (AREA)
- Heart & Thoracic Surgery (AREA)
- Biomedical Technology (AREA)
- Veterinary Medicine (AREA)
- Public Health (AREA)
- General Health & Medical Sciences (AREA)
- Animal Behavior & Ethology (AREA)
- Anesthesiology (AREA)
- Hematology (AREA)
- Pulmonology (AREA)
- Biophysics (AREA)
- General Physics & Mathematics (AREA)
- Cardiology (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Oral & Maxillofacial Surgery (AREA)
- Transplantation (AREA)
- Vascular Medicine (AREA)
- Child & Adolescent Psychology (AREA)
- Element Separation (AREA)
- Media Introduction/Drainage Providing Device (AREA)
Abstract
本发明提供一种浅沟槽隔离结构及其形成方法以减少应力改善电子迁移,其中该方法包括:提供一半导体基底,具有至少一图案化硬掩模层于其上;利用该至少一图案化硬掩模层进行干蚀刻制程以在该半导体基底中形成一沟槽;形成一或多个内衬层于该沟槽表面上,该内衬层可择自二氧化硅/氮化硅、二氧化硅/氮氧化硅、二氧化硅/氮化硅/氮氧化硅、氮化硅/氮氧化硅或氮氧化硅/氮化硅;形成一或多个沟槽填充材料层(例如:以二氧化硅回填充该沟槽)于内衬层上;进行热回火步骤以释放沟槽填充槽材料中所累积的应力;进行化学机械研磨以及干蚀刻制程以移除沟槽表面上多余的填充材料;以及移除该至少一图案化硬掩模层。
Description
技术领域
本发明是有关于一种集成电路的制程,特别是一种浅沟槽隔离结构及其形成方法,用以改良沟槽填充能力以制作浅沟槽隔离结构(STI),以减少应力并藉以改善电子迁移率。
背景技术
由于组件的缩小以及积集度的增加,高密度电浆化学气相沉积的高沟槽填充能力已成为回填充高深宽比结构,例如:浅沟槽隔离结构的关键制程步骤。进一步地说,利用高密度电浆(HDP)制程可产生高品质的场氧化层,例如采用电子回旋加速共振(ECR)制程或感应式耦合电浆(ICP)制程。一般而言,高密度电浆化学气相沉积(HDP-CVD)相较于其它电浆增强型化学气相沉积制程(例如:PECVD),更可提供高密度的低能量离子,可在较低沉积温度下形成高品质薄膜。
于高密度电浆化学气相沉积(HDP-CVD)制程中,由于偏压功率耦接至基底,因此可吸引离子而在沉积过程中,形成对晶片的溅击或蚀刻(或称再溅击效应),因此可避免在沉积材料完全填入蚀刻结构开口内部前,沉积材料已先完成覆盖沟槽表面而产生的皇冠化(crowning)现象。而采用高密度电浆化学气相沉积制程时,更可微调沉积速率以改善CVD沉积特性(例如:避免皇冠化)。
当组件的关键尺寸缩小至0.13微米以下时,沟槽开口的填充(例如:浅沟槽隔离开口)将成为问题,且填充沟槽的制程容忍度亦将变得更窄。一般而言,当组件尺寸减小而深宽比增大至比率大于4比1时,需采用较高的电浆RF功率(例如每平方公分大于6瓦的能量)以填充沟槽,同时也传至产生较高的热应力。因此,为了维持较低的沉积温度则需不断地降低晶背的温度,但也将导致热梯度升高,并增加芯片表面及穿过芯片厚度的应力。而使HDP-CVD制程后产生较大压缩应力平行于晶片表面,导致后续组件品质以及可靠度的问题。例如,电子迁移率会明显受影响,可能因半导体材料产生应力场而降低。
因此,业界亟需改善集成电路制作技术以发展出填充沟槽(例如:浅沟槽隔离结构)的改良方法,以减少结构应力并改善半导体组件的品质以及可靠度,另外,并克服现有技术的其它缺点以及不足。
发明内容
有鉴于此,为达成上述及其它目的本发明提供一种浅沟槽隔离结构及其形成方法,以减少应力并改善CMOS组件电子迁移。
根据本发明的第一实施例,该形成浅沟槽隔离的方法包括:提供一具有至少一图案化硬掩模层的半导体基底;利用该至少一图案化硬掩模层进行一干蚀刻制程以在半导体基底中形成一沟槽;形成一或多个内衬层于该沟槽内壁上,该内衬层可择自由二氧化硅/氮化硅、二氧化硅/氮氧化硅、二氧化硅/氮化硅/氮氧化硅、氮化硅/氮氧化硅或氮氧化硅/氮化硅堆栈组成的族群中;形成一或多个沟槽填充材料层(例如:以二氧化硅回填充该沟槽);进行至少一热回火步骤以释放该沟槽填充槽材料中所累积的应力;进行至少一化学机械研磨以及干蚀刻制程以移除基底表面上多余的填充材料;以及移除该图案化硬掩模层。
附图说明
图1A是绘示出在具有多层沉积层的基底上沉积并图案化一光阻层的剖面图;
图1B是绘示出利用图案化掩模层蚀刻该多层至基底表面露出的剖面图;
图1C是绘示出干蚀刻该硅基底以形成具倾斜角度侧壁的浅沟槽隔离结构的剖面图;
图1D是绘示出沉积一或多个内衬层于沟槽开口中的剖面图;
图1E是绘示全面性地沉积一氧化层以填充沟槽并进行一平坦化制程以移除沟槽表面上的多余氧化物以及硬掩模层的剖面图;
图1F是绘示出蚀刻氮化硅层再进行一湿式剥除制程(例如:使用氢氟酸)以形成内部边缘高于外部边缘的氧化层的剖面图;
图2A是本发明实施例绘示出填出充一单层于浅沟槽隔离结构中的剖面图;
图2B是本发明实施例绘示出填充第一旋涂式玻璃层(SOG)以及无掺杂硅酸盐玻璃层(USG)于STI结构中的剖面图;
图2C是本发明实施例绘示出回填三层的化学气相沉积旋涂式玻璃层或无掺杂硅酸盐玻璃层于STI结构中的剖面图;
图2D是绘示出本发明实施例的该填有释压氧化物的STI结构剖面图;
图3是绘示出本发明实施例的制程流程解说图。
符号说明:
12~半导体基底;12A~垫氧层;14~氮化硅层;14B~第二硬掩模层;16A~介电抗反射覆盖层(DARC);16B、16C~图案化开口;18~浅沟槽隔离结构;18A~沟槽侧壁;18B~沟槽开口顶部;18C~沟槽开口顶部;θ~沟槽侧壁的倾斜角度;20~内衬层;22~单层的旋涂式玻璃层或无掺杂硅酸盐玻璃层;26A~第一旋涂式玻璃层;26B~无掺杂硅酸盐玻璃层;28A~第一层化学气相沉积层;28B~第一层化学气相沉积层;28C~第一层化学气相沉积层;32A、32B~STI区域;34A~源/漏掺杂区域;34B~SDE掺杂区域;36~复晶硅栅极结构;36A、36B~金属硅化物区域;36C~复晶硅栅极结构顶部;37~栅极氧化层;38~氧化物及/或氮化物间隔物;38A、38B~提高的源/漏SEG区域;301-319~形成本发明的浅沟槽隔离(STI)流程。
具体实施方式
为让本发明的上述和其它目的、特征、和优点能更明显易懂,下文特举出较佳实施例,并配合所附图式,作详细说明如下:
本发明是提供一种浅沟槽隔离结构及其形成方法以减少应力并改善CMOS组件电子迁移。虽本发明特别可应用于制作STI结构上,其亦可应用于集成电路制作过程中的其它型式沟槽以及开口以减少应力并有效改善电子迁移。
根据本发明实施例,请参照图1A,其包括提供一半导体基底12,其可由单晶硅或多晶硅组成,而该基底亦可包括磊晶(epi)硅层、埋藏层、硅覆盖绝缘层结构(SOI)、硅化锗或硅化镓等材料。进行第一热氧化制程以成长约50-150的二氧化硅作为垫氧化物层12A,或进行低压化学气相沉积制程(LPCVD)以沉积四乙氧基硅烷(TEOS)于硅基底12上,以减少后续制程步骤中的表面应力。接着进行一化学气相沉积制程(例如:低压化学气相沉积制程)以沉积一厚度约500的氮化硅层14。
形成第二硬掩模层14B以作为后续干蚀刻制程的硬掩模,例如:以电浆增强型化学气相沉积法(PECVD)形成氮氧化硅层或二氧化硅层,或以低压化学气相沉积法(LPCVD)形成四乙氧基硅烷(TEOS)层。在此,第二硬掩模层14B沉积于氮化硅层14上的厚度为150-500。另外,亦可形成一有机或无机抗反射层(ARC)于该氮化硅硬掩模层或第二硬掩模层上,其中抗反射的层厚度可为200-1000,而该厚度是取决于微影制程中所使用的光波长。例如由氮氧化硅形成的第二硬掩模,当沉积的厚度为500-1000时,可达成兼具蚀刻硬掩模以及抗反射层的功能。
仍参照图1A,沉积厚度约1000-6000的光阻层16A于该第二硬掩模/抗反射层14B上,并进行微影图案化以形成开口16B并露出部分的第二硬掩模/抗反射层14B,以继续干蚀刻第二硬掩模层14B以及氮化硅硬掩模层14,而形成硬掩模层。
请参照图1B,根据该图案化光阻开口16B以现有制程蚀刻第二硬掩模/抗反射层14B以及氮化硅硬掩模层14,并利用反应性离子蚀刻(RIE)制程以露出部分的基底12以形成硬掩模开口16C,其包括利用四氟化碳的蚀刻化学作用。例如,进行非等向性干蚀刻该氮化硅硬掩模层14以及垫氧层12A,以根据终点侦测法(endpoint detection)蚀刻至露出基底12表面部分为止。
请参照图1C,接着进行湿式剥除或干式电浆清洗(dry ashing)制程以将光阻层16A移除,接着干蚀刻该硅基底至深度约60-5000以形成一浅沟槽隔离结构的沟槽18,其中浅沟槽以具有倾斜角度的侧壁部分18A者较佳,而该较佳角度是与基底表面呈80-89度夹角,且该沟槽的顶部较底部宽。用以干蚀刻基底12以形成沟槽18的化学试剂,可包括氯、氢溴酸以及氧。于沟槽蚀刻过程中,沟槽开口的顶部18B以及底部18C的边角以呈圆弧化且具有一弯曲半径者较佳。该浅沟槽隔离结构的边角圆弧化有助于避免不理想的电子行为,例如:高电场对于完整CMOS组件中起始电压(VT)的影响。
承上所述,可在半导体基底中同时蚀刻出多个浅沟槽隔离结构,其彼此之间距约0.06-0.3微米,且每一浅沟槽的顶部宽度大于底部宽度,而顶部宽度约为0.03-0.2微米。
请参照图1D,于蚀刻沟槽18后以SC-1以及SC-2配方的清洁溶剂进行一现有清洁制程以清洁基底表面及浅沟槽隔离结构中的露出部分。接着沉积一或多个内衬层20于沟槽开口中,其中该一或多个内衬层由下列至少之一组成,包括:热成长二氧化硅(SiO2)、氮化硅(例如:SiN、Si3N4)以及氮氧化硅(SiON)。在实施例中,多层内衬层可为下列组合之一,包括:二氧化硅/氮化硅(SiO2/SiN)、二氧化硅/氮氧化硅(SiO2/SiON)、氮化硅/氮氧化硅(SiN/SiON)、氮氧化硅/氮化硅(SiON/SiN)或总厚度约30-200的二氧化硅/氮化硅/氮氧化硅(SiO2/SiON/SiN)堆栈。于形成内衬层20前,可先进行一热氧化制程以全面性地形成一厚度约30-200的单层热氧化层于沟槽18中露出基底的部分,其中该热氧化层可于900-1150度的传统炉管或快速加热退火装置中成长。根据本发明实施例,对该热氧化层进行氮处理以形成顶层的氮化硅层,该氮处理包括:将该热氧化物置于800-1000度且环境气体为氮气的环境下进行处理或进行一氮原子植入制程(例如:电浆浸泡或离子植入),接着再于温度高于600度下进行回火。
根据本发明的另一实施例,可以低压化学气相沉积(LPCVD)、电浆增强型化学气相沉积(PECVD)或原子层化学气相沉积(ALCVD)制程全面性地沉积一氮化硅或氮氧化硅层于热氧化物上以形成沟槽内衬层20。除此之外,该沟槽内衬层20亦可不形成热氧化物层,而直接沉积单或多层的氮化硅或氮氧化硅形成。然而形成热氧化物于硅基底中是本发明的较佳实施例,因为该热氧化物可修复因蚀刻产生的缺陷或释放于沟槽表面的热应力。在此实施例中,该基底并非一定为硅材料,而可为砷化镓,在形成氮化硅及/或氮氧化硅层前,先利用LPCVD或ALCVD在浅沟槽隔结构中露出基底的部分形成氧化层。其中该氮化硅的较佳形成方法是进行低压化学气相沉积(LPCVD)、电浆增强型化学气相沉积(PECVD)或原子层化学气相沉积(ALCVD)制程,使硅烷与氨于400-800度下反应形成。而该氮氧化硅则可于350-800度的沉积温度下使硅烷、氨、氧及/或二氮化氧反应以形成之。
在一实施例中,该沟槽内衬层由二氧化硅/氮化硅/氮氧化硅或二氧化硅/氮氧化硅/氮化硅等三层组成,其中第一层二氧化硅层之制作是以热氧化法、原子层化学气相沉积法较佳,之后形成的氮氧化硅及/或氮化硅层则利用低压化学气相沉积(LPCVD)、电浆增强型化学气相沉积(PECVD)或原子层化学气相沉积(ALCVD)制程,其中以低压化学气相沉积制程或原子层化学气相沉积制程较佳。在此,该氮化硅可包括不同化学计量的氮化硅,例如:SixNy(包括有Si3N4)。另外,该氮氧化硅可包括不同化学计量的氮氧化硅,例如:SixOyNz。于本发明中,在形成沟槽内衬层20后再进行一热回火制程较佳,该回火可释放于先前形成沟槽内衬制程中所产生的热应力,而该热回火制程可利用炉管或快速加热退火装置,在周遭为氧或氮且温度为500-1100度下进行之。
本发明的另一实施例,可全面性地沉积一或多个二氧化硅层以回填该沟槽,所产生的应力小于现有的HDP-CVD法。其中较佳的该沟槽填充材料层是由无掺杂硅酸盐玻璃(USG)及/或旋涂式玻璃(SOG)所组成,较佳的无掺杂硅酸盐玻璃(USG)是由四乙氧基硅烷(TEOS)以及臭氧或硅烷以及氧反应形成。而较佳的无掺杂硅酸盐玻璃(USG)沉积制程则包括:于400-800度下进行的半大气压式化学气相沉积法(SACVD)、常压式化学气相沉积法(APCVD)及/或高密度电浆化学气相沉积法(HDPCVD)。该旋涂式玻璃(SOG)包括旋涂式有机以及无机玻璃,其中个别以硅酸盐或硅酸盐前驱物较佳;而该SOG前驱物可由可流动的混合物组成其包括以交联(cross-linking)试剂形成的交联聚合物,其可为经由350-450度的固化制程后所形成的交联二氧化硅及/或二氧化硅基。此外,亦可为经由100-175度的固化制程后所形成的硅倍半氧聚合物(polyses iquioxanes)。
请参照图2A,在本发明的一实施例中,可填充一单层22于该浅沟槽隔离结构18中,该单层22包括旋涂式有机或无机玻璃22(经固化后)或半大气压式化学气相沉积或常压式化学气相沉积的无掺杂硅酸盐玻璃。本发明的一重要特征在于进行一约500-1100度的回火制程以释放任何由沉积制程所产生的应力。该较佳的回火制程是在环境气体是氧气及/或氮气的炉管中维持大气压约10秒至3小时以同时处理多个晶片或利用现有的快速热回火处理制程(RTP)装置以处理单一晶片。另外,根据本发明的较佳实施例,可利用一低压缩应力的HDP-CVD制程沉积一无掺杂硅酸盐玻璃(USG),再进行一减少应力的回火制程以形成一释压的STI结构。
请参照图2B,在本发明的另一实施例中,可填充多层的二氧化硅层于沟槽结构中,进行一旋涂式制程以沉积第一旋涂式玻璃层26A,再进行一固化制程以填充该沟槽结构至低于或等于该沟槽结构深度的一半。并利用半大气压式化学气相沉积法(SACVD)、原子层化学气相沉积法(ALCVD)或高密度电浆化学气相沉积法(HDP-CVD)制程在400-800度下利用四乙氧基硅烷(TEOS)以及臭氧或硅烷以及氧进行沉积以形成一无掺杂硅酸盐玻璃层(例如:26B)。进一步地说于CVD制程中形成SOG层26A时,因固化(curing)以及内缩(shrinking)制程而产生的残留应力可被释放之。另外,较佳者是于CVD制程后,进行另一次500-1100度的回火制程以进一步地释放于沉积SOG/SiO2层时产生的所有应力。其中各层的沉积顺序可以相反,然而因SOG层容易吸收水气,因此较不建议如此。
请参照图2C,于本发明的另一实施例中,多层沟槽填充层可由一种或多种化学气相沉积USG层形成,其中该化学气相沉积USG层可由SACVD、APCVD或HDPCVD制程形成一或多层SOG层。可在每一层沉积后,选择性地进行回火制程,而至少在填充最顶层的填充层后,需进行回火制程。根据本发明的较佳实施例,沉积第一层(28A)的化学气相沉积USG或SOG(包括一固化制程)至低于沟槽深度的至少1/2(例如:沟槽的1/3深度)后,再进行回火制程,其中该第一沉积制程可采用CVD或SOG制程(包括一固化制程)。接着,沉积相同厚度的第二层(28B)的化学气相沉积USG或SOG层(包括一固化制程)再进行第二回火制程。最后,沉积第三层(28C)的化学气相沉积USG或SOG(包括一固化制程)至最终厚度再进行第三次回火制程,其中该沉积层的总厚度为2000-8000。其中较佳的方式是于最初的沉积第一以及第二层为SOG层、APCVD层或SACVD层,而利用HDP-CVD制程沉积第二或后续层(例如:28B、28C)以减少孔洞形成的机会。另外,在形成由SOG、SACVD、USG及/或APCVD氧化物组成的多重层制程中,其各层间沉积的回火制程可视需要省略,而沉积最后的氧化层后再进行一回火制程。
接续图2C,于本发明的另一实施例中,沉积第一层28A的化学气相沉积USG层至低于STI沟槽深度的至少1/2(例如:沟槽的1/3深度)再进行一选择性回火制程,而该化学气相沉积制程包括SACVD、APCVD或HDP-CVD。接着,沉积一相同或较大厚度的第二层(28B)的化学气相沉积SOG层后,再进行第二次回火制程。根据本发明的较佳实施例,可利用SACVD、APCVD或HDP-CVD制程沉积第三层28C的化学气相沉积USG层至最终厚度,再进行一第三次回火制程。
根据本发明的较佳实施例所形成应力减低(释放)的浅沟槽氧化层,可改善金氧半场效应晶体管(MOSFET)组件的效能。例如藉由减少于半导体基底平面上的STI氧化物在长与宽方向上的应力,可改善于邻接半导体材料中的电子迁移率,其中包括NMOS组件的电子以及PMOS组件的电洞。该电子迁移率的改善亦可特别改善后续自对准金属硅化物(salicides)的制程,该金属硅化物包括硅化钴、硅化镍以及硅化钛,多半角成于半导体基底的源极/漏极区域上,例如以磊晶制程(silicon epitaxially grown,SEG)所形成的***源极/漏极区域。请参照图2D,根据本发明的较佳实施例,半导体基底12上具有浅沟槽隔离结构32A及32B的典型MOSFET组件,以现有制程形成源/漏掺杂区域34A、一具有栅极氧化层37的复晶硅栅极结构36、氧化物及/或氮化物间隙壁38、源/漏延伸(source/drain extension,SDE)掺杂区域34B以及形成于***的源/漏极磊晶硅层38A、38B(SED)上的金属硅化物区域36A、36B以及复晶硅栅极结构顶部的金属硅化物层36C。在此,由于邻接于该填有释压氧化物的浅沟槽隔离结构32A、32B的源/漏区域的电子迁移率获得改善,因此可降低片电阻,也容许形成深度较浅的接合点(例如:SED区域),也包括***的源/极区域,因此改善了组件的效能以及可靠度。例如,藉由形成填有释压氧化物的STI结构,可改善电子迁移率进而改善饱和电流(Idsat)。在此,本发明所形成的填有释压氧化物的STI结构的制程亦可有效地应用在其它组件技术,其中可应用于不同的基底包括:应变硅层(strained Si)、绝缘层上有硅(SOI)以及硅化锗等基底,此外,亦应用于改良的MOSFET结构(例如:finFET)。
请参照图1E,根据本发明的较佳实施例,于全面性地沉积二氧化硅层(STI氧化物)22以填满STI沟槽18之后,进行一干回蚀或CMP制程的平坦化制程以移除沟槽表面上的多余氧化物以及氮化硅层14上方的硬掩模层。例如,可先微影图案化部分STI氧化层,再藉由蚀科制程将部分移除,接着在进行CMP制程移除多余氧化物以及氮化硅硬掩模层14上的硬掩模层。其中较佳的CMP制程其移除速率是每分钟1000-5000,而该干蚀刻制程的较佳蚀刻率则是每分钟1000-10000。
请参照图1F,进行一湿式(例如:热磷酸)蚀刻制程或一干式氮化硅回蚀制程,再对垫氧层12A进行一湿式剥除制程(例如:使用氢氟酸)以保留部分延伸至基底12表面的STI氧化层32,其中该氧化层内部边缘32A高于外部边缘32B。
请参照图3,其是显示本发明实施例的制程流程图。于制程301中提供一半导体基底。于制程303中,形成一或多层介电层(可包含一层或多层硬掩模层)于半导体基底上。于制程305中,图案化该一或多个硬掩模层以蚀刻该基底形成浅沟槽隔离结构的沟槽。于制程307中,根据本发明较佳实施例蚀刻形成沟槽结构。于制程309中,根据本发明较佳实施例形成一或多个内衬层于该沟槽开口中。于制程311中,进行一热回火制程以释放内衬层的应力。于制程313中,根据本发明较佳实施例在沟槽中沉积一或多层二氧化硅(USG及/或SOG)。于制程315中,进行一回火制程以释放于沟槽中氧化物填充的应力。于制程317中,进行一平坦化制程,其包括CMP制程或干式回蚀刻制程以移除多余的STI氧化物以及氮化硅硬掩模层上的介电层。于制程319中,进行一湿式或干式回蚀刻制程以移除氮化硅硬掩模层并留下高于半导体基底表面的STI氧化物的顶部突出。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视所附的权利要求范围所界定者为准。
Claims (16)
1.一种形成浅沟槽隔离结构的方法,包括下列步骤:
提供一半导体基底;
形成一沟槽于该半导体基底中;
形成一或多个内衬层于该沟槽表面上;
以释压材料填充于该沟槽的内衬层上,形成一或多个沟槽填充材料层;以及
移除该沟槽表面多余的沟槽填充材料层。
2.根据权利要求1所述的形成浅沟槽隔离结构的方法,其中形成一或多个沟槽填充材料层的步骤是择自由半大气压式化学气相沉积法、原子层化学气相沉积法、高密度电浆化学气相沉积法以及旋涂式覆盖法组成的族群中。
3.根据权利要求2所述的形成浅沟槽隔离结构的方法,其中该旋涂覆盖制程包括形成一旋涂式玻璃,该旋涂式玻璃包括一前驱物,其择自由有机以及无机混合物组成的族群以形成含有交联二氧化硅的结构。
4.根据权利要求1所述的形成浅沟槽隔离结构的方法,其中该蚀刻沟槽的步骤包括形成一沟槽,该沟槽侧壁与该半导体基底主表面平行的平面呈80度至89度夹角。
5.根据权利要求1所述的形成浅沟槽隔离结构的方法,其中该蚀刻沟槽的步骤包括形成一圆弧化顶部及/或底部边角的沟槽。
6.一种浅沟槽隔离结构,包括:
一半导体基底;
一沟槽设置于该半导体基底中;
一或多个内衬材料层覆盖于该沟槽表面上;以及
一或多个沟槽填充材料层填充于该沟槽的内衬材料层上,其包括沿半导体基底表面的水平或垂直方向无应力的二氧化硅层。
7.根据权利要求6所述的浅沟槽隔离结构,其中该沟槽的侧壁与该半导体基底表面平行的平面呈80度至89度的夹角。
8.根据权利要求6所述的浅沟槽隔离结构,其中该沟槽包括圆弧化的顶部及/或底部边角。
9.根据权利要求6所述的浅沟槽隔离结构,其中该沟槽填充材料层包括延伸至该半导体基底表面的部分。
10.根据权利要求9所述的浅沟槽隔离结构,其中该部分的内部边缘部分延伸至高于外部边缘部分的该半导体基底表面上方。
11.根据权利要求6所述的浅沟槽隔离结构,其中该一或多个沟槽填充材料层是择自由旋涂式有机玻璃、旋涂式无机玻璃以、无掺杂的硅酸盐玻璃、硅氧烷、硅酸盐以及硅倍半氧聚合物组成的族群中。
12.根据权利要求11所述的浅沟槽隔离结构,其中该一或多个沟槽填充材料层包括一底部旋涂式玻璃层,其是择自由旋涂式有机玻璃层、旋涂式无机玻璃层以及顶部无掺杂硅酸盐玻璃层组成的族群。
13.根据权利要求11所述的浅沟槽隔离结构,其中该一或多个沟槽填充材料层,包括:一底部无掺杂硅酸盐玻璃层、一中间旋涂式玻璃层,其择自由旋涂式有机以及无机玻璃层组成的族群中以及一顶部无掺杂硅酸盐玻璃层。
14.根据权利要求11所述的浅沟槽隔离结构,其中该一或多个沟槽填充材料层包括多层旋涂式玻璃层其是择自由旋涂式无机以及有机玻璃层组成的族群中。
15.根据权利要求11所述的浅沟槽隔离结构,其中该一或多个沟槽填充材料层包括一顶部旋涂式玻璃层其是择自由旋涂式无机以及有机玻璃层组成的族群。
16.根据权利要求6所述的浅沟槽隔离结构,其中该一或多个内衬材料层由二氧化硅/氮化硅或二氧化硅/氮氧化硅或二氧化硅/氮化硅/氮氧化硅或氮化硅/氮氧化硅或氮氧化硅/氮化硅堆栈形成。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/767,657 | 2004-01-29 | ||
US10/767,657 US7118987B2 (en) | 2004-01-29 | 2004-01-29 | Method of achieving improved STI gap fill with reduced stress |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1649122A true CN1649122A (zh) | 2005-08-03 |
CN1324673C CN1324673C (zh) | 2007-07-04 |
Family
ID=34807711
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNU2004201202801U Expired - Lifetime CN2796099Y (zh) | 2004-01-29 | 2004-12-21 | 浅沟槽隔离结构 |
CNB2004101015231A Expired - Fee Related CN1324673C (zh) | 2004-01-29 | 2004-12-21 | 形成浅沟槽隔离(sti)的方法及其结构 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNU2004201202801U Expired - Lifetime CN2796099Y (zh) | 2004-01-29 | 2004-12-21 | 浅沟槽隔离结构 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7118987B2 (zh) |
CN (2) | CN2796099Y (zh) |
AT (1) | ATE387928T1 (zh) |
DE (1) | DE602004012323T3 (zh) |
ES (1) | ES2302071T5 (zh) |
TW (1) | TWI278960B (zh) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101442020B (zh) * | 2007-11-21 | 2010-07-28 | 中芯国际集成电路制造(上海)有限公司 | 一种可避免氮氧化硅残留的沟槽隔离结构制作方法 |
CN101740460B (zh) * | 2008-11-14 | 2012-02-29 | 中芯国际集成电路制造(北京)有限公司 | 浅沟槽隔离区的形成方法及nmos晶体管的制造方法 |
CN102005373B (zh) * | 2009-08-28 | 2012-08-22 | 中芯国际集成电路制造(上海)有限公司 | 栅极及功率场效应管的制造方法 |
WO2013143033A1 (zh) * | 2012-03-29 | 2013-10-03 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
CN101872739B (zh) * | 2009-04-23 | 2013-10-23 | 上海华虹Nec电子有限公司 | 沟槽的填充方法 |
US8829642B2 (en) | 2012-03-29 | 2014-09-09 | The Institute of Microelectronics, Chinese Academy of Science | Semiconductor device and method for manufacturing the same |
CN107527859A (zh) * | 2016-06-16 | 2017-12-29 | 三星电子株式会社 | 用于制造半导体装置的方法 |
CN110364475A (zh) * | 2018-04-09 | 2019-10-22 | 无锡华润上华科技有限公司 | 一种半导体器件的制造方法 |
CN110707045A (zh) * | 2018-10-09 | 2020-01-17 | 联华电子股份有限公司 | 一种制作半导体元件的方法 |
CN111446167A (zh) * | 2020-03-16 | 2020-07-24 | 绍兴同芯成集成电路有限公司 | 一种利用聚合物隔离层生成多阶梯状沟槽晶体管的工艺 |
Families Citing this family (71)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100593673B1 (ko) * | 2004-10-27 | 2006-06-28 | 삼성전자주식회사 | 반도체 장치의 제조 방법 및 이를 이용한 반도체 장치의 소자 분리막 제조 방법 |
US20060113603A1 (en) * | 2004-12-01 | 2006-06-01 | Amberwave Systems Corporation | Hybrid semiconductor-on-insulator structures and related methods |
US7393733B2 (en) | 2004-12-01 | 2008-07-01 | Amberwave Systems Corporation | Methods of forming hybrid fin field-effect transistor structures |
KR100620707B1 (ko) * | 2004-12-31 | 2006-09-13 | 동부일렉트로닉스 주식회사 | 반도체 소자의 sti 형성 방법 |
JP2006237509A (ja) * | 2005-02-28 | 2006-09-07 | Toshiba Corp | 半導体装置 |
US8324660B2 (en) | 2005-05-17 | 2012-12-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication |
US9153645B2 (en) | 2005-05-17 | 2015-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication |
US20070020877A1 (en) * | 2005-07-21 | 2007-01-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shallow trench isolation structure and method of fabricating the same |
KR100745987B1 (ko) * | 2005-08-09 | 2007-08-06 | 삼성전자주식회사 | 반도체 소자의 트렌치 소자 분리 영역 제조 방법 |
KR100688750B1 (ko) * | 2005-08-18 | 2007-03-02 | 동부일렉트로닉스 주식회사 | 섀로우 트렌치 아이솔레이션의 제조방법 |
US8501632B2 (en) * | 2005-12-20 | 2013-08-06 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
KR100713924B1 (ko) * | 2005-12-23 | 2007-05-07 | 주식회사 하이닉스반도체 | 돌기형 트랜지스터 및 그의 형성방법 |
KR100724196B1 (ko) * | 2005-12-28 | 2007-05-31 | 동부일렉트로닉스 주식회사 | 반도체 소자의 sti 갭필 산화막 제조방법 |
US7767515B2 (en) * | 2006-02-27 | 2010-08-03 | Synopsys, Inc. | Managing integrated circuit stress using stress adjustment trenches |
US8936995B2 (en) | 2006-03-01 | 2015-01-20 | Infineon Technologies Ag | Methods of fabricating isolation regions of semiconductor devices and structures thereof |
WO2007112066A2 (en) | 2006-03-24 | 2007-10-04 | Amberwave Systems Corporation | Lattice-mismatched semiconductor structures and related methods for device fabrication |
US7884030B1 (en) * | 2006-04-21 | 2011-02-08 | Advanced Micro Devices, Inc. and Spansion LLC | Gap-filling with uniform properties |
US7968425B2 (en) | 2006-07-14 | 2011-06-28 | Micron Technology, Inc. | Isolation regions |
US8173551B2 (en) | 2006-09-07 | 2012-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Defect reduction using aspect ratio trapping |
WO2008039534A2 (en) | 2006-09-27 | 2008-04-03 | Amberwave Systems Corporation | Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures |
US7799592B2 (en) | 2006-09-27 | 2010-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tri-gate field-effect transistors formed by aspect ratio trapping |
WO2008051503A2 (en) | 2006-10-19 | 2008-05-02 | Amberwave Systems Corporation | Light-emitter-based devices with lattice-mismatched semiconductor structures |
KR100868654B1 (ko) * | 2006-12-27 | 2008-11-12 | 동부일렉트로닉스 주식회사 | 반도체 소자의 트렌치 형성 방법 |
KR100960477B1 (ko) * | 2007-02-16 | 2010-06-01 | 주식회사 하이닉스반도체 | 반도체 소자의 sti 형성 방법 |
KR100842749B1 (ko) * | 2007-03-27 | 2008-07-01 | 주식회사 하이닉스반도체 | 반도체소자의 트렌치 소자분리막 형성방법 |
US8237151B2 (en) | 2009-01-09 | 2012-08-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Diode-based devices and methods for making the same |
US8304805B2 (en) | 2009-01-09 | 2012-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor diodes fabricated by aspect ratio trapping with coalesced films |
US7825328B2 (en) | 2007-04-09 | 2010-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nitride-based multi-junction solar cell modules and methods for making the same |
US9508890B2 (en) | 2007-04-09 | 2016-11-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photovoltaics on silicon |
US8927353B2 (en) | 2007-05-07 | 2015-01-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method of forming the same |
JP2008306139A (ja) * | 2007-06-11 | 2008-12-18 | Elpida Memory Inc | 半導体装置の素子分離構造の形成方法、半導体装置の素子分離構造及び半導体記憶装置 |
US8329541B2 (en) | 2007-06-15 | 2012-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | InP-based transistor fabrication |
WO2009035746A2 (en) | 2007-09-07 | 2009-03-19 | Amberwave Systems Corporation | Multi-junction solar cells |
KR100894101B1 (ko) * | 2007-09-07 | 2009-04-20 | 주식회사 하이닉스반도체 | 반도체 소자의 소자분리막 형성방법 |
KR100940661B1 (ko) * | 2007-12-24 | 2010-02-05 | 주식회사 동부하이텍 | 플래시 메모리 소자의 제조 방법 |
KR100955677B1 (ko) | 2007-12-27 | 2010-05-06 | 주식회사 하이닉스반도체 | 반도체 메모리소자의 소자분리막 형성방법 |
US8183667B2 (en) | 2008-06-03 | 2012-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial growth of crystalline material |
KR20090128902A (ko) * | 2008-06-11 | 2009-12-16 | 크로스텍 캐피탈, 엘엘씨 | 이중 하드마스크막을 이용한 씨모스이미지센서 제조 방법 |
US8274097B2 (en) | 2008-07-01 | 2012-09-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reduction of edge effects from aspect ratio trapping |
US8981427B2 (en) | 2008-07-15 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Polishing of small composite semiconductor materials |
US7674684B2 (en) * | 2008-07-23 | 2010-03-09 | Applied Materials, Inc. | Deposition methods for releasing stress buildup |
KR101216541B1 (ko) | 2008-09-19 | 2012-12-31 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | 에피텍셜층 과성장에 의한 장치의 형성 |
US20100072515A1 (en) | 2008-09-19 | 2010-03-25 | Amberwave Systems Corporation | Fabrication and structures of crystalline material |
JP2010098293A (ja) * | 2008-09-22 | 2010-04-30 | Elpida Memory Inc | 半導体装置 |
US8253211B2 (en) | 2008-09-24 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor sensor structures with reduced dislocation defect densities |
JP4886021B2 (ja) * | 2008-12-16 | 2012-02-29 | エルピーダメモリ株式会社 | 半導体装置及びその製造方法 |
EP2415083B1 (en) | 2009-04-02 | 2017-06-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Devices formed from a non-polar plane of a crystalline material and method of making the same |
JP5602414B2 (ja) * | 2009-11-05 | 2014-10-08 | ピーエスフォー ルクスコ エスエイアールエル | 半導体装置の製造方法および半導体装置 |
KR101053647B1 (ko) * | 2009-12-29 | 2011-08-02 | 주식회사 하이닉스반도체 | 반도체 장치 제조 방법 |
US8765561B2 (en) | 2011-06-06 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US9504809B2 (en) | 2011-07-01 | 2016-11-29 | Coloplast A/S | Catheter with a balloon |
US8921944B2 (en) | 2011-07-19 | 2014-12-30 | United Microelectronics Corp. | Semiconductor device |
US8647941B2 (en) | 2011-08-17 | 2014-02-11 | United Microelectronics Corp. | Method of forming semiconductor device |
JP5977002B2 (ja) * | 2011-08-25 | 2016-08-24 | 東京エレクトロン株式会社 | トレンチの埋め込み方法および半導体集積回路装置の製造方法 |
US8691659B2 (en) | 2011-10-26 | 2014-04-08 | United Microelectronics Corp. | Method for forming void-free dielectric layer |
US8679938B2 (en) | 2012-02-06 | 2014-03-25 | International Business Machines Corporation | Shallow trench isolation for device including deep trench capacitors |
US8835243B2 (en) | 2012-05-04 | 2014-09-16 | United Microelectronics Corp. | Semiconductor process |
US8772120B2 (en) | 2012-05-24 | 2014-07-08 | United Microelectronics Corp. | Semiconductor process |
US8951876B2 (en) | 2012-06-20 | 2015-02-10 | United Microelectronics Corp. | Semiconductor device and manufacturing method thereof |
US9059243B2 (en) * | 2012-06-25 | 2015-06-16 | International Business Machines Corporation | Shallow trench isolation structures |
US9142400B1 (en) | 2012-07-17 | 2015-09-22 | Stc.Unm | Method of making a heteroepitaxial layer on a seed area |
US9431487B2 (en) | 2013-01-11 | 2016-08-30 | International Business Machines Corporation | Graphene layer transfer |
US8895396B1 (en) | 2013-07-11 | 2014-11-25 | United Microelectronics Corp. | Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures |
US9362338B2 (en) * | 2014-03-03 | 2016-06-07 | Sandisk Technologies Inc. | Vertical thin film transistors in non-volatile storage systems |
US9679917B2 (en) | 2014-12-23 | 2017-06-13 | International Business Machines Corporation | Semiconductor structures with deep trench capacitor and methods of manufacture |
FR3033081B1 (fr) * | 2015-02-24 | 2017-03-31 | Commissariat Energie Atomique | Procede de modification de l'etat de contrainte d'une structure semi-conductrice a etages de canal de transistor |
US10141306B2 (en) | 2017-01-27 | 2018-11-27 | Qualcomm Incorporated | Systems, methods, and apparatus for improved finFETs |
CN110767740B (zh) * | 2018-07-27 | 2021-10-15 | 无锡华润上华科技有限公司 | 半导体器件及其制造方法 |
TWI703673B (zh) * | 2018-09-27 | 2020-09-01 | 台灣積體電路製造股份有限公司 | 半導體裝置的製造方法以及半導體裝置 |
US11201122B2 (en) | 2018-09-27 | 2021-12-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating semiconductor device with reduced warpage and better trench filling performance |
US11562923B2 (en) | 2020-05-05 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor arrangement including a first electrical insulator layer and a second electrical insulator layer and method of making |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW388100B (en) * | 1997-02-18 | 2000-04-21 | Hitachi Ulsi Eng Corp | Semiconductor deivce and process for producing the same |
JP2000082808A (ja) * | 1998-09-04 | 2000-03-21 | Toshiba Corp | 半導体装置及びその製造方法 |
US6221733B1 (en) * | 1998-11-13 | 2001-04-24 | Lattice Semiconductor Corporation | Reduction of mechanical stress in shallow trench isolation process |
KR100322531B1 (ko) * | 1999-01-11 | 2002-03-18 | 윤종용 | 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자 |
US6297128B1 (en) * | 1999-01-29 | 2001-10-02 | Vantis Corporation | Process for manufacturing shallow trenches filled with dielectric material having low mechanical stress |
KR100354439B1 (ko) * | 2000-12-08 | 2002-09-28 | 삼성전자 주식회사 | 트렌치 소자 분리막 형성 방법 |
KR100568100B1 (ko) * | 2001-03-05 | 2006-04-05 | 삼성전자주식회사 | 트렌치형 소자 분리막 형성 방법 |
KR100512167B1 (ko) * | 2001-03-12 | 2005-09-02 | 삼성전자주식회사 | 트렌치 소자 분리형 반도체 장치 및 트렌치형 소자 분리막형성방법 |
US6693050B1 (en) * | 2003-05-06 | 2004-02-17 | Applied Materials Inc. | Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques |
-
2004
- 2004-01-29 US US10/767,657 patent/US7118987B2/en not_active Expired - Fee Related
- 2004-10-18 TW TW093131574A patent/TWI278960B/zh not_active IP Right Cessation
- 2004-11-17 DE DE602004012323.4T patent/DE602004012323T3/de active Active
- 2004-11-17 AT AT04811126T patent/ATE387928T1/de not_active IP Right Cessation
- 2004-11-17 ES ES04811126.4T patent/ES2302071T5/es active Active
- 2004-12-21 CN CNU2004201202801U patent/CN2796099Y/zh not_active Expired - Lifetime
- 2004-12-21 CN CNB2004101015231A patent/CN1324673C/zh not_active Expired - Fee Related
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101442020B (zh) * | 2007-11-21 | 2010-07-28 | 中芯国际集成电路制造(上海)有限公司 | 一种可避免氮氧化硅残留的沟槽隔离结构制作方法 |
CN101740460B (zh) * | 2008-11-14 | 2012-02-29 | 中芯国际集成电路制造(北京)有限公司 | 浅沟槽隔离区的形成方法及nmos晶体管的制造方法 |
CN101872739B (zh) * | 2009-04-23 | 2013-10-23 | 上海华虹Nec电子有限公司 | 沟槽的填充方法 |
CN102005373B (zh) * | 2009-08-28 | 2012-08-22 | 中芯国际集成电路制造(上海)有限公司 | 栅极及功率场效应管的制造方法 |
WO2013143033A1 (zh) * | 2012-03-29 | 2013-10-03 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US8829642B2 (en) | 2012-03-29 | 2014-09-09 | The Institute of Microelectronics, Chinese Academy of Science | Semiconductor device and method for manufacturing the same |
CN107527859A (zh) * | 2016-06-16 | 2017-12-29 | 三星电子株式会社 | 用于制造半导体装置的方法 |
CN110364475A (zh) * | 2018-04-09 | 2019-10-22 | 无锡华润上华科技有限公司 | 一种半导体器件的制造方法 |
CN110707045A (zh) * | 2018-10-09 | 2020-01-17 | 联华电子股份有限公司 | 一种制作半导体元件的方法 |
CN110707045B (zh) * | 2018-10-09 | 2023-05-12 | 联华电子股份有限公司 | 一种制作半导体元件的方法 |
CN111446167A (zh) * | 2020-03-16 | 2020-07-24 | 绍兴同芯成集成电路有限公司 | 一种利用聚合物隔离层生成多阶梯状沟槽晶体管的工艺 |
Also Published As
Publication number | Publication date |
---|---|
ATE387928T1 (de) | 2008-03-15 |
DE602004012323D1 (de) | 2008-04-17 |
JP4717831B2 (ja) | 2011-07-06 |
ES2302071T3 (es) | 2008-07-01 |
US20050170606A1 (en) | 2005-08-04 |
DE602004012323T2 (de) | 2009-03-19 |
JP2007519469A (ja) | 2007-07-19 |
US7118987B2 (en) | 2006-10-10 |
TW200525690A (en) | 2005-08-01 |
CN2796099Y (zh) | 2006-07-12 |
CN1324673C (zh) | 2007-07-04 |
DE602004012323T3 (de) | 2017-09-07 |
ES2302071T5 (es) | 2017-09-28 |
TWI278960B (en) | 2007-04-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1324673C (zh) | 形成浅沟槽隔离(sti)的方法及其结构 | |
KR100354597B1 (ko) | 디보트 없는 격리 소자 형성 방법 | |
US9257325B2 (en) | Semiconductor structures and methods for forming isolation between Fin structures of FinFET devices | |
US8686535B2 (en) | Trench isolation implantation | |
US8435898B2 (en) | First inter-layer dielectric stack for non-volatile memory | |
US7504287B2 (en) | Methods for fabricating an integrated circuit | |
US20070020879A1 (en) | Method of forming an isolation layer and method of manufacturing a field effect transistor using the same | |
US6787409B2 (en) | Method of forming trench isolation without grooving | |
US20010042874A1 (en) | Semiconductor device having a recessed gate structure and method of manufacturing the same | |
WO1997014175A2 (en) | Novel shallow trench isolation technique | |
CN108630691B (zh) | 三维存储器及其制造方法 | |
US7202123B1 (en) | Mesa isolation technology for extremely thin silicon-on-insulator semiconductor devices | |
US5849625A (en) | Planar field oxide isolation process for semiconductor integrated circuit devices using liquid phase deposition | |
US7785966B2 (en) | Method for fabricating floating gates structures with reduced and more uniform forward tunneling voltages | |
US20100093166A1 (en) | Methods of manufacturing a semiconductor device | |
US6602759B2 (en) | Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon | |
US20070022941A1 (en) | Method of forming a layer and method of manufacturing a semiconductor device using the same | |
US6872667B1 (en) | Method of fabricating semiconductor device with separate periphery and cell region etching steps | |
US20050009267A1 (en) | Forming collar structures in deep trench capacitors with thermally stable filler material | |
US20050285162A1 (en) | Semiconductor devices having a stacked structure and methods of forming the same | |
US6960506B2 (en) | Method of fabricating a memory device having a self-aligned contact | |
US7476604B1 (en) | Aggressive cleaning process for semiconductor device contact formation | |
US6773975B1 (en) | Formation of a shallow trench isolation structure in integrated circuits | |
US20240213084A1 (en) | Apparatuses including shallow trench isolation and methods for forming same | |
US7148117B2 (en) | Methods for forming shallow trench isolation structures in semiconductor devices |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20070704 |