CN1610835A - 改进的集成电路老化方法和设备 - Google Patents

改进的集成电路老化方法和设备 Download PDF

Info

Publication number
CN1610835A
CN1610835A CNA028172086A CN02817208A CN1610835A CN 1610835 A CN1610835 A CN 1610835A CN A028172086 A CNA028172086 A CN A028172086A CN 02817208 A CN02817208 A CN 02817208A CN 1610835 A CN1610835 A CN 1610835A
Authority
CN
China
Prior art keywords
temperature value
temperature
indication
circuit
coupling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028172086A
Other languages
English (en)
Other versions
CN100409021C (zh
Inventor
D·普伦
R·卡克普罗维茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1610835A publication Critical patent/CN1610835A/zh
Application granted granted Critical
Publication of CN100409021C publication Critical patent/CN100409021C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/286External aspects, e.g. related to chambers, contacting devices or handlers
    • G01R31/2868Complete testing stations; systems; procedures; software aspects
    • G01R31/287Procedures; Software aspects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2642Testing semiconductor operation lifetime or reliability, e.g. by accelerated life tests
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2832Specific tests of electronic circuits not provided for elsewhere
    • G01R31/2836Fault-finding or characterising
    • G01R31/2849Environmental or reliability testing, e.g. burn-in or validation tests

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

用于执行电子元器件,例如具有板上热检测电路(108)的集成电路(IC)的老化的改进方法,被用以获得更高分箱分离。根据一个实施例,将热设定点加载到每个IC中。当IC维持在恒定的升高的温度时,老化***检查每个IC以便判定是否超过设定点。如果超过设定点,就由该设定点表征IC;如果未超过设定点,老化***就减小设定点并重新检查。继续该方法直到所有IC已经表征至具体的设定点。作为此方法的结果,为每个IC获得结温。此外,获得每个IC的老化时间的实时估算,由此调整老化时间以便使老化产量最大化。还描述了用于执行改进的IC老化的设备。

Description

改进的集成电路老化方法和设备
发明领域
本发明总的涉及电子器件领域,更具体地,涉及用于执行电子元器件例如集成电路的老化测试的改进方法和设备。
背景技术
在电子***领域中,在制造者当中存在持续竞争压力以推动他们在降低生产成本的同时提高其设备性能。在集成电路(此后为“IC”)的测试方面特别显著。为了证实IC中的每个元件功能正常,在将IC集成到电子设备中之前,通常必须对IC进行测试。
众所周知,对IC进行加速寿命测试以确保当IC已被集成到较高水平的电子封装中时它们没有过早地失效,电子封装例如计算机***(例如,台式机、膝上电脑、手持电脑、服务器等)、无线通信装置(例如,蜂窝电话、无线电话、寻呼机等)、计算机相关的***设备(例如,打印机、扫描仪、监视器等)、娱乐装置(例如,电视机、收音机、立体声***、磁带和压缩盘播放器、盒式录像机、MP3(移动图像专家组,音频层3)播放器等)等等。
老化的目的是为可靠性缺陷提供早期损坏率的筛选。通过在提高的电压和/或温度水平下运行,同时激励IC中尽可能多的晶体管,更早地识别并在将设备配备给用户之前剔除可能早期失效的IC。将老化时间(BITM)保持到最小以便降低制造成本是所希望的。
当以数百万的增加数量的晶体管来封装高性能IC时,不断缩短晶体管沟道长度Le以便提高性能。通常,沟道长度Le越短,漏电流ISB越大。随着漏电流ISB的增加,会增加相应的功率要求,并且伴随热损耗的增加。因此,测试大量的IC可需要相当大的电源资源的消耗,即使在这种资源变的日益匮乏并且昂贵的情况下。
经历老化测试同时使成本、时间和这种测试的复杂性最小化来完整地测试是所希望的。
对于上述原因,并且对于本领域普通技术人员通过阅读并理解本说明书将变得明显的其他原因,现有技术对于改进的IC老化方法和设备存在巨大的需要。
附图说明
图1说明根据本发明的一个实施例的用以执行元器件老化测试和分箱的***的方框图。
图2说明根据本发明的一个实施例的一种IC,该IC包括器件以执行老化测试并分箱;
图3是根据本发明的一个实施例在老化测试固定装置上装配的几个电子元器件的简化图;
图4是根据本发明的一个实施例在老化烘箱中的老化测试固定装置的简化图;
图5A和5B一起说明根据本发明的一个实施例包括多个电子器件的IC的改进的测试方法的流程图;
图6A和6B一起说明根据本发明的一个实施例的多个电子元器件例如IC的改进的测试方法的流程图;
图7A和7B一起说明根据本发明的一个实施例用以指示处理器执行分箱(bin)多个IC的方法的方法的流程图,其中每个IC具有热检测电路和唯一的标识符。
具体实施方式
在本发明的实施例的以下详细描述中,参考构成它的一部分的附图,并且在附图中利用其中可以实施本发明的具体优选实施例的说明来展示本发明。足够详细地描述这些实施例以便使本领域普通技术人员能够实现本发明,并且应当理解,可以利用其它实施例,并在不脱离本发明的精神和范围的情况下可以进行逻辑上的改变、过程上的改变、机械上的改变和电学上的改变。因此,以下详细描述不是限制性的,并且本发明的范围仅仅由所附的权利要求进行限定。
本发明提供改进的用于执行电子元器件例如IC的老化测试的方法。通过将由位于每个IC上的板上热检测电路提供的温度指示与逐渐减小的温度值进行比较,可以根据所需的热参数例如结温来表征或分箱所有IC。可以通过调整老化条件来限制由于热失控引起的产量损失来改进分箱分离(bin split)。此外,获得了每个IC的老化时间的实时估算,以便可以实时调整老化时间以使老化产量最大化。在此说明并描述各种实施例,包括测试和分箱的方法,以及具有到老化***的接口电路的IC,IC老化***和包括计算机指令的计算机可读介质,该计算机指令用于指示处理器执行分箱分别具有热检测电路的多个IC的方法。
图1说明根据本发明一个实施例的用以执行元器件老化测试和分箱的老化***1的方框图。老化***1仅仅是其中采用本发明的老化***的一个实例。在本实例中,用数据处理***实现老化***1。
老化***1包括例如老化容器(burn-in receptacle)或固定装置4(图3中更加详细地示出)的设备。在一个实施例中,固定装置4包含多个印刷电路板(PCBs),每个印刷电路板依次包括正经受老化测试的多个IC;然而,在其它实施例中,固定装置4可能包含其它类型的电子元器件,在此还描述了它的实例。
老化***1包括至少一个处理器6。作为在此所用,“处理器”意味任何类型的计算电路,例如但不限于微处理器、微控制器、复杂指令集计算(CISC)微处理器、精减指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、专用集成电路(ASIC)、人工智能电路、神经网络,或用于进行处理功能的任何其它类型的电路,或这些计算电路的组合。
老化***1包含***总线2以在老化***1的各种元器件之间提供通信链路。***总线2可以实现为单一总线、总线的组合或以任何其它适合的方式。
老化***1还包含外存储器10,其依次包括一个或多个存储器或存储元件、例如随机存取存储器(RAM)形式的主存储器12,一个或多个硬驱动器14,和/或处理可移动介质16例如软盘、压缩盘(CD)的一个或多个驱动器、磁带驱动器等。
老化***1还包括显示装置8和键盘和/或控制器20,其可以包括鼠标、跟踪球、声音识别装置,或允许***用户将信息输入到老化***1并从老化***1接收信息的任何其它装置。
在操作中,老化***1的处理器6在计算机指令的指导下控制老化和/或分箱功能。计算机指令存储在老化***1之中的一个或多个计算机可读介质上,包括外存储器10之中的、和/或例如处理器6的高速缓存(未示出)的存储元件之中的任何或所有的存储元件。
图2说明根据本发明一个实施例的IC 100,IC 100包括完成老化测试和分箱的装置。IC 100只是可以采用本发明来测试和/或分箱的IC的一个实例。
在图2说明的实施例中,IC 100包括处理器102,其可以是任何类型的计算电路,例如但不限于上面所列举的那些电路。处理器102连接到双向内部总线120。
然而,本发明不应当被理解为限于处理器IC的老化和分箱,因为它还应用于任何其他类型IC,例如存储器芯片、芯片集元器件、外部设备部件互连(PCI)装置、总线控制器、大容量数据交换装置、放大器、硅可控整流器等。可以采用本发明测试和/或分箱任何类型的具有板上热检测电路的IC。
在本实施例中,IC 100还包括连接到内部总线120的存储器电路或存储器104。存储器104可以是任何类型或大小,只要它具有足够的存储空间以存储温度值即可。在可替换的实施例中,可以从IC 100中去掉存储器104,并且可以在老化***1的存储器电路或存储段中存储温度值(图1)。
在本实施例中,IC 100还包括连接到内部总线120的逻辑电路106。逻辑电路106可以是任何类型,只要它可以在由热检测电路108产生的温度指示和在存储器中,或在存储器104中或在老化***1(例如,图1的外存储器10)中存储的温度值之间执行比较功能或匹配判定功能即可。在可替换的实施例中,可以从IC 100中去掉逻辑电路106,并且通过老化***1的处理器6(图1)执行匹配判定功能。
IC 100额外地包括连接到内部总线120的热检测电路108。可以由任何能够产生温度参数或温度指示的电路来实现热检测电路108。在一个实施例中,热检测电路108提供与IC 100上的衬底温度或结温成比例的指示或温度的表示。例如,可以由温度检测二极管例如正偏压二极管来实现热检测电路108。
IC 100还包括在内部总线120和测试固定装置总线112之间的适合的接口电路110,用以传输数据、指令、和/或IC 100和老化***1(图1)之间的控制信号。
图3是根据本发明一个实施例的在老化测试固定装置150上安装的几个电子元器件100的简化图。测试板或测试固定装置150包括一个或多个电子元器件100与其耦合的多个插座或连接器(未示出)。在一个实施例中,电子元器件100是IC;然而,在其它实施例中,电子元器件实际上可以是在工作中产生热的任何其它类型电子或电器件。实例包括蜂窝电话,寻呼机,所有类型的计算机,电工具、设备,娱乐设备,航空航天和车载部件,等等。
测试固定装置150包括总线112(图2),其将电子元器件100电连接到老化***1(图1)。
图4是根据本发明一个实施例的老化烘箱200中的测试固定装置150的简化图。老化测试固定装置150位于包含例如加热器和/或冷却器的温度改变机构的环境受控室之中。在一个实施例中,该室被加热;然而,在另一个实施例中,该室可以被冷却。在操作者或计算机指令的控制下工作的老化***1,通过例如升高老化烘箱200中的温度,对测试固定装置150上的电子元器件100施加热应力。
其中被老化的电子元器件100是IC的实施例中,除了被施加热应力之外,它们通常经受较高工作电压。老化电压根据IC类型和用于制造它的工艺而变化。例如,2.1伏的老化电压用于一种现行的处理器IC产品。除了提高工作电压之外,在老化周期期间切换IC内的如果不是全部也是大部分的电路节点。
因此,当老化测试固定装置150位于老化烘箱200中时,为了在升高的工作电压下运行测试固定装置150上的IC并且尽可能多地切换IC上的电路节点,它们通过连接器和导线(未示出)的网络功能性连接到老化***1(图1)。老化烘箱200还可包括电源和时钟电路(未示出),以便将功率和时钟信号提供到正经受老化的IC。
图5A和5B一起说明根据本发明一个实施例的测试IC的改进方法500的流程图,IC包括多个电路或电子器件。电子器件中的第一个提供温度指示,并且该器件可以是任何适合的热检测器件,例如热检测电路108(图2)。电子器件中任选的第二个存储温度值,并且该器件可以由任何适合的存储器的存储器件例如存储器电路104(图2)实现。如果任选的第二存储器的存储器件不是在IC之上,可以通过老化***1(图1)中的适合的存储装置来执行存储器的存储。
在502中,在第二电子器件中或在连接到IC的老化***中存储IC的温度值。选取高于最高期望的IC结温的温度。例如,在一个老化实施例中,初始存储大约110摄氏度的温度值。
在504中,通过升高或降低IC的环境温度来对IC施加热应力。对于老化IC,升高温度,例如达到60摄氏度。
在506中,第一电子器件(例如,温度检测二极管)提供温度指示。
在508中,得出温度指示是否与存储的温度值匹配的判定。“匹配”意味具有相同值或基本上相同的值。如果匹配,方法转到510;否则,方法转到512。
在510中,记录温度值,对这个IC的处理结束。
在512中,通过将温度值降低一度或两度(例如,对于老化测试)、或通过将温度值升高一度或两度(例如,对于冷却测试),将温度值递增到新的温度值。
在514中,得出温度指示是否与新存储的温度值匹配的判定。如果匹配,方法转到516;否则,方法转到512。
在516中,记录温度值。
在518中,对这个IC的处理结束。
图6A和6B一起说明根据本发明一个实施例的测试多个电子元器件例如IC的改进方法600的流程图。电子元器件可以是除了IC之外的其它任何类型元器件,这些类型元件的例子可以在本说明书中的其它地方提供。每个电子元器件包括热检测电路。每个电子元件还包括任选的存储电路。如果电子元器件不具有任选的存储电路,则可以通过老化***1(图1)中的适合的存储装置来执行存储器的存储功能。还通过唯一标识(ID)来识别每个电子元器件,该唯一标识可以是任何类型但典型为数字。
在602中,对每个元器件将温度值存储在元件的任选存储电路中或存储在连接到元器件的老化***中。
在604中,例如通过升高环境温度,对元器件施加热应力。
在606中,每个热检测单元为其相应的元器件提供温度指示。
在608中,得出多个电子元器件中的每一个的温度指示是否与温度值匹配的判定。对于匹配为真的那些元器件,方法转到610;否则,对于匹配不为真的那些元器件,方法转到612。
在610中,对608中每个其温度指示与温度值匹配的电子元器件记录温度值和唯一ID。方法从610转到618。
在612中,将温度值改变为新的温度值(例如,对于老化操作的较低的温度值)。
在614中,得出多个电子元器件中的每一个的此时仍正被升高的温度指示是否与温度值匹配的判定。如果匹配,对于匹配为真的那些元器件,方法转到616;否则,对于匹配为假的那些元器件,方法转到612。
在616中,在616记录每个其温度指示与温度值匹配的电子元器件的温度值和唯一ID。方法从616转到618。
在618中,得出所有电子元器件是否已经具有为它们记录的温度值的判定。如果已记录,那么方法转到620并结束;否则,方法返回到612。
在本发明中,用于老化期间正被测试的元器件的激励模式对测试之中的所有元件相同。给每个正经受老化测试的元件提供唯一测试激励模式是不必要的。
图7A和7B一起说明根据本发明一个实施例的指示处理器执行分箱多个IC方法的方法700的流程图,其中每个IC具有热检测电路和唯一标识符(ID)。处理器是***中的一个元件,***包括温度改变机构以便对IC施加热应力。在老化***中,温度改变机构升高环境温度;在冷却***中,温度改变机构降低环境温度。***还包括可以以任何适合方式实现的比较机构,例如,采用“比较”程序指令或硬布线的比较电路。
在702中,对正经受测试的IC存储温度值。对于IC老化操作,选取高于任何IC的最高期望的结温的温度值。例如,在一个老化实施例中,初始存储大约110摄氏度的温度值。
在704中,从每个IC的热检测电路中获取温度指示。每个温度指示与产生它的IC适当联系。例如,通过采用IC的ID并存储在这一点上经受测试的每个IC的ID/温度指示对,来执行此操作。
在706中,对于每个IC,将存储的温度值与IC自身的温度指示进行比较。
在708中,对于每个IC,得出其温度指示是否基本上与存储的温度值匹配的判定。如果匹配,方法转到710;否则,方法转到712。
在710中,对于708的匹配为真的每个IC,记录温度值和唯一ID。同样,此时,利用为708的匹配为真的IC记录的温度值,如果需要,计算老化时间的估算。当在712和714中并在随后重复操作712和714中分箱附加的IC时,这种估算可被进一步改进。方法从710转到718。
在712中,温度值被改变为新的温度值(例如,用于老化操作的较低温度值)。
在714中,对每个IC得出其温度指示是否基本上与新存储的温度值匹配的判定。如果匹配,方法转到716;否则,方法转到712。
在716中,对于714的匹配为真的每个IC,记录温度值和唯一ID。
在718中,检查所有IC是否已经具有为它们记录的温度值和ID。如果具有,方法转到720;否则,方法转到712。
在720中,方法结束。
可以不同于在此描述的那些操作的顺序执行上述相对于图5、6A、6B、7A和7B中说明的方法的操作。
确定每个元器件的结温Tj
本发明可以为正经受老化测试的每个IC确定结温Tj。利用由每个IC的板上的热检测器件产生的温度指示,老化***初始存储高于正经受测试的IC组的最高期望结温Tj的温度值。通过连续比较由每个IC的热检测器件输出的温度指示并在随后步骤中将温度值减小一度或两度,对于IC组中的每个IC,对应于结温Tj将最终匹配被实现并被记录。
IC的结温Tj可以由如下的等式(1)表示:
等式(1)         Tj=Ta+((ja×Pd)
其中Tj=结温(℃);
Ta=环境温度(℃);
(ja=结-环境的热阻(℃/瓦),对于一些现行的处理器产品,其可以是0.4-2.0℃/瓦的范围;以及
Pd=在Tj下的功耗(瓦),对于一些现行处理器产品,其可以是10-60瓦的范围。
通过获取正经受老化测试的所有IC的结温分布,本发明提供了老化测试的稳定性的直接评估。通过允许对具有较短的沟道长度的部件、和/或在较高功率或频率下工作的部件进行老化测试,本发明还允许IC制造者从老化测试中基本上去掉任何热容限。本发明还提高了整个分箱分离,如以下将立即进行的讨论。
提高分箱分离
本发明提供对正经受热应力测试的电子元器件的相对高的分箱分离。“分箱分离”是评估一组电子元器件例如IC以根据一些特征将它们分类或将它们分配成多个不同组的工艺。特征可以是例如性能相关的特征或操作相关的特征,例如待机电流ISB。与运行在相同时钟速度下的相同设计的低性能处理器相比,高性能处理器通常在较高ISB值(以及相应的较高结温Tj)下工作。
通常,结温Tj越高,老化时间BITM越短。然而,如果老化条件设置得太高,热失控的风险就增加。通过获取每个元器件的Tj,可以设置老化条件使分箱分离最大化并限制因热失控引起的产量损失。
在本发明中,可以相对细小的增量确定在一批正经受老化测试的最低性能处理器和最高性能处理器之间的结温分布,例如一度一度地从110摄氏度下降至60摄氏度。通过获取每个元器件的Tj值,就能更好地理解热容限,并可以重新确定晶体管的沟道长度LB(例如缩短)以获得更高频率的分箱分离。
现在将讨论本发明如何提供相对高的分箱分离。
每个IC具有提供IC的Tj的芯片上热检测电路。在老化烘箱中,环境温度Ta维持恒定,例如60℃。在老化期间,老化电压VBI维持恒定,例如对于具有1.7V正常工作电压的一种现行的处理器产品,VBI=2.1伏。
对于所有IC,编程初始温度值(例如,110℃),并且检查所有IC以便查看任何一个IC是否具有110℃的结温指示Tj。识别其Tj为110℃的任何IC。对于这些IC中的每一个,存储ID和110℃的值。
接着,将温度值减小为109℃,并且再次检查所有IC。识别其Tj为109℃的任何IC。
这样连续降低直至相对低的温度,例如60℃,和/或直到已对所有IC(除了在老化测试期间失效那些IC)进行记录的Tj值。这样连续监测Tj允许调整老化条件以便使因热失控引起的产量损失最小化,由此改善分箱分离。
根据IC的Tj值来分箱IC。具有最高Tj值的那些IC通常具有最好性能。
确定老化时间(BITM)
通过在升高的电压和温度下测试来筛选出早期损坏率的老化测试被执行一段称为老化时间BITM的特定时间长度。BITM必须持续足够长以便提供满意的统计和实验保证,以保证在测试下如果不是所有也是大多数倾向失效的电子元器件被识别出。然而,存在对BITM持续时间的实际上限,其部分地通过大量制造成本(包括劳动力成本和能源成本),产品产出要求,和产品可靠性可能的下降驱动,其中一旦元件安装在用户产品中,在老化期间施加的应力对可靠性可能造成不利影响。
通过精确确定测试固定装置中的正经受老化测试的每个元器件的BITM,本发明可以在老化测试工艺中实现显著节约,这将在下面进行解释。
BITM可以通过等式(2)进行计算:
等式(2)       BITM=AV*AT
其中AV是电压加速因子。对于一种现行产品,AV大约为30。
AT是温度加速因子,其可以在相同设计的IC内变化。AT可以从等式(3)表示的公知的Arrhenius关系中推导:
等式(3)        AT=exp[(Ea/k)(1/T1-1/T2)]
其中Ea是激活能(eV),典型在.3-2.0eV的范围;
k是波尔兹曼(Bolzmann)常数,k=8.617×10-5eV/K;
T1是IC的意图使用的温度(℃);以及
T2是老化温度(℃)。
AT是IC消耗的功率Pd的函数,并且它可以在相同设计的IC内变化。Pd是IC的待机电流ISB的函数,并且它可以在相同设计的IC内变化。ISB是晶体管沟道长度LE和其它工艺参数的函数,并且它可以在相同设计的IC内变化。
利用前面进行的分箱操作,可以获得每个IC的AT,因此,利用上述等式(2),可以很容易地实时计算出正经受老化的每个单独IC的BITM。
结果,BITM动态地变化。例如,对于正经受老化的任何IC,可以缩短或加长BITM以便与计算的BITM的最大值相对应。
可选择地,BITM可保持相对恒定,但是,如果所有其IC都完成了老化,就能够较早地从老化烘箱取出某些测试固定装置,并且其它测试固定装置可以在老化烘箱中停留更长时间,如果其一些IC需要比正常老化时间更长的老化时间的话。
因此,老化测试工艺可以显著地节约劳动力、能源和制造时间。在只采用静态的BITM的老化工艺中,还可以获得质量方面的显著提高。
结论
本发明提供改进的方法,用于进行电子元器件例如IC的老化测试和/或分箱。通过将由每个IC的板上热检测电路所提供的温度指示与逐渐减小的热设定点值进行比较,就可以根据需要的热参数例如结温来表征或分箱所有的IC。通过采用本发明的老化测试概念,就不必对经受测试的每个元器件提供唯一的测试激励模式。对于正经受老化测试的所有元器件,激励模式相同。
因为老化条件可以调节为使热失控损失最小化,因此获得了相对高的分箱分离。此外,例如通过提高环境温度Ta,就可以去掉基于Tj的热容限以提高BITM。而且,获得了用于每个IC的老化时间的实时估算,以致可以调整老化时间,以优化老化产出,并降低制造成本。
除了上述方法之外,已经描述了具有连接老化***的接口电路的IC、IC老化***和包括计算机指令的计算机可读介质,计算机指令用于指示处理器执行分箱多个IC的方法。
正如在此所示,以多个不同实施例实施了本发明。对于本领域普通技术人员,其它实施例将更加明显。元件、结构、功能和操作顺序都可以改变以便适应具体的产品和测试需要。
例如,代替记录匹配发生时的温度值,可以记录温度指示,因为它或与温度值相同或基本上与温度值相同。另外,正经受老化测试的元器件可以进行其它环境加速实验,例如湿度、振动、热循环,等等。
此外,代替存储温度值(例如,初始的高值),将由每个IC上的热检测单元产生的温度指示和温度值比较,记录任何匹配,然后连续减小温度值、直到已经记录了所有IC的Tj值,可以采用稍微不同的处理,其中将热设定点值装载到每个IC中。当IC维持恒定的升高的温度时,老化***检测每个IC以判定是否超过设定点值。如果超过设定点值,就通过设定点表征元器件;如果不是,它就减小设定点并再次进行检查。持续此工艺直至所有IC已被表征为特定的设定点。作为该方法的结果,获得了每个IC的结温。
附图中表示的各种元件仅仅作为展示且不按比例绘制。它的某些部分被放大,而其它部分被最小化。附图意在说明本发明的各种实施方式,使本领域普通技术人员可以理解并适当地实施本发明。
虽然在此已经说明并描述了具体的实施例,本领域普通技术人员应当清楚,对于示出的具体实施例可以用计算以获得相同目的的任何布置来替代。本申请意在覆盖本发明的任何适应性修改和变化。因此,很明显,本发明仅由权利要求书和其等效物所限定。

Claims (32)

1.一种集成电路(IC),包括:
将该IC接口到老化***的接口电路,该接口电路接收来自老化***的至少一个温度值并将至少一个温度指示发送到该老化***;
连接到该接口电路的存储电路,存储该至少一个温度值;以及
连接到该接口电路的热检测电路,提供该至少一个温度指示。
2.根据权利要求1的IC,其中该至少一个温度值是设定点。
3.根据权利要求1的IC,其中该至少一个温度指示与IC的结温成比例。
4.一种集成电路(IC)老化***,包括:
计算机***,包括在计算机程序控制下操作的处理器;以及
至少一个IC,该IC包括:
接口电路,将该IC接口到该计算机***;以及
热检测电路,连接到该接口电路,提供与该IC的结温成比例的温度指示。
5.根据权利要求4的IC老化***,其中计算机***将温度指示与计算机程序确定的温度值进行比较;
其中如果温度指示基本上与温度值匹配,那么计算机***分箱在该温度值的IC;以及
其中如果温度指示小于温度值,那么计算机***减小温度值并将温度指示与减小的温度值进行比较。
6.根据权利要求4的IC老化***,其中IC进一步包括:
逻辑电路,连接到接口电路;以及
其中逻辑电路对由热检测电路产生的温度指示起反应;
其中逻辑电路还对作为由计算机程序确定的通过计算机***产生的温度值起反应;
其中逻辑电路将该温度指示与温度值进行比较;
其中如果温度指示基本上与该温度值匹配,那么逻辑电路产生第一指示给计算机***,并且计算机***分箱在该温度值的IC;以及
其中如果温度指示小于该温度值,那么逻辑电路产生第二指示给计算机***,并且计算机***减小该温度值并将温度指示与减小的温度值进行比较。
7.一种用于包括热检测电路的IC的老化***,该老化***包括:
电连接到该IC的固定装置;
温度改变机构,改变该IC的环境温度;以及
连接到该固定装置的数据处理***,该数据处理***执行计算机程序,该计算机程序操作老化***以便表征该IC并包括下面的操作:
存储该IC的温度值;
控制该温度改变机构以便对该IC施加热应力;
判定来自该热检测电路的温度指示是否与该温度值基本匹配;
如果匹配,那么记录该温度值;以及
如果不匹配,那么将该温度值改变为新的温度值并判定该温度指示是否与该新的温度值匹配。
8.根据权利要求7的老化***,其中操作老化***的计算机程序进一步包括以下操作:
判定温度指示是否与新的温度值匹配;
如果匹配,那么记录新的温度值;
否则,重复地改变温度值并且将温度指示与改变的温度值进行比较,直到温度指示与改变的温度值匹配;以及
记录改变的温度值。
9.根据权利要求7的老化***,其中将温度值存储在IC中的存储电路中。
10.根据权利要求7的老化***,其中将温度值存储在数据处理***中的存储元件中。
11.一种测试包括多个电子器件的集成电路(IC)的方法,电子器件之一提供温度指示,该方法包括:
存储该IC的温度值;
对该IC施加热应力;
该一个电子器件提供温度指示;
判定该温度指示是否与该温度值匹配;
如果匹配,那么记录该温度值;以及
如果不匹配,就将该温度值改变为新的温度值并判定该温度指示是否与该新的温度值匹配。
12.根据权利要求11的方法,还包括:
如果温度指示与新的温度值匹配,那么记录该温度值;
否则,重复地改变温度值并将温度指示与改变的温度值进行比较,直到温度指示与改变的温度值匹配;以及
记录改变的温度值。
13.根据权利要求11的方法,其中通过IC中多个电子器件中的另一个电子器件来执行存储。
14.根据权利要求11的方法,其中通过连接到IC并包括存储程序的数字计算机的老化***来执行存储。
15.根据权利要求11的方法,其中该多个电子器件包括逻辑电路,并且其中通过该逻辑电路来执行判定。
16.根据权利要求11的方法,其中通过连接到IC并包括存储程序的数字计算机的老化***来执行判定。
17.一种测试多个集成电路(IC)的方法,每个集成电路包括热检测电路,该方法包括:
存储每个IC的温度值;
对该IC施加热应力;
每个热检测电路为其相应的IC提供温度指示;
判定该温度指示是否与该温度值匹配;
如果匹配,那么记录对应的IC的该温度值;以及
如果不匹配,将该温度值改变为新的温度值并判定该温度指示是否与该新的温度值匹配。
18.根据权利要求17的方法,还包括:
如果温度指示与新的温度值匹配,那么记录对应的IC的温度值;
否则,重复地改变温度值并将温度指示与改变的温度值进行比较,直到温度指示与改变的温度值匹配;以及
为相应的IC记录改变的温度值。
19.根据权利要求17的方法,其中每个IC包括存储电路并且其中由存储电路执行存储。
20.根据权利要求17的方法,其中通过连接到IC并且包括存储程序的数字计算机的老化***来执行存储。
21.根据权利要求17的方法,其中每个IC包括逻辑电路,并且其中通过逻辑电路来执行判定。
22.根据权利要求17的方法,其中通过连接到IC并且包括存储程序的数字计算机的老化***来执行判定。
23.一种测试多个电子元器件的方法,每个电子元器件包括热检测电路,该方法包括:
存储每个电子元器件的温度值;
对该电子元器件施加热应力;
每个热检测电路为其相应的电子元器件提供温度指示;
判定该温度指示是否与该温度值匹配;
如果匹配,那么记录对应的电子元器件的该温度值;以及
如果不匹配,将该温度值改变为新的温度值并判定该温度指示是否与该新的温度值匹配。
24.根据权利要求23的方法,还包括:
如果温度指示与新的温度值匹配,那么就为对应的电子元器件记录温度值;
否则,重复地改变温度值并且将温度指示与改变的温度值进行比较,直到温度指示与改变的温度值匹配;以及
为相应的电子元器件记录改变的温度值。
25.根据权利要求23的方法,其中每个电子元器件包括存储电路,通过存储电路来执行存储。
26.根据权利要求23的方法,其中通过连接到电子元器件并且包括存储程序的数字计算机的老化***来执行存储。
27.根据权利要求23的方法,其中每个电子元器件包括逻辑电路,并且其中通过该逻辑电路来执行判定。
28.根据权利要求23的方法,其中通过连接到电子元器件并且包括存储程序的数字计算机的老化***来执行判定。
29.根据权利要求23的方法,其中电子元器件是集成电路。
30.一种含有计算机指令的计算机可读介质,计算机指令用于指示处理器执行分箱多个IC的方法,每个IC具有热检测电路,处理器形成***中的一元件,该***包括用于对IC施加热应力的温度改变机构和比较机构,其中该指令包括:
存储每个IC的温度值;
从每个IC的该热检测电路中获取温度指示;
对于尚未分箱的每个IC,将存储的温度值与温度指示进行比较;以及
如果该温度指示基本上与存储的温度值匹配,那么记录该温度值;
否则,将该温度值改变为新的温度值并且将该新的温度值与温度指示进行比较。
31.根据权利要求30的计算机可读介质,其中指令还包括:
如果温度指示基本上与新的温度值匹配,那么记录新的温度值;
否则,重复地改变温度值并将温度指示与改变的温度值进行比较,直到温度指示基本上与改变的温度值匹配,并记录改变的温度值。
32.根据权利要求30的计算机可读介质,其中指令还包括:
利用为每个IC记录的特定温度值来判定每个IC的老化时间的估算。
CNB028172086A 2001-07-02 2002-06-27 改进的集成电路老化方法和设备 Expired - Fee Related CN100409021C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/897,252 2001-07-02
US09/897,252 US6980016B2 (en) 2001-07-02 2001-07-02 Integrated circuit burn-in systems

Publications (2)

Publication Number Publication Date
CN1610835A true CN1610835A (zh) 2005-04-27
CN100409021C CN100409021C (zh) 2008-08-06

Family

ID=25407622

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028172086A Expired - Fee Related CN100409021C (zh) 2001-07-02 2002-06-27 改进的集成电路老化方法和设备

Country Status (7)

Country Link
US (2) US6980016B2 (zh)
JP (1) JP2004534233A (zh)
KR (1) KR100873917B1 (zh)
CN (1) CN100409021C (zh)
MY (1) MY142735A (zh)
TW (1) TWI224199B (zh)
WO (1) WO2003005051A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104048694A (zh) * 2013-03-13 2014-09-17 环旭电子股份有限公司 测试设备及测试方法
CN105807157A (zh) * 2016-03-10 2016-07-27 深圳市硅格半导体股份有限公司 高温老化测试***
CN106133536A (zh) * 2014-04-01 2016-11-16 高通股份有限公司 集成电路动态去老化

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6980016B2 (en) * 2001-07-02 2005-12-27 Intel Corporation Integrated circuit burn-in systems
US20040150417A1 (en) * 2003-01-30 2004-08-05 Paulos John James Integrated circuit with junction temperature sensing diode
US6897671B1 (en) 2004-03-01 2005-05-24 Transmeta Corporation System and method for reducing heat dissipation during burn-in
US7248988B2 (en) * 2004-03-01 2007-07-24 Transmeta Corporation System and method for reducing temperature variation during burn in
US6900650B1 (en) 2004-03-01 2005-05-31 Transmeta Corporation System and method for controlling temperature during burn-in
US7170310B2 (en) * 2004-09-08 2007-01-30 International Business Machines Corporation System and method using locally heated island for integrated circuit testing
US7818614B2 (en) * 2004-10-25 2010-10-19 Hewlett-Packard Development Company, L.P. System and method for reintroducing a processor module to an operating system after lockstep recovery
KR20060067560A (ko) * 2004-12-15 2006-06-20 주식회사 현대오토넷 환경신뢰성 시험장치
US7915902B2 (en) * 2006-10-18 2011-03-29 Mongtage Technology Group Limited Dynamic burn-in systems and apparatuses
US7589520B2 (en) * 2006-12-05 2009-09-15 Delta Design, Inc. Soak profiling
US7991955B2 (en) * 2006-12-13 2011-08-02 Advanced Micro Devices, Inc. Method and apparatus to achieve more level thermal gradient
TWI395276B (zh) * 2008-10-27 2013-05-01 King Yuan Electronics Co Ltd Chip can be expanded to control the number of signal burner
TWI398646B (zh) * 2009-08-14 2013-06-11 Inventec Appliances Corp 測試驗證之方法
JP5758790B2 (ja) * 2011-12-14 2015-08-05 Necフィールディング株式会社 ストレステストシステムおよびその方法、ストレステスト制御装置およびその制御方法と制御プログラム、冷却加熱装置、および、テストプログラム
US9506977B2 (en) 2014-03-04 2016-11-29 International Business Machines Corporation Application of stress conditions for homogenization of stress samples in semiconductor product acceleration studies

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3746604B2 (ja) * 1997-12-09 2006-02-15 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US5030905A (en) 1989-06-06 1991-07-09 Hewlett-Packard Company Below a minute burn-in
GB2248151A (en) * 1990-09-24 1992-03-25 Philips Electronic Associated Temperature sensing and protection circuit.
US5126656A (en) 1991-05-31 1992-06-30 Ej Systems, Inc. Burn-in tower
CA2073886A1 (en) 1991-07-19 1993-01-20 Tatsuya Hashinaga Burn-in apparatus and method
JPH0536793A (ja) 1991-07-31 1993-02-12 Sumitomo Electric Ind Ltd バーンイン方法および装置
JPH05196700A (ja) 1992-01-22 1993-08-06 Sharp Corp テスト機能を有する集積回路
US5457400A (en) * 1992-04-10 1995-10-10 Micron Technology, Inc. Semiconductor array having built-in test circuit for wafer level testing
US5557559A (en) * 1992-07-06 1996-09-17 Motay Electronics, Inc. Universal burn-in driver system and method therefor
US6058497A (en) * 1992-11-20 2000-05-02 Micron Technology, Inc. Testing and burn-in of IC chips using radio frequency transmission
US5391502A (en) 1993-08-27 1995-02-21 Vlsi Technology, Inc. Per-wafer method for globally stressing gate oxide during device fabrication
US5422832A (en) * 1993-12-22 1995-06-06 Advanced Micro Devices Variable thermal sensor
GB9420320D0 (en) * 1994-10-08 1994-11-23 Honeywell Sa Electronic apparatus
JPH08213438A (ja) 1995-02-06 1996-08-20 Tokyo Electron Ltd 検査方法及び検査装置
US5798653A (en) 1995-04-20 1998-08-25 Sun Microsystems, Inc. Burn-in system for reliable integrated circuit manufacturing
US5822218A (en) 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
CN1088899C (zh) * 1997-07-18 2002-08-07 联华电子股份有限公司 可预老化测试的动态存储器模块及其电路板
US6140860A (en) 1997-12-31 2000-10-31 Intel Corporation Thermal sensing circuit
US6119255A (en) * 1998-01-21 2000-09-12 Micron Technology, Inc. Testing system for evaluating integrated circuits, a burn-in testing system, and a method for testing an integrated circuit
JP3259679B2 (ja) * 1998-03-23 2002-02-25 日本電気株式会社 半導体メモリバーンインテスト回路
US6060895A (en) 1998-04-20 2000-05-09 Fairchild Semiconductor Corp. Wafer level dielectric test structure and related method for accelerated endurance testing
JP2000097990A (ja) 1998-09-24 2000-04-07 Mitsubishi Electric Corp 半導体デバイスのバーンイン試験装置
JP2000206176A (ja) 1999-01-07 2000-07-28 Nippon Scientific Co Ltd バ―イン装置
JP2000206201A (ja) 1999-01-20 2000-07-28 Mitsubishi Electric Corp 半導体集積回路
JP4054473B2 (ja) * 1999-02-22 2008-02-27 株式会社アドバンテスト 電子部品試験装置および電子部品の試験方法
US6717530B1 (en) * 1999-08-30 2004-04-06 Texas Instruments Incorporated Multiple temperature threshold sensing having a single sense element
GB0000067D0 (en) * 2000-01-06 2000-02-23 Delta Electrical Limited Current detector and current measurement apparatus including such detector with temparature compensation
US6801869B2 (en) * 2000-02-22 2004-10-05 Mccord Don Method and system for wafer and device-level testing of an integrated circuit
US6980016B2 (en) 2001-07-02 2005-12-27 Intel Corporation Integrated circuit burn-in systems
US6774653B2 (en) * 2001-08-22 2004-08-10 Sun Microsystems, Inc. Two-pin thermal sensor calibration interface
US6924636B2 (en) * 2003-11-10 2005-08-02 Unisys Corporation System for testing one or more groups of IC-chips while concurrently loading/unloading another group
US7199597B2 (en) * 2004-02-16 2007-04-03 Delta Design, Inc. Dual feedback control system for maintaining the temperature of an IC-chip near a set-point
US7042240B2 (en) * 2004-02-27 2006-05-09 Wells-Cti, Llc Burn-in testing apparatus and method
US7167806B2 (en) * 2004-08-17 2007-01-23 International Business Machines Corporation Method and system for measuring temperature and power distribution of a device
US7103495B2 (en) * 2004-09-17 2006-09-05 Kabushiki Kaisha Toshiba System and method for burn-in test control
US7091737B2 (en) * 2004-10-01 2006-08-15 Intel Corporation Apparatus and methods for self-heating burn-in processes
US20070030019A1 (en) * 2005-08-04 2007-02-08 Micron Technology, Inc. Power sink for IC temperature control

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104048694A (zh) * 2013-03-13 2014-09-17 环旭电子股份有限公司 测试设备及测试方法
CN104048694B (zh) * 2013-03-13 2016-12-28 环旭电子股份有限公司 测试设备及测试方法
CN106133536A (zh) * 2014-04-01 2016-11-16 高通股份有限公司 集成电路动态去老化
CN106133536B (zh) * 2014-04-01 2019-09-13 高通股份有限公司 集成电路动态去老化
CN105807157A (zh) * 2016-03-10 2016-07-27 深圳市硅格半导体股份有限公司 高温老化测试***

Also Published As

Publication number Publication date
TWI224199B (en) 2004-11-21
KR100873917B1 (ko) 2008-12-15
JP2004534233A (ja) 2004-11-11
CN100409021C (zh) 2008-08-06
US6980016B2 (en) 2005-12-27
US7449904B2 (en) 2008-11-11
US20050240844A1 (en) 2005-10-27
MY142735A (en) 2010-12-31
KR20040008251A (ko) 2004-01-28
WO2003005051A1 (en) 2003-01-16
US20030001604A1 (en) 2003-01-02

Similar Documents

Publication Publication Date Title
CN1610835A (zh) 改进的集成电路老化方法和设备
TWI238302B (en) A method and apparatus for reducing power consumption through dynamic control of supply voltage and body bias
CN1172373C (zh) 半导体集成电路装置
CN1264471A (zh) 电池试验和分类
CN1532671A (zh) 处理器及其驱动方法与电子信息处理产品
CN1877491A (zh) 多核处理器的混合模式运行方法及其装置以及电源管理模式设定方法
KR20090087021A (ko) 동적 및 적합한 전력 제어를 위한 스피드 비닝
CN101036063A (zh) 测试装置、测试方法、电子设备、以及设备生产方法
CN1530863A (zh) 设计检查***,设计检查方法以及设计检查程序
US9429619B2 (en) Reliability test screen optimization
CN1090325C (zh) 电子元件检验的方法
CN105989900B (zh) 片上***芯片及其嵌入式存储器最低工作电压的测量
CN1324431C (zh) 一种实现低功耗家电应用的计算机及方法
CN1287259C (zh) 在通信设备中操作多个i2c从器件的装置及其方法
CN1932719A (zh) 用于向片上***提供自适应电源的***与方法
US8249819B1 (en) Virtual binning
CN1595638A (zh) 半导体集成电路及其设计方法
CN1591694A (zh) 用于测试半导体存储器件的装置和方法
CN1271694C (zh) 自动化集成电路整机测试***、装置及其方法
CN109507507A (zh) 适配器检测方法、装置、存储介质、测试板及检测***
CN100352029C (zh) 自动化集成电路整机测试控制方法
CN1145213C (zh) 半导体集成电路及其检查方法、液晶装置及电子装置
CN1521512A (zh) 大规模集成电路检查装置及其方法
CN103199856B (zh) 集成电路、电源和供电方法、电子设备以及集成电路制造方法
CN1725189A (zh) 芯片故障的检测方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1077362

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080806

Termination date: 20100627

REG Reference to a national code

Ref country code: HK

Ref legal event code: WD

Ref document number: 1077362

Country of ref document: HK