CN1584740A - 光致抗蚀剂聚合物与含有该光致抗蚀剂聚合物的光致抗蚀剂化合物 - Google Patents

光致抗蚀剂聚合物与含有该光致抗蚀剂聚合物的光致抗蚀剂化合物 Download PDF

Info

Publication number
CN1584740A
CN1584740A CNA2004100618320A CN200410061832A CN1584740A CN 1584740 A CN1584740 A CN 1584740A CN A2004100618320 A CNA2004100618320 A CN A2004100618320A CN 200410061832 A CN200410061832 A CN 200410061832A CN 1584740 A CN1584740 A CN 1584740A
Authority
CN
China
Prior art keywords
photoresist
acid
corrosion
formula
polymkeric substance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100618320A
Other languages
English (en)
Other versions
CN1313880C (zh
Inventor
郑载昌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020030052670A external-priority patent/KR100636937B1/ko
Priority claimed from KR1020030067502A external-priority patent/KR100641919B1/ko
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN1584740A publication Critical patent/CN1584740A/zh
Application granted granted Critical
Publication of CN1313880C publication Critical patent/CN1313880C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1818C13or longer chain (meth)acrylate, e.g. stearyl (meth)acrylate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1804C4-(meth)acrylate, e.g. butyl (meth)acrylate, isobutyl (meth)acrylate or tert-butyl (meth)acrylate

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

本发明涉及光致抗蚀剂聚合物及含有该光致抗蚀剂聚合物的光致抗蚀剂组合物。小于50纳米的光致抗蚀剂图案是使用EUV(极远紫外线)作为曝光光源,由包含下列成分的光致抗蚀剂组合物来获得的:(i)包含式2聚合重复单元的光致抗蚀剂聚合物或(ii)包含式3聚合重复单元的光致抗蚀剂聚合物与聚乙烯基苯酚。结果,虽然该光致抗蚀剂图案具有极小的厚度,但仍可取得优越的抗蚀刻性。其中R1、R2、R3、R4、R5、R6、R7、R8、R9、R10、R11、R12、a、b、c、d、e、f及g如说明书中所定义。(见式2和式3)。

Description

光致抗蚀剂聚合物与含有该光致抗蚀剂聚合物的光致抗蚀剂化合物
                        技术领域
本发明公开了光致抗蚀剂聚合物及含有该光致抗蚀剂聚合物的光致抗蚀剂组合物,其适用于使用远紫外光区诸如EUV(极远紫外线,13纳米)的光源的光刻法(photolighography),以制造高度集成半导体装置的超细电路。
                        背景技术
近来,为了在超细电路形成方法中达到较高的灵敏度,已对化学放大型深紫外线(DUV)光致抗蚀剂进行了研究。此类光致抗蚀剂可通过混合光酸生成剂(photoacid generator)与具有酸不稳定基团的基质聚合物而制备。
根据该光致抗蚀剂的反应机制,光酸生成剂在通过紫外线光源照射时产生酸,该基质聚合物的主链或支链在后续的烘烤过程中与所生成的酸反应而分解或交联,使得该聚合物的极性相当程度地改变。此种极性的变化使得曝光区与未曝光区在显影溶液中的溶解度产生差异。
例如,如果为正型光致抗蚀剂,则酸是在曝光区生成,所生成的酸在后续烘烤过程中与基质聚合物反应,使得该聚合物的主链或支链在后续显影过程中分解并溶解。然而,因为未曝光区并未生成酸,保持该聚合物的原始结构且在后续显影过程中不溶解,因此在基材上形成掩模(mask)的正像。
在任何光刻方法中,分辨率都与光源的波长有关。当光源的波长变得较小时,可形成愈细的图案。就形成小于50纳米的图案所需的曝光设备而言,正在开发EUV设备,也正在开发用于小于50纳米图案的改良光致抗蚀剂。对于光致抗蚀剂,预测要成功地形成小于50纳米的图案存在重大的问题。例如,一方面,光致抗蚀剂需要在极薄的膜中沉积,但另一方面,薄膜无法确保充分的抗蚀刻性。因此,需要一种具有优越的抗蚀刻性的改良光致抗蚀剂,其可在极薄的膜中沉积,以形成小于50纳米的图案。
                        发明内容
因此,本发明公开了光致抗蚀剂聚合物及含有该光致抗蚀剂聚合物的光致抗蚀剂组合物,其可应用于光刻方法,尤其是使用EUV的光刻方法,来形成小于50纳米的超细图案。
本发明也公开了一种使用前述光致抗蚀剂组合物形成光致抗蚀剂图案的方法,及使用前述光致抗蚀剂组合物制得的半导体装置。
                        附图说明
图1是由实施例1制得的光致抗蚀剂聚合物的NMR光谱。
图2是由实施例2制得的光致抗蚀剂聚合物的NMR光谱。
图3是由实施例6制得的接触孔图案的相片。
图4是由实施例7通过抗蚀剂(resist)流动方法制得的接触孔图案的相片。
                      具体实施方式
公开了一种光致抗蚀剂聚合物,其包含式1所示的聚合重复单元:
式1
其中R1是氢或甲基;且
R5是直链或支链C1-C10亚烷基。
式1的聚合重复单元包含具有优越抗蚀刻性的蒽型单体。
该光致抗蚀剂聚合物优选包含式2或3所示的聚合重复单元:
式2
其中R1、R2、R3及R4独立地为氢或甲基;
R5及R6独立地为直链或支链C1-C10亚烷基;
R7是酸不稳定保护基;且
a∶b∶c∶d=20~60摩尔%∶5~20摩尔%∶3~15摩尔%∶20~50摩尔%。
式3
Figure A20041006183200092
其中R8、R9及R10独立地为氢或甲基;
R11是直链或支链C1-C10亚烷基;
R12是酸不稳定保护基;且
e∶f∶g=30~60摩尔%∶5~25摩尔%∶30~50摩尔%。
式2的聚合重复单元的优选实例为聚(甲基丙烯酸9-蒽甲酯/甲基丙烯酸2-羟乙酯/丙烯酸叔丁酯/丙烯酸),式3的聚合重复单元的实例优选为聚(甲基丙烯酸9-蒽甲酯/丙烯酸叔丁酯/丙烯酸)。
酸不稳定保护基是指通过酸可分离的基团,酸不稳定保护基防止该光致抗蚀剂在键合有该酸不稳定保护基时溶解在碱性的显影溶液中。若该酸不稳定保护基通过酸曝露而分离,则该光致抗蚀剂可溶解在碱性溶液中。
该酸不稳定保护基可为任何已知的保护基,一些常规的酸不稳定保护基公开在美国专利第5,212,043号(1993年5月18日)、WO 97/33198(1997年9月12日)、WO 96/37526(1996年11月28日)、EP 0 794 458(1997年9月10日)、EP 0789 278(1997年8月13日)、美国专利第5,750,680号(1998年5月12日)、美国专利第6,051,678号(2000年4月18日)、GB 2,345,286 A(2000年7月5日)、美国专利第6,132,926号(2000年10月17日)、美国专利第6,143,463号(2000年11月7日)、美国专利第6,150,069号(2000年11月21日)、美国专利第6,180,316 B1号(2001年1月30日)、美国专利第6,225,020 B1号(2001年5月1日)、美国专利第6,235,448 B1号(2001年5月22日)及美国专利第6,235,447 B1号(2001年5月22日)。该酸不稳定保护基包括,但不限于,任何选自下列的基团:叔丁基、四氢吡喃-2-基、2-甲基四氢吡喃-2-基、四氢呋喃-2-基、2-甲基四氢呋喃-2-基、1-甲氧基丙基、1-甲氧基-1-甲基乙基、1-乙氧基丙基、1-乙氧基-1-甲基乙基、1-甲氧基乙基、1-乙氧基乙基、叔丁氧基乙基、1-异丁氧基乙基及2-乙酰基甲(menth)-1-基。
本发明也公开了一种正型光致抗蚀剂组合物,其包含作为基质树脂的前述光致抗蚀剂聚合物、光酸生成剂及有机溶剂。
该基质树脂包含(i)包含式2聚合重复单元的光致抗蚀剂聚合物或(ii)包含式3聚合重复单元的光致抗蚀剂聚合物与聚乙烯基苯酚。
当该基质树脂含有包含式3聚合重复单元的光致抗蚀剂聚合物与聚乙烯基苯酚时,该聚乙烯基苯酚优选以基于包含式3聚合重复单元的光致抗蚀剂聚合物的5至20重量%的量存在。
该光酸生成剂可选自任何可通过光生成酸的常规的化合物,包括例如前述已知文件中所公开的化合物。其中,优选使用硫化物型或鎓型化合物来作为光酸生成剂。
优选的光酸生成剂是一种或多种选自下列的化合物:六氟磷酸二苯基碘、六氟砷酸二苯基碘、六氟锑酸二苯基碘、三氟甲磺酸二苯基对-甲氧基苯基锍、三氟甲磺酸二苯基对-甲苯基锍、三氟甲磺酸二苯基对-异丁基苯基锍、三氟甲磺酸二苯基对-叔丁基苯基锍、六氟磷酸三苯基锍、六氟砷酸三苯基锍、六氟锑酸三苯基锍、三氟甲磺酸三苯基锍、三氟甲磺酸二丁基萘基锍、苯二甲酰亚氨基三氟甲烷磺酸酯、二硝基苄基甲苯磺酸酯、正癸基二砜、萘基亚氨基三氟甲烷磺酸酯及下式4的化合物。其中,该光酸生成剂以基于该光致抗蚀剂聚合物的1至8重量%的量存在。已发现当该光酸生成剂用量低于1重量%时,光致抗蚀剂的感光灵敏度降低。然而,当用量高于8重量%时,该光酸生成剂吸收远紫外光射线并生成大量的酸,由于显影过程之后的溶解度,因而得到较差的图案并生成粒子。
式4
任何常规的有机溶剂都可用在光致抗蚀剂组合物中,包含例如前述文件中所公开的常规的溶剂。该有机溶剂优选包含任何选自下列的组:3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、丙二醇甲基醚乙酸酯、环己酮、2-庚酮、乳酸乙酯及其混合物。该有机溶剂以基于该光致抗蚀剂聚合物的500至8000重量%的量存在,以得到具有所需厚度的光致抗蚀剂薄膜。
所公开的用于形成光致抗蚀剂图案的方法(以下称为″图案化方法″)包含:
(a)将该光致抗蚀剂组合物涂覆在底层的顶部,以形成光致抗蚀剂薄膜;
(b)使该光致抗蚀剂薄膜曝露于光线下;及
(c)将经曝光的光致抗蚀剂薄膜显影,以得到光致抗蚀剂图案。
图案化方法可进一步包含在步骤(b)之前进行的温和烘烤过程或在步骤(b)之后进行的后烘烤过程。该烘烤过程优选在70至200℃的温度下进行。
曝光过程优选使用选自EUV(13纳米)、VUV(157纳米)、E-束、X-束及离子束的光源,以1至100毫焦耳/厘米2的曝光能量进行。
显影过程(c)可使用碱性显影溶液进行,该碱性显影溶液优选0.01至5重量%的TMAH水溶液。
根据所公开正型光致抗蚀剂的机制,该光酸生成剂在曝露于来自光源的紫外线时生成酸。在曝光后的烘烤过程中,该酸与包含式2重复单元的聚合物反应,并分离该酸不稳定保护基。结果,聚合物的支链分解并在后续显影过程中溶解在碱性显影溶液中。或使该酸与包含式3重复单元的聚合物反应,并在曝光后的烘烤过程中分离该酸不稳定保护基。结果,聚合物的支链分解并在后续显影过程中溶解在碱性显影溶液中。在此情况下,聚乙烯基苯酚本身溶解在碱性显影溶液中,因此,显影过程不产生问题。
另一方面,因为在未曝光区域中未生成酸,则包含式2或3重复单元的聚合物不溶解,因而得到掩模影像的正像。
当使用包含式3重复单元的聚合物与聚乙烯基苯酚一起作为基质树脂时,若在形成光致抗蚀剂图案之后进行抗蚀剂流动过程,则在该包含式3重复单元的聚合物中的羧酸与该聚乙烯基苯酚之间发生酯化而生成交联键。该光致抗蚀剂图案通过该种键联,流动后保持其型式。如此,可通过添加作为基质树脂的聚乙烯基苯酚,来改善光致抗蚀剂图案的流动特性。若不使用聚乙烯基苯酚,则预见的问题是可能在抗蚀剂流动过程中发生光致抗蚀剂图案的变形。
此外也公开了使用前述光致抗蚀剂组合物制得的半导体装置。
下文参照以下非限制性实施例更详细地描述所公开的光致抗蚀剂聚合物及含有该光致抗蚀剂聚合物的光致抗蚀剂组合物。
实施例1:光致抗蚀剂聚合物(1)的制备
向50克丙二醇甲基醚乙酸酯(PGMEA)中添加5克甲基丙烯酸9-蒽甲酯、1克甲基丙烯酸2-羟基乙酯、3克丙烯酸叔丁酯、1克丙烯酸及0.4克AIBN。将所制备的溶液在66℃下反应8小时。反应之后,将形成的混合物在***中沉淀,过滤,在真空条件下干燥,以得到分子量为12,500的聚(甲基丙烯酸9-蒽甲酯/甲基丙烯酸2-羟基乙酯/丙烯酸叔丁酯/丙烯酸)(产率:88%)(参照图1的NMR光谱)。
实施例2:光致抗蚀剂聚合物(2)的制备
向50克PGMEA中添加6克甲基丙烯酸9-蒽甲酯、3克丙烯酸叔丁酯、1克丙烯酸及0.8克AIBN。将所制备的溶液在66℃下反应8小时。反应之后,将形成的混合物在***中沉淀,过滤,在真空条件下干燥,以得到分子量为11,200的聚(甲基丙烯酸9-蒽甲酯/丙烯酸叔丁酯/丙烯酸)(产率:80%)(参照图2的NMR光谱)。
实施例3:光致抗蚀剂组合物(1)的制备
向13克作为有机溶剂的PGMEA中添加1克实施例1中所制得的聚(甲基丙烯酸9-蒽甲酯/甲基丙烯酸2-羟基乙酯/丙烯酸叔丁酯/丙烯酸)及0.04克作为光酸生成剂的三氟甲磺酸三苯基锍。将形成的混合物在0.20微米的过滤器中过滤,以得到光致抗蚀剂组合物。
实施例4:光致抗蚀剂组合物(2)的制备
向200克作为有机溶剂的环己酮中添加9克实施例2中所制得的聚(甲基丙烯酸9-蒽甲酯/丙烯酸叔丁酯/丙烯酸)、1克分子量为4,000的聚乙烯基苯酚及0.5克作为I-线的光酸生成剂的式4化合物。将形成的混合物在0.20微米的过滤器中过滤,以得到光致抗蚀剂组合物。
实施例5:光致抗蚀剂薄膜的形成
将实施例3中所制得的光致抗蚀剂组合物在3000厚度下旋涂于硅晶片上以形成光致抗蚀剂薄膜,并在130℃下烘烤90秒。烘烤之后,将光致抗蚀剂薄膜使用CF4与Ar的混合气体蚀刻。发现光致抗蚀剂薄膜的蚀刻速度与在相同厚度下形成并蚀刻的KrF光致抗蚀剂薄膜比较约为80%,由此证明改良的抗蚀刻性。
实施例6:接触孔图案的形成
将实施例4中所得的光致抗蚀剂组合物旋涂在硅晶片上以形成光致抗蚀剂薄膜,在120℃下烘烤90秒。烘烤之后,该光致抗蚀剂薄膜使用I-线曝光装置曝光。完成烘烤过程之后,将烘烤的薄膜在2.38重量%TMAH水溶液中显影40秒,以得到300纳米的接触孔图案(参照图3)。
实施例7:抗蚀剂流动特性的评估
将实施例6中所得的300纳米的接触孔图案在160℃下烘烤90秒,以评估其抗蚀剂流动特性。结果,发现该接触孔图案因为抗蚀剂流动而缩小成218纳米,并未发生图案变形(参照图4)。
如前文所述,因为光致抗蚀剂图案是通过使用包含具有优越抗蚀刻性的蒽型化合物的正型光致抗蚀剂组合物形成的,所以虽然该光致抗蚀剂图案具有较小的厚度,但仍可通过使用EUV作为曝光光源来形成具有优越抗蚀刻性且小于50纳米的光致抗蚀剂图案。

Claims (20)

1.一种包含式1所示的聚合重复单元的光致抗蚀剂聚合物:
式1
其中R1是氢或甲基;且
R5是直链或支链C1-C10亚烷基。
2.根据权利要求1的光致抗蚀剂聚合物,其中该光致抗蚀剂聚合物包含式2或3所示的聚合重复单元:
式2
Figure A2004100618320002C2
其中R1C、R2、R3及R4独立地为氢或甲基;
R5及R6独立地为直链或支链C1-C10亚烷基;
R7是酸不稳定保护基;且
a∶b∶c∶d=20~60摩尔%∶5~20摩尔%∶3~15摩尔%∶20~50摩尔%,
式3
其中R8、R9及R10独立地为氢或甲基;
R11是直链或支链C1-C10亚烷基;
R12是酸不稳定保护基;且
e∶f∶g=30~60摩尔%∶5~25摩尔%∶30~50摩尔%。
3.根据权利要求2的光致抗蚀剂聚合物,其中式2的聚合重复单元是聚(甲基丙烯酸9-蒽甲酯/甲基丙烯酸2-羟基乙酯/丙烯酸叔丁酯/丙烯酸),且
式3的聚合重复单元是聚(甲基丙烯酸9-蒽甲酯/丙烯酸叔丁酯/丙烯酸)。
4.根据权利要求2的光致抗蚀剂聚合物,其中该酸不稳定保护基选自下列的基团:叔丁基、四氢吡喃-2-基、2-甲基四氢吡喃-2-基、四氢呋喃-2-基、2-甲基四氢呋喃-2-基、1-甲氧基丙基、1-甲氧基-1-甲基乙基、1-乙氧基丙基、1-乙氧基-1-甲基乙基、1-甲氧基乙基、1-乙氧基乙基、叔丁氧基乙基、1-异丁氧基乙基及2-乙酰基-1-基。
5.一种光致抗蚀剂组合物,其包含:
作为基质树脂的权利要求1的光致抗蚀剂聚合物;
光酸生成剂;及
有机溶剂。
6.根据权利要求5的光致抗蚀剂组合物,其中该基质树脂含有包含式2聚合重复单元的光致抗蚀剂聚合物:
式2
Figure A2004100618320004C1
其中R1、R2、R3及R4独立地为氢或甲基;
R5及R6独立地为直链或支链C1-C10亚烷基;
R7是酸不稳定保护基;且
a∶b∶c∶d=20~60摩尔%∶5~20摩尔%∶3~15摩尔%∶20~50摩尔%。
7.根据权利要求5的光致抗蚀剂组合物,其中该基质树脂含有聚乙烯基苯酚和包含式3聚合重复单元的光致抗蚀剂聚合物:
式3
其中R8、R9及R10独立地为氢或甲基;
R11是直链或支链C1-C10亚烷基;
R12是酸不稳定保护基;且
e∶f∶g=30~60摩尔%∶5~25摩尔%∶30~50摩尔%。
8.根据权利要求7的光致抗蚀剂组合物,其中该聚乙烯基苯酚以基于包含式3聚合重复单元的光致抗蚀剂聚合物的5至20重量%的量存在。
9.根据权利要求5的光致抗蚀剂组合物,其中该光酸生成剂是一种或多种选自下列的化合物:六氟磷酸二苯基碘、六氟砷酸二苯基碘、六氟锑酸二苯基碘、三氟甲磺酸二苯基对-甲氧基苯基锍、三氟甲磺酸二苯基对-甲苯基锍、三氟甲磺酸二苯基对-异丁基苯基锍、三氟甲磺酸二苯基对-叔丁基苯基锍、六氟磷酸三苯基锍、六氟砷酸三苯基锍、六氟锑酸三苯基锍、三氟甲磺酸三苯基锍、三氟甲磺酸二丁基萘基锍、苯二甲酰亚氨基三氟甲烷磺酸酯、二硝基苄基甲苯磺酸酯、正癸基二砜、萘基亚氨基三氟甲烷磺酸酯及式4的化合物
Figure A2004100618320005C1
10.根据权利要求5的光致抗蚀剂组合物,其中该光酸生成剂以基于该光致抗蚀剂聚合物的1至8重量%的量存在。
11.根据权利要求5的光致抗蚀剂组合物,其中该有机溶剂选自:3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、丙二醇甲基醚乙酸酯、环己酮、2-庚酮、乳酸乙酯及其混合物。
12.根据权利要求5的光致抗蚀剂组合物,其中该有机溶剂以基于该光致抗蚀剂聚合物的500至8000重量%的量存在。
13.根据权利要求7的光致抗蚀剂组合物,其中该组合物用于抗蚀剂流动过程。
14.一种形成光致抗蚀剂图案的方法,所述方法包含:
(a)将权利要求6的光致抗蚀剂组合物涂覆在底层的顶部,以形成光致抗蚀剂薄膜;
(b)使该光致抗蚀剂薄膜曝露于光线下;及
(c)将经曝光的光致抗蚀剂薄膜显影,以得到光致抗蚀剂图案。
15.一种形成光致抗蚀剂图案的方法,所述方法包含:
(a)将权利要求7的光致抗蚀剂组合物涂覆在底层的顶部,以形成光致抗蚀剂薄膜;
(b)使该光致抗蚀剂薄膜曝露于光线下;及
(c)将经曝光的光致抗蚀剂薄膜显影,以得到第一光致抗蚀剂图案。
16.根据权利要求15的方法,其进一步包含在(c)部分之后进行抗蚀剂流动过程,以得到第二光致抗蚀剂图案。
17.根据权利要求14或15中任一项的方法,其进一步包含在(b)部分之前进行温和烘烤过程,或在(b)部分之后进行后烘烤过程。
18.根据权利要求14的方法,其中该光源选自EUV、VUV、E-束、X-束及离子束。
19.一种根据权利要求14的方法制得的半导体装置。
20.一种根据权利要求15的方法制得的半导体装置。
CNB2004100618320A 2003-07-30 2004-06-25 光致抗蚀剂聚合物、含有其的组合物和图案形成方法 Expired - Fee Related CN1313880C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR1020030052670A KR100636937B1 (ko) 2003-07-30 2003-07-30 포토레지스트 중합체 및 이를 함유하는 포토레지스트 조성물
KR0052670/03 2003-07-30
KR0052670/2003 2003-07-30
KR1020030067502A KR100641919B1 (ko) 2003-09-29 2003-09-29 포토레지스트 조성물
KR0067502/03 2003-09-29
KR0067502/2003 2003-09-29

Publications (2)

Publication Number Publication Date
CN1584740A true CN1584740A (zh) 2005-02-23
CN1313880C CN1313880C (zh) 2007-05-02

Family

ID=34107017

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100618320A Expired - Fee Related CN1313880C (zh) 2003-07-30 2004-06-25 光致抗蚀剂聚合物、含有其的组合物和图案形成方法

Country Status (3)

Country Link
US (1) US7361447B2 (zh)
CN (1) CN1313880C (zh)
TW (1) TWI282797B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103121951A (zh) * 2011-11-03 2013-05-29 罗门哈斯电子材料有限公司 单体,聚合物和光致抗蚀剂组合物
WO2014134881A1 (zh) * 2013-03-07 2014-09-12 京东方科技集团股份有限公司 光刻胶用光敏性寡聚物、其制备方法及负性光刻胶组合物
CN105511225A (zh) * 2014-10-10 2016-04-20 罗门哈斯电子材料有限责任公司 光致抗蚀剂组合物和形成电子装置的相关方法

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100636938B1 (ko) * 2003-09-29 2006-10-19 주식회사 하이닉스반도체 포토레지스트 조성물
KR100811410B1 (ko) * 2005-09-13 2008-03-07 주식회사 하이닉스반도체 레지스트 플로우 공정 및 코팅막 형성 공정을 포함하는반도체 소자의 제조 방법
KR100712999B1 (ko) * 2006-03-29 2007-05-02 주식회사 하이닉스반도체 유기 반사 방지막 중합체, 이를 포함하는 유기 반사 방지막조성물 및 이를 이용한 포토레지스트의 패턴 형성 방법
JP2008009269A (ja) * 2006-06-30 2008-01-17 Tokyo Ohka Kogyo Co Ltd ポジ型レジスト組成物およびレジストパターン形成方法
TWI305961B (en) * 2006-08-14 2009-02-01 Ind Tech Res Inst Method of fabricating a electrical device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6175226B2 (ja) * 2012-09-28 2017-08-02 富士フイルム株式会社 パターン形成方法、半導体製造用の感活性光線性又は感放射線性樹脂組成物、及び電子デバイスの製造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9804493B2 (en) 2013-11-22 2017-10-31 Samsung Electronics Co., Ltd. Composition for forming topcoat layer and resist pattern formation method employing the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7377848B2 (ja) * 2020-12-31 2023-11-10 ローム アンド ハース エレクトロニック マテリアルズ エルエルシー フォトレジスト組成物及びパターン形成方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116462795B (zh) * 2023-04-06 2024-04-02 华中科技大学 一种成膜树脂、化学增幅型紫外正性光刻胶及其使用方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4603101A (en) * 1985-09-27 1986-07-29 General Electric Company Photoresist compositions containing t-substituted organomethyl vinylaryl ether materials
US6808869B1 (en) * 1996-12-24 2004-10-26 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method for forming resist pattern using the same
EP0851300B1 (en) * 1996-12-24 2001-10-24 Fuji Photo Film Co., Ltd. Bottom anti-reflective coating material composition and method of forming resist pattern using the same
CN1157475A (zh) 1996-12-27 1997-08-20 清华大学 超强酸催化的无显影气相光刻胶
US5939236A (en) * 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6190839B1 (en) * 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6316165B1 (en) * 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6924339B2 (en) * 1999-03-12 2005-08-02 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
US6610808B2 (en) * 1999-03-12 2003-08-26 Arch Specialty Chemicals, Inc. Thermally cured underlayer for lithographic application
KR100465864B1 (ko) * 1999-03-15 2005-01-24 주식회사 하이닉스반도체 유기 난반사방지 중합체 및 그의 제조방법
KR100395904B1 (ko) 1999-04-23 2003-08-27 주식회사 하이닉스반도체 유기 반사방지 중합체 및 그의 제조방법
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
KR100359862B1 (ko) 1999-12-23 2002-11-09 주식회사 하이닉스반도체 난반사 방지막용 중합체와 그 제조방법
US6686124B1 (en) * 2000-03-14 2004-02-03 International Business Machines Corporation Multifunctional polymeric materials and use thereof
KR100721182B1 (ko) * 2000-06-30 2007-05-23 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
KR100687850B1 (ko) * 2000-06-30 2007-02-27 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
DE60128818T2 (de) * 2000-09-19 2008-02-07 Shipley Co., L.L.C., Marlborough Antireflexionszusammensetzung
TW588072B (en) * 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
TW538316B (en) 2001-01-19 2003-06-21 Sumitomo Chemical Co Chemical amplifying type positive resist composition
TW576859B (en) * 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
JP3836359B2 (ja) 2001-12-03 2006-10-25 東京応化工業株式会社 ポジ型レジスト組成物及びレジストパターン形成方法
KR100423535B1 (ko) 2002-05-27 2004-03-18 주식회사 하이닉스반도체 유기 반사방지 화합물 및 그의 제조방법
JP4150557B2 (ja) * 2002-09-02 2008-09-17 富士フイルム株式会社 多層レジストプロセス用中間層材料組成物及びそれを用いたパターン形成方法
KR100636937B1 (ko) 2003-07-30 2006-10-19 주식회사 하이닉스반도체 포토레지스트 중합체 및 이를 함유하는 포토레지스트 조성물

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103121951A (zh) * 2011-11-03 2013-05-29 罗门哈斯电子材料有限公司 单体,聚合物和光致抗蚀剂组合物
WO2014134881A1 (zh) * 2013-03-07 2014-09-12 京东方科技集团股份有限公司 光刻胶用光敏性寡聚物、其制备方法及负性光刻胶组合物
CN105511225A (zh) * 2014-10-10 2016-04-20 罗门哈斯电子材料有限责任公司 光致抗蚀剂组合物和形成电子装置的相关方法

Also Published As

Publication number Publication date
TW200506522A (en) 2005-02-16
CN1313880C (zh) 2007-05-02
US7361447B2 (en) 2008-04-22
US20050026080A1 (en) 2005-02-03
TWI282797B (en) 2007-06-21

Similar Documents

Publication Publication Date Title
CN1313880C (zh) 光致抗蚀剂聚合物、含有其的组合物和图案形成方法
JP3380128B2 (ja) レジスト材料及びレジストパターンの形成方法
KR20000047909A (ko) 이타콘산 무수물 중합체 및 이를 함유하는 포토레지스트조성물
TWI311235B (zh)
CN1719337A (zh) 顶端抗反射涂料聚合物、制法及顶端抗反射涂料组合物
JP2000162771A (ja) フォトレジスト用単量体、フォトレジスト用重合体とその製造方法、フォトレジスト組成物、フォトレジストパタ―ンの形成方法、及び、半導体素子。
KR20020000059A (ko) 부분적으로 가교화된 2층 포토레지스트용 중합체
US20010001703A1 (en) Method for the formation of resist patterns
CN1181109C (zh) 抗反射涂层用组合物、聚合物和其制法、以及形成薄膜图形的方法
CN1288901A (zh) 用于抗反射涂层的有机聚合物和其制备方法
US20040106064A1 (en) Silicon-containing polymer, negative type resist composition comprising the same, and patterning method for semiconductor device using the same
CN1378661A (zh) 化学放大抗蚀剂聚合物及使用该聚合物的抗蚀剂组合物
JP2000212221A (ja) 酸感応性共重合体、レジスト組成物及びレジストパタ―ンの形成方法
US7592122B2 (en) Photoresist composition, and low-molecular compound and high-molecular compound for the photoresist composition
JP4406248B2 (ja) フルオレンジカルボン酸エステルおよび感光性樹脂組成物
CN1603952A (zh) 光致抗蚀剂组合物
JP5210372B2 (ja) 感光性樹脂組成物およびパターン形成方法
JP2004029812A (ja) ヒドロキシ基で置換されたベースポリマーとエポキシリングを含むシリコン含有架橋剤を含むネガティブ型レジスト組成物及びこれを利用した半導体素子のパターン形成方法
JP3843840B2 (ja) 感放射線性樹脂組成物
CN1619419A (zh) 光致抗蚀剂组合物及形成光致抗蚀剂图案的方法
CN1575438A (zh) 微石印术用光刻胶组合物中的光酸产生剂
JP3803313B2 (ja) レジスト材料及びレジストパターンの形成方法
US20040265743A1 (en) Photoresist polymer and photoresist composition including the same
JP2002173509A (ja) フォトレジスト単量体、フォトレジスト重合体とその製造方法、フォトレジスト組成物、フォトレジストパターン形成方法、及び半導体素子
JP4685418B2 (ja) フルオレン誘導体および感光性樹脂組成物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070502

Termination date: 20130625