CN1418261A - 用于低蒸气压母体的气体供给装置 - Google Patents

用于低蒸气压母体的气体供给装置 Download PDF

Info

Publication number
CN1418261A
CN1418261A CN01806793A CN01806793A CN1418261A CN 1418261 A CN1418261 A CN 1418261A CN 01806793 A CN01806793 A CN 01806793A CN 01806793 A CN01806793 A CN 01806793A CN 1418261 A CN1418261 A CN 1418261A
Authority
CN
China
Prior art keywords
gas
supply device
gas supply
parent
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01806793A
Other languages
English (en)
Other versions
CN1234908C (zh
Inventor
哈特穆特·鲍赫
拉斯·贝维格
卢茨·克利普
托马斯·库珀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Auer Lighting GmbH
Original Assignee
Schott Glaswerke AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Schott Glaswerke AG filed Critical Schott Glaswerke AG
Publication of CN1418261A publication Critical patent/CN1418261A/zh
Application granted granted Critical
Publication of CN1234908C publication Critical patent/CN1234908C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)

Abstract

本发明涉及一种气体供给装置,它用于将低蒸气压母体导入CVD-涂层设备。气体供给装置具有一个使母体保持在第一温度T1的供料容器,一个用于中间储存温度为T2、恒压为p2的气状母体的中间储器,一个位于供料容器和中间储器之间的第一气体管路以及将气体从中间储器排出的第二气体管路。按照发明,气体供给装置的构成要使第一温度T1高于第二温度T2。当供料容器内在较高温度T1下母体以高速汽化时,由于中间储器的较低温度T2,使得中间储器的维护工作减轻。在一种特别有利的方案中,中间储器内的第一母体蒸气与一种气体及/或第二母体蒸气相混合,在所述中间储器内第一母体蒸气的分压以恒总压下小于中间储器内未稀释的第一母体蒸气的分压,以致中间储器和邻接的管路的温度T2可降低,降低T2温度可使使用价格更经济。

Description

用于低蒸气压母体的气体供给装置
技术领域
本发明涉及一种根据权利要求1概念的用于低蒸气压母体,特别是用于CVD-涂层设备的气体供给装置。
在现代的CVD-涂层设备中(化学气相沉积),以特定的涂层涂覆在构件或基质上,其中也可按顺序由多个不同的薄层所构成的涂层物,以满足特性上的高要求。为达到这种特性,对沉积质量则又提出很高的要求,例如作为沉积参数的沉积率,对涂层的质量有很大的影响,在CVD-沉积法中,沉积率由气状母体的分压决定,因此分压必须非常准确地控制,不允许遭受波动。
背景技术
对涂覆使用了特定的涂层材料,它们通过所择母体带入涂层设备,为制备TiO2-/SiO2-交替层例如可使用四氯化钛(TiCl4)或六甲基二硅氧烷(HDMSO)作为母体,这些母体在标准状况下具有远低于大气压的低蒸气压,但这一低蒸气压对于工业化涂层所必须的充分的沉积率来说,大都太小了。因此母体必须在供料容器内被加热至第一汽化温度,并由此产生足够高的蒸气压。
为避免母体在通往涂层设备途中发生冷凝,从供料容器到涂层设备的气体供给装置必须加热至第二温度,该温度高于第一汽化温度。
人们进一步了解到,母体或构成涂层的材料TiCl4及六甲基二硅氧烷被存储到一个蒸气压约为50毫巴或更大的中间储器内,目的是使之通过邻接的阀门、质量流量控制器及管路***而具有足够高的质量流量。为达到这样的分压,中间储器对于TiCl4来说至少加热至50℃,而对于六甲基二硅氧烷则至少为30℃。
此外还可制备Nb2O2-/SiO2-交替层,它具有结晶少的优点,并且NbO2的沉积可有更高的沉积率。另外Nb2O5的膨胀系数比TiO2的膨胀系数能更好的适合于SiO2,因此可制备更厚的Nb2O5交替层。为了制备Nb2O5层,只有蒸气压相对小的母体可以使用,它们的蒸气压在标准状况下远远低于HDMSO及TiCl4母体的蒸气压。一种市场上可买到的具有最高蒸气压的Nb-化合物,NbCl5,只有约170℃时才产生50毫巴的压力。图1中下面的曲线显示了NbCl5的蒸气压的温度相关性。为了均匀供给PICVD-涂层设备以NbCl5蒸气,气体供给装置必须要保持这温度。
一种用于制备低蒸气压母体的气体供给装置,已知具有一个母体供料容器及一个为了缓冲和混合蒸气状母体与其它气体的中间储器,(JP 2-250977A2)。供料容器被加热至第一温度T1,中间储器恒温在第二温度T2,其中第一温度T1低于第二温度T2,以防止母体在中间储器内冷凝。将一种载气导入供料容器,用它输送母体至中间储器以及从那里进入一个反应室。气体供给装置可设置第二供料容器,从这里第二母体通过载气导入中间储器,以使两种母体和载气相混合。在这一装置中,中间储器以及与之连接的设备要保持在高温T2,由此维护工作因必要的冷却时间而花费很长时间,所使用的材料和设备也必须要经受高温T2。
按照类型,一种用于低蒸气压母体,特别是PICVD-涂层设备的气体供给装置,已知在其母体的供料容器内保持第一温度(DE4236324C1)。进而这种装置为了中间存储蒸气状母体而具有一个中间储器,其中,中间储器通过气体管路与供料容器相连接,有母体的气体可由中间储器导入PICVD-涂层设备。在这种气体供给装置中,中间储器保持在第二温度,它高于供料容器的第一温度。通过中间储器,有母体的气体的压力波动可因进入PICVD-涂层设备的质量流量不同而被消除。
但是对于中间储器的修理或定期维护,中间储器以及与其相连的气体导入和排放设备,则需花费很长时间进行冷却,这也要求在中间储器范围内安装昂贵的高温质量流量控制器。此外母体的最大排放量,在连续提取过程中,由于保持低温的供料容器的蒸发率而受限制。
发明内容
本发明的任务是,进一步制造一种低蒸汽压母体的气体供给装置,使得对中间储器的维护和修理工作变的简单而快速,并在中间储器及其组成部分可使用更有利的构件,而不必限制母体的最大可达到的质量流量。
这一任务由权利要求1的特征所解决。
按照权利要求1,按照发明的用于低蒸气压母体的气体供给装置,具有一个存储低蒸气压的第一母体的供料容器;一个为存储来自供料容器的汽化的第一母体的中间储器;一个连接供料容器和中间储器的第一气体管路和一个从中间储器排出气体的第二气体管路。这种实施方式的气体供给装置也被称为气体发生器。
供料容器被保持在第一温度T1。气体通过第一气体管路到达中间储器,这里保持在第二温度T2,同样的中间储器内的压力保持在恒压p2,它低于供料容器内的压力p1,以使气状第一母体由于在供料容器内的高压而流入中间储器。按本发明供料容器内第一温度T1高于中间储器内第二温度T2。
由连接中间储器的第二气体管路提取气体,以气状的第一母体供给涂层设备。涂层设备是CVD-涂层设备或类似设备。母体通常也被称为沉积物、原始材料或层形成物。低蒸气压母体被理解为固体的或流体的层形成化合物,例如它们在50℃温度时具有小于10毫巴的蒸气压。
供料容器通常是一个石英烧瓶或精炼钢容器或类似物体,其中,容器的材料不与母体发生反应。中间储器同样可由石英、精炼钢或类似材料构成,它的大体积有益于缓冲因不规律的提取来自中间储器的母体所产生的压力波动。最理想的中间储器的体积从DE4236324C1已知,其公开内容列入本文。
供料容器的最大可提取的物流量与压力p1有关,在标准操作时,供料容器的气体体积由纯的母体蒸气所充满,使得压力p1与母体的平衡蒸气压一致,它随温度T1而增加。用于涂层设备的来自中间储器的最大可提取的母体物流量受供料容器和中间储器之间的物流所限制。随着温度T1的增大及压力p1的增高,用于涂层的最大可利用物流量也随之增加。
供料容器内第一母体的汽化率与供料容器内的温度T1及第一母体的分压有关,汽化率随温度提高而增大,此时如果提取中间储器的蒸气状母体,由于汽化的原因,蒸气状母体很快得到补充,供料容器内母体的饱和蒸气压几乎被保持。由于饱和蒸气压对温度有很大的依赖性(参见图2),所以温度T1微小的变化会引起压力p1很大的改变。
由于中间储器内的母体因这里的压力P2较小而使中间储器内的母体优先以气状存在,所以在适当的温度间隔内,最大可提取的物流量不受中间储器内适当温度间隔内的低温T2的所限制。因此温度T2的选择与温度T1无关,中间储器以及与其相连的设备也只需保持在低温T2,这就可使用价格经济的构件,如物流量控制器和阀门,也缩短了为维护和修理中间储器而等待中间储器及相关设备冷却的时间。
此外大体积的中间储器必须保持在低温T2,这有助于节约能量,相反,供料容器与中间储器相比较,可选择小的规模,并在中间储器的加热范围内整体隔热。
中间储器的温度T2的设置,要使中间储器内第一母体的最大分压低于温度T2时中间储器内母体的饱和蒸气压,这是很有利的,可避免中间储器内第一母体的冷凝以及在此滞留。
当压力p1大于中间储器内压力p2的1,5倍时,在供料容器和中间储器之间产生压差,在供料容器和中间储器之间也由此产生化合物的阻隔。传输率明显与压差(p1-p2)以及供料容器和中间储器之间的连结管的传导有关。在理想的阻隔流的极限状态下,最大物流量只由p1和阻隔处(如管道终点或阀门进口)的管道的截面所决定。
使中间储器的母体蒸气扩散返回到供料容器同样可以避免阻隔。在中间储器使用母体蒸气与其它气体的混合气体的情况下,将避免供料容器内母体与其它气体的混合。
为调节物流量,例如可在中间储器和供料容器间安装一阀门,要这样调整它的传导:以使通过阀门的物流量只受入口侧压力p1的影响,而与出口侧压力p2无关(阻隔的条件),优选的是,压力p1两倍于压力p2。
在一有优选的实施方案中气体供给装置在供料容器和中间储器之间安装一计量装置,计量装置起调节从供料容器到中间储器的物流量的作用。计量装置一般有一个控制管道截面的喷嘴,一个开关阀门,一个可改变截面的计量阀门等。计量装置用于限制从供料容器到中间储器的物流量,计量装置优选要这样调节:例如通过一个控制器,当中间储器内的压力低于恒压p2时,便增加物流量;当中间储器内的压力超过恒压p2时,则减少物流量。
第一计量装置优选是一种可调节的物流量控制器,以使一方面可通过调节单元或控制器进行调节,另一方面供料容器和中间储器之间流过的物流量也可进行计量。
按照另一个气体供给装置的方案,来自中间储器的气体通过第二计量装置排出到气体出口,以使气体从中间储器连续排出。或者第二计量装置可这样调节:当超过压力p2时,为保持中间储器内的压力恒定,气体可由中间储器排出。排放可同样使用中间储器的抽空及清洗。
第二计量装置优选是节流阀,气体排出口的横截面因此可得到调节。
按照又一个的方案,气体排出口还可连接一真空泵及/或冷冻阀。真空泵把排放出口侧抽空到低于中间储器的压力p2,以产生压降,而排出气体。或者可组合使用真空泵和冷冻阀,这样冷凝的气体在冷冻阀被冻结,同时不能冷凝的气体被真空泵吸走。使用冷冻阀,可保留大部分昂贵的低蒸气压母体,并返回而继续使用。
在一特别优选的方案中,气体供给装置,在供料容器与中间储器之间的第一气体管路中导入载气。载气可以是惰性气体、第二母体或与第二母体的混合气体。载气在CVD-方法中使用,目的是使母体快速输送到要涂层的物体,并从那里输出反应物或杂质。载气通过气体供给装置可更快地输送第一母体。此外第一母体与载气的混合体起附加的、有益的效应,即通过第一母体的稀释,使它在中间储器内的分压低于中间储器内的总压p2,这使中间储器温度T2继续下降,因为母体的冷凝只与第一母体的分压有关,而与中间储器的总压无关。由于中间储器内分压的降低,温度T2可继续下降。温度T2限制在最低界限,在此界限,与温度有关的饱和蒸气压高于中间储器内第一母体的分压,这就避免了冷凝。
在这方案中,中间储器内存储一种混合体,它的母体浓度(或摩尔分数)保持不变。这可通过调节两种流入气体(母体和输送-/反应气体)的恒定比例而得到保证。通过上述的供料容器和中间储器间的阻隔的形成,在恒压p1下从供料容器到中间储器的确定物流量得到保证,此外通过阻隔还避免了混合气体从中间储器向供料容器的回扩散。
载气最好在第一计量装置以后进入,这样通过计量装置的流动的物流仅含母体,由于压降通过计量装置也没有载气流入供料容器。
在另一方案中,载气通过第三计量装置而供入,这优选是一个物流控制器,以使可调节载气的流量。
在一特别优选的方案中,载气的物质流量是根据从供料容器到中间储器的第一母体的质量流量而按比例进行调节,由此中间储器内第一母体同载气的混合比通过比例系数而预定。在中间储器中的恒定的混合比使第一母体按规定流入涂层设备,并因此最终具有均匀的沉积。
为生产具有氧化铌层的光学功能层,第一母体可优选为Nb-化合物,优选NbCl5或Nb-醇化物;载气优选O2,例如如果生产SiO2-/Nb2O5-交替层,则在中间储器内是有O2和NbCl5的混合气体时,可直接使用Nb2O5-层的沉积用反应气,而不必使用其它气体作为载气。
对于含钽层,优选使用TaCl5或钽-醇化物。对于含钛或铝层,可优选使用TIPT(异丙基-钛)或AlCl3
借助于图示,本发明的详细解释一个实施例。
附图说明
图1:一种NbCl5-母体的饱和蒸气压的温度相关图。
图2:气体供给装置和一气体交换站及CVD-沉积设备的实施例。
图3:2个气体供给装置与2个通过气体交换站相连接的涂层设备的组合。
实施方案
图1的下面曲线表示NbCl5的饱和蒸气压与温度的相关的曲线图。NbCl5在所示温度范围上方以固体存在,它直接升华为气相。图示的下面曲线表示饱和蒸气压,是气相中NbCl5的分压在与固相平衡时所能达到的最大压力。在50℃时,饱和蒸气压约为0,04毫巴,这一压力对于要通过管路及阀门到达气体供给装置的足够的气状NbCl5物流量,是太小了。为得到足够的气体量以提供并通过管路***以输送,必须提高温度以及饱和蒸气压。
图1上面的曲线表示在NbCl5与其它气体混合而稀释至5%NbCl5组分时,最大可调节的总压力或绝对压力。总压力在混合气体中的NbCl5冷凝前,可比NbCl5的饱和蒸气压高20倍。
图2表示气体供给装置1的示意图,母体NbCl5装入到供料容器2内,通过母体的汽化在供料容器2内有第一压力p1,供料容器2经过第一气体管路3与中间储器4相连,从供料容器2到第一气体管路3,配置有第一单向阀5及物质流量控制器6(MFC)。利用第一单向阀5可截断通向供料容器2的第一气体管路3,以便用于维护或为了再注入母体NbCl5而将供料容器2由气体供应装置1中移出。
在气体供给装置的运转过程中,第一物流量控制器6用于测量从供料容器2到中间储器4的物质流量,以及调节物质流量到预定值。
在第一单向阀5和第一物流量控制器6之间,从第一气体管路3分出了另一气体支管路,它由第二单向阀7截断。当单向阀7和单向阀5打开时,供料容器2可通过前置泵8而抽空,同样导入的清洗气(它的输入没有标出)可由前置泵8抽出。
在第一物流量控制器6和中间储器4之间,在第一气体管路3引入另一管路。在这一管路内安置了第二物流量控制器9,通过第二物流量控制器9,一种载气或另一反应气,在上述情况下为氧气(O2),可被导入第一气体管路3,由此母体NbCl5与载气相混合并被导入中间储器4。
由第二气体管路10,气体或混合气体可从中间储器4中提取并导入气体交换站11。从中间储器4出来,在第二气体管路10通入沉积装置14前,在其中安置第一计量阀12和第三单向阀13。当第三单向阀13打开时,第一计量阀12在中间储器4和第一计量阀出口侧之间产生一个压降。
从中间储器4出来,通过节流阀15引出另一个气体管路,它同样与前置泵8相连。中间储器4内的压力用压力传感器16测量,测量出的压力值被送至控制节流阀15的压力控制器17。压力控制器17将中间储器4内的压力保持在指定的第二压力值p2。如果中间储器4内的压力超过指定的第二压力p2,压力控制器17则控制节流阀15,将其打开并将多余气体导入前置泵8。
在气体交换站11,其后,在第二气体管路10的第三单向阀13可通过第四单向阀18由另一气体管路选择性地导入另一种沉积气体。在上述情况下,另一沉积气体是用于沉积SiO2-层的六甲基二硅氧烷/氧气(HMDSO/O2)混合气。这样通过转换单向阀13和18,即可实现从Nb2O5-沉积(来自母体NbCl5)转换为SiO2-沉积。
气体供给装置1分为2个温度区。第一温度区为供料范围19,它包括供料容器2,第一单向阀5,第一气体管路3的一部分,第一物流量控制器6,第二单向阀7以及进、以及流入和流出气体管路的一部分。供料范围19在第一温度T1保持恒定。通过一般的加热技术进行加热。温度优选通过控制器保持恒定。通过第一温度T1调节供料容器内第一母体,这里是NbCl5的饱和蒸气压p1。通过对与供料容器2相连的单元的加热,以避免在供料范围19内出现冷凝。
此外,中间储器范围20,包括第一气体管路3的一部分,中间储器4,第二气体管路10的一部分,第一计量阀12,压力传感器16,节流阀15以及必要时的用于清洗的气体管路或其它气体导入管路,此范围保持在第二温度T2。
在实施例中,通过第二物流量控制器9,将氧气输入第一气体管路3。适当调节物流量控制器6和9,使第二物流量控制器9将氧气按比例的导入第一物流量控制器6。氧气流量可以是NbCl5流量的19倍,由此在中间储器4内混合比达到5%NbCl5气体对95%氧气。中间储器保持总压在40毫巴,此时在中间储器中的NbCl5的分压约为2毫巴,这明显低于120℃时的饱和蒸气压4毫巴(见图1),并避免了NbCl5的冷凝。
第一温度T1为200℃,NbCl5的饱和蒸气压按图1则为105毫巴,p1约为100毫巴,这样在供料容器2和中间储器4之间存在系数大于2的压降,由此保证了从供料容器2到中间储器4的物流量。
通过节流阀15来调节中间储器4内的压力p2。在物流量控制器处,控制流经量恒定。或者,在通向前置泵8的节流阀15的固定设置开口截面,以恒定比例通过可变调节物流量控制器6,9的物流量,实施对压力p2的控制。
由设置在中间储器和气体交换站之间的第一计量阀12,产生进一步的压降,由此使NbCl5的分压继续下降,气体交换站范围内的温度继续下降。现在温度为75℃,则根据图1NbCl5的最大分压可达0,25毫巴,因此混合气体的总压最大为5毫巴,从中间储器范围20到气体交换站11压力下降至少为系数8。在此压降下,第一计量阀12内出现阻隔,即在此压降下通过第一计量阀的物流量,只与其传导值和中间储器4内的压力p2有关,而与气体交换站11内的压力无关。为使从中间储器4到气体交换站11并继续到沉积装置14的物流量保持恒定,则不必再安置另一物流量控制器,因为物流量已通过恒定的压力p2和选定的第一计量阀的传导值而固定。
在另一实施方案中,气体供给装置也可使第一物流量控制器6被一个与第一计量阀12相当的计量阀所代替,因为这里在供料容器2和中间储器4间的压降大于系数2,所以高温物流量控制器6可被更方便的计量阀代替。
上述气体供给装置1只是作为范例描述了其对于母体NbCl5及载气O2使用,其它的具有低蒸气压的母体和其它载气同样可使用。例如对于母体有:乙氧基铌,三氯化铝,异丙氧基钛,乙氧基钽。供料范围19的温度T1,中间储器范围20的温度T2和气体交换站的温度T3的设置,可根据各母体的饱和蒸气压曲线并考虑单个浓度(摩尔分数)的情况进行确定。
图3表示一多室涂层设备14,14′,通过一气体交换站11、由多个气体供给装置19,20,19′,20′及两种不同的母体来生产交替层。上述图2中显示的标记同样适用于图3。
两个气体供给装置19,20,19′,20′的作用方式基本上与图2的气体供给装置1相当,区别在于供料范围1 9的温度T1和中间储器范围20的温度T2对于供料容器2内母体的温度相关性要优化,供料范围19′的温度T4和中间储器范围20′的温度T5对于供料容器2′内母体的蒸气压的温度相关性要最优化。

Claims (24)

1.一种用于低蒸气压母体,特别是用于CVD-涂层设备的气体供给装置,它具有
一个用于低蒸气压的第一母体的供料容器(2),其中有母体的供料容器(2)保持第一温度T1,
一个中间储器(4)用于中间存储气状第一母体,其中,中间储器(4)在此保持第二温度T2及低于供料容器(2)内压力p1的恒压p2,
一个位于供料容器(2)和中间储器(4)之间的第一气体管路(3),
一个连接中间储器(4)并从中间储器(4)中提取气体的第二气体管路(10),
其特征在于:第一温度T1高于第二温度T2。
2.按照权利要求1的气体供给装置,其特征在于:中间储器(4)内温度T2的设置,要使第一母体的饱和蒸气压高于其在中间储器(4)内的分压。
3.按照权利要求1或2的气体供给装置,其特征在于:供料容器(2)内第一母体的压力p1为饱和蒸气压,第一母体处于液相或固相和气相之间的平衡态。
4.按照权利要求3的气体供给装置,其特征在于:供料容器(2)的温度T1的设置,要使供料容器内第一母体的压力p1为压力p2的1,5-10倍。
5.按照权利要求4的气体供给装置,其特征在于:压力p1约为压力p2的2倍。
6.按照权利要求1-5中之一的气体供给装置,其特征在于:在供料容器(2)和中间储器(4)之间配置第一计量设备(6)以调节从供料容器(2)到中间储器(4)的物流。
7.按照权利要求6的气体供给装置,其特征在于:第一计量设备(6)为可调节的物流量控制器。
8.按照权利要求1-7中之一的气体供给装置,其特征在于:中间储器(4)通过第二计量设备(15)与一气体出口连接。
9.按照权利要求8的气体供给装置,其特征在于:第二计量设备(15)为节流阀。
10.按照权利要求8或9的气体供给装置,其特征在于:气体出口与真空泵(8)和/或冷却器相连。
11.按照权利要求8-10中之一的气体供给装置,其特征在于:中间储器(4)内的恒压p2通过第二计量设备(15)而调节。
12.按照权利要求1-11中之一的气体供给装置,其特征在于:在供料容器(2)和中间储器(4)之间的第一气体管路(3)内可导入一种载气。
13.按照权利要求6-11中之一的气体供给装置,其特征在于:在第一计量设备(6)和中间储器(4)之间的第一气体管路(3)内可导入一种载气。
14.按照权利要求12或13的气体供给装置,其特征在于:载气通过第三计量设备(9)而导入。
15.按照权利要求14的气体供给装置,其特征在于:第三计量设备(9)为物流量控制器。
16.按照权利要求14或15的气体供给装置,其特征在于:恒压p2通过在第二计量设备(15)固定安置的开口截面处的第一和第三计量设备(6,9)的物流量而调节。
17.按照权利要求12-16中之一的气体供给装置,其特征在于:载气的流量与从供料容器(2)到中间储器(4)的第一母体的流量成比例。
18.按照权利要求1-17中之一的气体供给装置,其特征在于:母体为Nb-,Ta-,Ti-,或Al-化合物。
19.按照权利要求18的气体供给装置,其特征在于:Nb-化合物为NbCl5或乙氧基铌。
20.按照权利要求18的气体供给装置,其特征在于:Ta-化合物为TaCl5或乙氧基钽。
21.按照权利要求18的气体供给装置,其特征在于:Al-化合物为AlCl3
22.按照权利要求18的气体供给装置,其特征在于:Ti-化合物为TIPT(异丙氧基钛)。
23.按照权利要求12-22中之一的气体供给装置,其特征在于:载气为惰性气体、第二母体或与第二母体的混合气体,其中,每种载气在标准状况下为气状。
24.按照权利要求23的气体供给装置,其特征在于:载气为氧气或含氧气体。
CNB01806793XA 2000-02-10 2001-01-27 气体供给装置用于将低蒸气压母体导入cvd-涂层设备的用途 Expired - Lifetime CN1234908C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10005820.5 2000-02-10
DE10005820A DE10005820C1 (de) 2000-02-10 2000-02-10 Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks

Publications (2)

Publication Number Publication Date
CN1418261A true CN1418261A (zh) 2003-05-14
CN1234908C CN1234908C (zh) 2006-01-04

Family

ID=7630411

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01806793XA Expired - Lifetime CN1234908C (zh) 2000-02-10 2001-01-27 气体供给装置用于将低蒸气压母体导入cvd-涂层设备的用途

Country Status (11)

Country Link
US (2) US20030145789A1 (zh)
EP (1) EP1264002B1 (zh)
JP (1) JP4772246B2 (zh)
CN (1) CN1234908C (zh)
AT (1) ATE291105T1 (zh)
AU (1) AU2001228504A1 (zh)
CA (1) CA2399477A1 (zh)
DE (2) DE10005820C1 (zh)
HK (1) HK1052031A1 (zh)
TW (1) TW527435B (zh)
WO (1) WO2001059176A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108699688A (zh) * 2016-04-26 2018-10-23 乔治洛德方法研究和开发液化空气有限公司 前体供应***和前体供应方法
CN110183111A (zh) * 2019-06-19 2019-08-30 广东健诚高科玻璃制品股份有限公司 一种日用玻璃陶瓷的蒸涂装置、蒸涂涂料及其制备方法

Families Citing this family (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
CN104152870A (zh) * 2004-05-20 2014-11-19 阿克佐诺贝尔股份有限公司 用于固体化学制品持续蒸汽发送的起泡器
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
EP1672715A1 (de) * 2004-12-17 2006-06-21 Applied Films GmbH & Co. KG Vorrichtung für die Beschichtung eines Substrats
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US7485338B2 (en) * 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
WO2008045972A2 (en) * 2006-10-10 2008-04-17 Asm America, Inc. Precursor delivery system
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
KR101113328B1 (ko) * 2009-12-30 2012-03-13 주식회사 하이닉스반도체 반도체소자의 도전막 형성방법
US8703103B2 (en) * 2010-02-05 2014-04-22 Air Products And Chemicals, Inc. Volatile imidazoles and group 2 imidazole based metal precursors
US8551609B2 (en) 2010-04-27 2013-10-08 Ppg Industries Ohio, Inc. Method of depositing niobium doped titania film on a substrate and the coated substrate made thereby
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI458843B (zh) * 2011-10-06 2014-11-01 Ind Tech Res Inst 蒸鍍裝置與有機薄膜的形成方法
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
KR20210135341A (ko) 2012-05-31 2021-11-12 엔테그리스, 아이엔씨. 배취식 침착을 위한 고 물질 플럭스를 갖는 유체의 소스 시약-기반 수송
DE102012210332A1 (de) * 2012-06-19 2013-12-19 Osram Opto Semiconductors Gmbh Ald-beschichtungsanlage
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013109696B3 (de) * 2013-09-05 2015-02-26 Von Ardenne Gmbh Beschichtungsverfahren und Beschichtungsvorrichtung
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR101592250B1 (ko) 2014-08-04 2016-02-05 주식회사 엔씨디 가스공급장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) * 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
JP7376278B2 (ja) 2018-08-16 2023-11-08 エーエスエム・アイピー・ホールディング・ベー・フェー 固体原料昇華器
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
US20240052484A1 (en) 2019-10-04 2024-02-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Supply system for low volatility precursors
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
KR20220089052A (ko) * 2020-12-21 2022-06-28 삼성전자주식회사 반응 가스 공급 시스템, 이를 포함하는 원자층 증착 장치, 및 이를 이용해서 기판을 처리하는 방법
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4393013A (en) * 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US4146657A (en) * 1976-11-01 1979-03-27 Gordon Roy G Method of depositing electrically conductive, infra-red reflective, transparent coatings of stannic oxide
AU530905B2 (en) * 1977-12-22 1983-08-04 Canon Kabushiki Kaisha Electrophotographic photosensitive member
US4619844A (en) * 1985-01-22 1986-10-28 Fairchild Camera Instrument Corp. Method and apparatus for low pressure chemical vapor deposition
US4718443A (en) * 1987-02-06 1988-01-12 Conoco Inc. Mass flowmeter apparatus
US5313982A (en) * 1988-07-08 1994-05-24 Tadahiro Ohmi Gas supply piping device for a process apparatus
JPH0644986B2 (ja) * 1988-05-08 1994-06-15 忠弘 大見 プロセスガス供給配管装置
US5456945A (en) * 1988-12-27 1995-10-10 Symetrix Corporation Method and apparatus for material deposition
JP2773893B2 (ja) * 1989-03-22 1998-07-09 三菱電機株式会社 混合物薄膜形成装置
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US5271957A (en) * 1992-06-18 1993-12-21 Eastman Kodak Company Chemical vapor deposition of niobium and tantalum oxide films
DE4236324C1 (zh) * 1992-10-28 1993-09-02 Schott Glaswerke, 55122 Mainz, De
JP2889098B2 (ja) * 1993-10-13 1999-05-10 株式会社本山製作所 特定ガスの供給制御装置
US5749389A (en) * 1993-12-22 1998-05-12 Liquid Air Corporation Purgeable connection for gas supply cabinet
US5492724A (en) * 1994-02-22 1996-02-20 Osram Sylvania Inc. Method for the controlled delivery of vaporized chemical precursor to an LPCVD reactor
JP2996101B2 (ja) * 1994-08-05 1999-12-27 信越半導体株式会社 液体原料ガスの供給方法および装置
US5614247A (en) * 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
JP2565146B2 (ja) * 1994-12-26 1996-12-18 日本電気株式会社 液体定量輸送装置
US5925189A (en) * 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
US5744192A (en) * 1996-11-08 1998-04-28 Sharp Microelectronics Technology, Inc. Method of using water vapor to increase the conductivity of cooper desposited with cu(hfac)TMVS
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
KR100246155B1 (ko) * 1997-07-28 2000-04-01 최형수 알루미늄 화학 증착법을 위한 새로운 아마이도 알란 전구체
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
JPH11117070A (ja) 1997-10-14 1999-04-27 Nissan Motor Co Ltd 化学的気相成長装置
JP3633763B2 (ja) * 1997-10-20 2005-03-30 株式会社荏原製作所 気化装置
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6037001A (en) * 1998-09-18 2000-03-14 Gelest, Inc. Method for the chemical vapor deposition of copper-based films
US6596085B1 (en) * 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108699688A (zh) * 2016-04-26 2018-10-23 乔治洛德方法研究和开发液化空气有限公司 前体供应***和前体供应方法
CN108699688B (zh) * 2016-04-26 2020-04-24 乔治洛德方法研究和开发液化空气有限公司 前体供应***和前体供应方法
TWI719192B (zh) * 2016-04-26 2021-02-21 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 前驅物之供給系統及前驅物之供給方法
CN110183111A (zh) * 2019-06-19 2019-08-30 广东健诚高科玻璃制品股份有限公司 一种日用玻璃陶瓷的蒸涂装置、蒸涂涂料及其制备方法
CN110183111B (zh) * 2019-06-19 2024-02-02 广东健诚高科玻璃制品股份有限公司 一种日用玻璃陶瓷的蒸涂装置、蒸涂涂料及其制备方法

Also Published As

Publication number Publication date
DE50105618D1 (de) 2005-04-21
EP1264002A1 (de) 2002-12-11
WO2001059176A1 (de) 2001-08-16
ATE291105T1 (de) 2005-04-15
DE10005820C1 (de) 2001-08-02
US20050132959A1 (en) 2005-06-23
CA2399477A1 (en) 2001-08-16
AU2001228504A1 (en) 2001-08-20
CN1234908C (zh) 2006-01-04
JP2003527481A (ja) 2003-09-16
JP4772246B2 (ja) 2011-09-14
US20030145789A1 (en) 2003-08-07
HK1052031A1 (en) 2003-08-29
US7413767B2 (en) 2008-08-19
TW527435B (en) 2003-04-11
EP1264002B1 (de) 2005-03-16

Similar Documents

Publication Publication Date Title
CN1234908C (zh) 气体供给装置用于将低蒸气压母体导入cvd-涂层设备的用途
EP2274457B1 (en) Apparatus and methods for deposition reactors
CN102174708B (zh) Iii族复合氮化物半导体结构的外延生长
US20080220164A1 (en) Feed device for a precursor
US5540777A (en) Aluminum oxide LPCVD system
US20050081787A1 (en) Apparatus and method for supplying a source, and method of depositing an atomic layer using the same
JP2011518256A5 (zh)
CN102369589A (zh) 在基板上成长薄膜的方法及装置
US10731252B2 (en) Apparatus and method for coating specimens
US5900279A (en) Processes for the chemical vapor deposition and solvent used for the processes
US5599732A (en) Method for growing III-V semiconductor films using a coated reaction chamber
EP1113089A1 (en) MOCVD of lead zirconate titanate films
CN101153385B (zh) 等离子体增强化学气相淀积方法
JPH0927455A (ja) 半導体基板の製造方法と原料ガスの供給装置
CN101074478A (zh) 形成cvd膜的方法
CN1258000C (zh) 化学原料新型配送***
KR0159632B1 (ko) 분말형 기화소스용 화학기상증착장치 및 그 방법
WO2019083761A1 (en) LIQUID PRECURSOR FEEDING SYSTEM
US20040175947A1 (en) Method for growing thin films

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: AUER LIGHTING GMBH

Free format text: FORMER OWNER: SCHOTT GLAS

Effective date: 20110422

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; FROM: MAINZ, GERMANY TO: BAD GANDERSHEIM, GERMANY

TR01 Transfer of patent right

Effective date of registration: 20110422

Address after: German Bart Gander J Haim

Patentee after: Auer Light GmbH

Address before: Germany Mainz

Patentee before: Schott Glas

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20060104