CN117063269A - 在高温沉积序列中操作的低温基座的传导冷却 - Google Patents

在高温沉积序列中操作的低温基座的传导冷却 Download PDF

Info

Publication number
CN117063269A
CN117063269A CN202280024590.4A CN202280024590A CN117063269A CN 117063269 A CN117063269 A CN 117063269A CN 202280024590 A CN202280024590 A CN 202280024590A CN 117063269 A CN117063269 A CN 117063269A
Authority
CN
China
Prior art keywords
stem
base
heater
susceptor
loop
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280024590.4A
Other languages
English (en)
Inventor
盖瑞·B·利德
拉维·韦兰基
杰夫·克莱文杰
维纳亚卡拉迪·古拉巴尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN117063269A publication Critical patent/CN117063269A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

基座包括基底部分、杆部,以及设置在该基底部分中的加热器。杆部具有与基底部分的中心区域附接的第一端。加热器包括被设置在基底部分的中心区域的第一回路。第一回路的第一周长小于或等于杆部的第一端的第二周长。

Description

在高温沉积序列中操作的低温基座的传导冷却
相关申请的交叉引用
本申请要求于2021年3月25日提交的印度临时申请No.202141012976的优先权。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开整体是关于衬底处理***,更具体而言是关于在高温沉积序列中操作的低温基座的传导冷却。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理工具通常包括多个站,在所述多个站中在例如半导体晶片的衬底上执行沉积、蚀刻及其他处理。可在衬底上执行的处理示例包括但不限于化学气相沉积(CVD)处理、化学增强等离子体蒸汽沉积(CEPVD)处理、等离子体增强化学气相沉积(PECVD)处理、溅镀物理气相沉积(PVD)处理、原子层沉积(ALD)及等离子体增强ALD(PEALD)。可在衬底上执行的额外处理示例包括但不限于蚀刻(例如,化学蚀刻、等离子体蚀刻、反应性离子蚀刻等)及清洁处理。
在处理期间,衬底配置在衬底支撑件上,例如站中的基座上。在沉积期间,将包括一种或更多前驱物的气体混合物导入站中,并且可任选地点燃等离子体以启动化学反应。在蚀刻期间,将包括蚀刻气体的气体混合物导入站中,并且可任选地点燃等离子体以启动化学反应。受计算机控制的机器人通常会以衬底待进行处理的顺序将衬底从一站转移至另一站。
在ALD中,气相化学处理依序在材料的表面上(例如,衬底(例如,半导体晶圆)的表面)沉积薄膜。绝大多数的ALD反应使用至少二种被称作前驱物(反应物)的化学品,所述前驱物是一次一种前驱物以依序且自限制的方式与该材料的表面反应。通过反复暴露至不同的前驱物,薄膜逐渐沉积在该材料上表面上。热ALD(T-ALD)在加热站中进行。该站是通过使用真空泵及受控制的惰性气体流而维持在次大气压力。待涂覆ALD膜的衬底放置在站中,且允许在开始进行ALD处理之前与该站的温度平衡。
发明内容
基座包括基底部分,杆部,以及布置在所述基底部分的加热器。所述杆部具有与所述基底部分的中心区域附接的第一端。所述加热器包括设置在所述基底部分的所述中心区域中的第一回路。所述第一回路的第一周长小于或等于所述杆部的所述第一端的第二周长。
在其他特征中,所述杆部包括锥形部分以及圆柱形部分。所述锥形部分具有所述第一端及第二端,所述第一端与所述基底部分附接,而所述第二端具有比所述第一端更小的直径。所述圆柱形部分具有所述更小的直径,且延伸自所述锥形部分的所述第二端。
在其他特征中,所述杆部包括第一部分和第二部分。所述第一部分具有所述第一端及第二端,所述第一端与所述基底部分附接,而所述第二端具有比所述第一端更小的横截面积。所述第二部分具有所述更小的横截面积,且延伸自所述第一部分的所述第二端。
在另一特征中,所述杆部包括厚度介于0.25英寸与0.35英寸之间的壁。
在另一特征中,所述加热器包括第二回路,所述第二回路围绕着所述第一回路且具有第三周长,所述第三周长大于所述杆部的所述第一端的所述第二周长。
在另一特征中,所述加热器包括第二回路,所述第二回路与所述第一回路同心,且具有比所述杆部的所述第一端的所述第二周长更大的圆周。
在另一特征中,所述加热器包括第二回路,所述第二回路与所述第一回路同心,且其直径是所述第一回路的三倍。
在另一特征中,所述加热器包括第二回路,所述第二回路与所述第一回路同心且具有一直径,所述直径为所述基座的外直径的五分之四。
在另一特征中,所述锥形部分从所述第一端的下倾角度相对于所述杆部的高度为介于25度与30度之间。
在另一特征中,所述锥形部分的第一高度为所述杆部的第二高度的三分之一。
在另一特征中,所述杆部为整体式的(monolithic)。
在另一特征中,所述杆部为Y形。
在另一特征中,所述杆部为圆柱形。
在另一特征中,所述第一部分为杯形。
在另一特征中,所述第一部分具有多边形的形状。
在另一特征中,基座还包括冷却组件,所述冷却组件安装至所述杆部。
在另一特征中,基座包括升降组件,所述升降组件附接至所述冷却组件,以将所述基座沿着所述杆部的高度移动。
在另一特征中,基座包括基底部分和杆部。所述基底部分包括加热器,所述加热器具有被设置在所述基底部分的中心区域处的第一回路,且所述加热器包括围绕着所述第一回路的第二回路。所述杆部具有第一端,所述第一端附接至所述基底部分的所述中心区域。所述加热器的所述第一回路的第一周长小于或等于所述杆部的所述第一端的第二周长。所述第二回路的第三周长大于所述杆部的所述第一端的所述第二周长,且小于所述基底部分的第四周长。
在另一特征中,所述杆部包括厚度介于0.25英寸与0.35英寸之间的壁。
在另一特征中,基座还包括冷却组件,所述冷却组件安装至所述杆部的第二端。
本公开的进一步适用领域将从详细描述、权利要求和附图中变得显而易见。详细描述和具体示例仅用于说明目的,并不用于限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1示意性显示包括用于处理衬底的多个站的衬底处理工具的示例;
图2显示了包括配置以处理衬底的站的衬底处理***示例;
图3显示了用于在图1的衬底处理工具中的多个站之间传输衬底的环组件示例;
图4显示了无锥状杆部的基座示例的侧向横截面图;
图5显示了具有锥状杆部的基座示例的侧向横截面图;
图6显示了在图4的基座中使用的加热器示例的平面图;
图7显示了在图5的基座中使用的加热器示例的平面图;
图8示意性显示了安装组件的示例,该安装组件用于将基座的杆部安装至基座升降组件。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
在包括多个站的一些衬底处理工具中,衬底及环组件是共同作为一单位而循环通过所述站。在一些处理中,所述站的其中一者(此后称为第一站)是在比其他站更低的温度下进行操作。当该环组件从较热的站转移至较冷的站(即,该第一站)时,相对大量的热被该环组件转移至该较冷的站。一般而言,安装组件(其安装在基座的杆部上且附接至基座升降组件)是经冷却的(例如,使用冷却剂、对流冷却或其他方法),以从该基座尽可能地引走热。替代或额外地,可改变比例-积分-微分(PID)控制器(其是用于控制基座中的加热器)的各种设定,以减低由于控制的下冲(under-shoot)及过冲(over-shoot)而引起的加热。
然而,对于一些处理来说,这些技术允许第一站的温度设定点大约为220℃而不是约170℃的期望设定点,伴随着其他站在例如约445°C进行操作。这些处理所用的标准基座为例如约445℃的温度设定点所设计。这些基座具有加热器及杆部,该杆部是经设计以容纳对于站的较大表面损失,以及最小化杆部的热损失。然而,在较低温度时,虽然表面损失是非线性下降的,但杆部损失线性下降,这使得标准基座具有相对冷的中央区域。
为了将第一站的温度设定点降低至大约150℃,且避免基座的中央区域变得相对冷,本公开为所述基座提供新式加热器设计,且为所述基座提供具有变化热传导性及不同横截面的安装杆部。具体而言,该安装杆部在与基座的基底部分附接的顶端处具有喇叭状(也称为锥状)的Y-形轮廓及提高的壁厚度。新式加热器设计包括位于基座的中央处的圆形区域内的内回路,其中该圆的圆周穿过该杆部的Y-形轮廓的二个分支。该新式加热器设计以及该杆部的经提高厚度及横截面允许第一站将其基座保持在期望温度(例如,约150℃),而其他站中的基座相对较高的温度下进行操作。
如详细描述于下,该新式加热器被设计为使热分散,通过将加热器的一部分(内回路)定位在基座杆部的附接半径内,以向该基座各处提供较均匀的径向温度。该新式加热器具有内回路及外回路,该内回路及外回路具有直径,使得该加热器在基座的OD处提供相对少的热,在基座的中央处提供相对多的热。与非喇叭状杆部(其绝大部分是从基座的中央移除热)相比,该杆部在顶端处是呈喇叭状的而允许较均匀的热分布,其中热是通过传导通过杆部而移除。举例而言,该杆部的喇叭状顶部通常为Y-形,其允许将加热器的内回路设置在该杆部的附接半径内,且允许为了更佳的热均匀性而将热施加至杆部传导区域的内侧。较厚的杆部壁对于安装在杆部的基部处的经冷却安装组件提供提高的热传导性。这些特征部通过保持最小的加热器工作周期以利用闭回路控制提供精确的温度设定点,而允许在较低温度设定点的控制。位于锥状杆部及较厚壁杆部的半径内的加热器部分形成基座,该基座可在与远远较高的设定点下进行操作的其他基座一起运作时提供低设定点的均匀温度。本公开的这些及其他特征将进一步详细描述于下。
本公开组织如下。首先,为了提供背景,参照图1显示及描述包括多个站的衬底处理工具示例;以及参照图2显示及描述包括配置以处理衬底的站的衬底处理***示例。参照图3显示及描述用于在衬底处理工具中的多个站之间传输衬底的环组件示例。参照图4及图6显示及描述标准基座及加热器的示例。参照图5及图7显示及描述根据本公开而设计的基座及加热器示例。考虑到完整性,参照图8显示及描述用于将基座的杆部安装至基座升降组件的安装组件示例。
图1示意性显示衬底处理工具10的示例。仅举例而言,衬底处理工具10包括四个(或任意数量)站:第一站12、第二站14、第三站16及第四站18。举例而言,所述站12、14、16及18的各者可配置以在衬底上执行一种或更多个别处理。转移机器人20将衬底从第一站12转移至第二站14、从第二站14转移至第三站16,以及从第三站16转移至第四站18以进行处理。在第四站18处理衬底后,转移机器人20将该衬底转移至第一站12。将该衬底从第一站12移除、将新的衬底装载至第一站12中,并且重复进行上述循环。
衬底通常是伴随着环组件(显示于图3中)而从一站转移至另一站。转移机器人20将衬底及环组件作为一个单位而从一站转移至另一站。环组件为环状的,且包括至少三个指状部,所述指状部从环组件的环状部分垂直朝下延伸,接着径向朝内延伸。在转移期间,衬底位于所述指状部上。当该环组件及衬底下降至在站中的基座上时,该衬底位于该基座上,且该环组件位于该基座上,使得所述指状部不接触该衬底。
在对于衬底处理工具10中的衬底执行的一些处理中,第一站12中的基座的温度设定点低于其他三个站14、16及18中的基座的温度设定点。举例而言,第一站12中的基座的温度设定点可约为150℃,而其他三个站14、16及18中的基座的温度设定点可约为450℃。环组件是由陶瓷材料所制成,且在衬底处理期间从基座吸收热。因此,当衬底及环组件从第四站18转移至第一站12时,该环组件远比第一站12中的基座的温度设定点更热。因此,该环组件将相对大量的热转移至第一站12中的基座。被该环组件转移至基座的热使该基座的温度增加至高于其期望的温度设定点,甚至当基座中的加热器关闭时也是如此。
安装于基座的杆部的经冷却安装组件(显示于图2及图8中)是用于从基座引走(即,抽出)热。然而,被该经冷却安装组件所引走的热的量受限于基座的杆部的热传导性。而杆部的热传导性取决于杆部壁的厚度。本公开提供一杆部设计,其包括厚壁(大约的尺寸示例提供于下)。另外,与基座的基底部分附接的该杆部的上部是呈喇叭状的(即,该杆部的该上部径向朝外延伸)且像是漏斗般朝下渐缩。一般而言,杆部的上部具有字母Y的形状(请参见图5)。该Y-形杆部允许较均匀的热分布,其中该热是通过传导通过杆部而移除。
另外,为了防止基座的中心区域变冷,本公开提供具有内回路的加热器,其中该内回路设置在基座的圆形区域中,而该圆形区域位于字母Y的二个分支之间(请参见图5及图7)。换言之,该加热器的内回路位于一圆中,该圆具有一圆周且该圆周位于Y-形杆部的二个分支上或与其相交。该加热器的内回路所在的该圆的直径小于或等于该Y-形杆部的二个分支之间的距离。因此,杆部的顶端的横截面积限制该加热器的内回路。厚杆部壁、Y-形杆部,以及具有配置在该Y-形杆部的横截面积内的内回路的加热器在温度设定点较低的基座与设定点远远较高的其他基座一起操作时,在温度设定点较低的该基座中提供均匀温度。
图2显示衬底处理***100的示例,该衬底处理***100包括站102,配置以使用例如热原子层沉积(T-ALD)或化学气相沉积(CVD)的处理来处理衬底。举例而言,站102可使用作为图1显示的衬底处理工具10的站12、14、16及18的任何者。
站102包括衬底支撑件(例如,基座)104。基座104包括基底部分106及杆部108。在处理期间,衬底110及环组件111设置在基座104的基底部分106上,且使用真空夹持(未显示)将衬底110夹持于基座104的基底部分106。杆部108大致上为Y-形。加热器112设置在基底部分106中,以在处理期间加热衬底110。Y-形杆部108及加热器112是进一步详细参照图5及图7而显示及描述于下。一个或更多温度传感器114设置在基底部分106中,以感测基座104的温度。
站102包括气体分布装置120(例如,喷头),以将处理气体引进且分布于该站102中。该气体分布装置(此后称为喷头)120是由例如铝的金属或合金所制成。该喷头120可包括基底部分122及杆部124。杆部124包括与站102的顶板连接的一端。基底部分122大致为圆柱形的且从杆部124的相反端径向朝外延伸,其中该杆部124的相反端位于与站102的顶板分隔开的位置处。基底部分122的面向衬底表面包括面板126。面板126包括多个输出口或特征部(例如,狭槽或贯穿孔),处理气体通过该多个输出口或特征部而流入站102。虽然未显示,但喷头120还可包括加热器。此外,喷头120还可包括一个或更多温度传感器128,以感测喷头120的温度。
气体输送***130包括一个或更多气体来源132-1、132-2、…、及132-N(统称为气体来源132),其中N为正整数。气体来源132是通过阀134-1、134-2、…、及134-N(统称为阀134)以及质量流量控制器136-1、136-2、…、及136-N(统称为质量流量控制器136)而连接至歧管140。歧管140的输出是供应至站102。气体来源132可供应处理气体、清洁气体、吹净气体、惰性气体等至站102。
冷却组件150(进一步详细显示于图8中)安装在基座104的杆部108的基部处。冷却剂供应部152通过阀154供应冷却剂(例如,水)至冷却组件150。流动通过冷却组件150的冷却剂从基座104的杆部108引走热,如参照图8而进一步详细解释于下。基座升降组件155(其同样是参照图8而进一步详细显示及描述)附接至冷却组件150。基座升降组件155将基座104相对于喷头120垂直上下移动。
控制器160控制着衬底处理***100的构件。控制器160连接至基座104中的加热器112、喷头120中的加热器,以及基座104及喷头120中的温度传感器114及128。控制器160控制着被供应至加热器112的功率,以控制基座104及衬底110的温度。控制器160还可控制被供应至喷头120中所设置的加热器的功率,以控制喷头120的温度。控制器160通过控制冷却剂供应部152及阀154而控制对于冷却组件150的冷却剂供应,如参照图8所进一步详细描述。控制器160控制着基座升降组件155,以控制基座104(及衬底110)与喷头120之间的间隙。
真空泵158在衬底处理期间保持站102内部的次大气压力。阀156连接至站102的排气埠口。阀156及真空泵158用于控制站102中的压力,且经由阀156而从站102抽空反应物。控制器160控制着真空泵158及阀156。
图3显示环组件111的示例。图3显示环组件111及衬底110的侧向横截面图。该环组件111包括环状部分200及多个指状部202。指状部202从环状部分200垂直朝下延伸,接着径向朝内延伸。当衬底110从图1显示的衬底处理工具10中的一站转移至另一站时,衬底110位于环组件111的指状部202上。当环组件111及衬底110下降至站102中的基座104上时,衬底110位于基座104上,且环组件111位于基座104上,使得指状部202在处理期间不接触衬底110。
图4-图7显示二个基座及个别加热器的示例,其中一个基座无喇叭状杆部(图4),而另一基座具有喇叭状杆部(图5)。各种特征部的非限制性尺寸示例(例如,下述组件的直径及厚度)是在描述图4-图7后而提供,以免扰乱各种特征部的叙述流程。
图4显示无锥状杆部的基座250的侧向横截面图。基座250包括基底部分252及杆部254。基底部分252包括至少三个金属板270、272及274,所述金属板是被铜焊或扩散接合在一起。虽然未显示,金属板270包括用于真空夹持衬底(例如,图2显示的衬底110)的特征部。杆部254为圆柱形、金属性的,且以直角附接至基底部分252(至金属板274)。杆部254包括圆柱形壁256。壁256具有厚度T1。杆部254具有直径D1。加热器260设置在金属板272与金属板274之间的基底部分252中。举例而言,加热器260包括电性隔绝的的电阻式组件。控制器160(图2显示)控制着被供应至加热器260的功率。如图6所显示,加热器260包括内回路(图6显示的组件264),该内回路位于杆部254的直径D1的外侧。
图5显示具有喇叭状或锥状杆部的基座300的侧向横截面图。举例而言,基座300可使用在图2显示的站102中,以及图1显示的衬底处理工具10的站12、14、16及18中。基座300包括基底部分302及杆部304。基底部分302包括至少三个金属板330、332及334,所述金属板是被铜焊或扩散接合在一起。虽然未显示,金属板330包括用于真空夹持衬底(例如,图2显示的衬底110)的特征部。
杆部304为金属性且大致为Y-形的。举例而言,杆部304包括第一锥形部分310(上部)及第二圆柱形部分312(下部)。锥形部分310在附接至基底部分304(至金属板334)的第一端处具有第一直径。锥形部分310在附接至圆柱形部分312的第二端处具有第二直径,该圆柱形部分312具有第二直径,该第二直径小于该第一直径。
杆部304(即,锥形部分310及圆柱形部分312)包括壁306。壁306具有厚度T2,该厚度T2大于T1。加热器320设置在基底部分302(介于金属板332与金属板334之间)中。举例而言,加热器320包括电性隔绝的电阻式组件。控制器160(图2显示)控制着被供应至加热器320的功率。如图7所显示,加热器320具有内回路(图7显示的组件324),该内回路位于锥形部分310的第一直径(D2)的内侧。
杆部304的上部的形状不必为锥形,并可为任何其他形状。举例而言,杆部304的上部也可为圆柱形的,其直径大于下方的圆柱形部分312。其他形状的非限制性示例包括杯形、多边形等。此外,虽然锥形部分310的壁是被显示以角度(α)而成锥形,但该壁可为弯曲的。一般而言,杆部304的上部从底端(其附接至圆柱形部分312)至顶端(其附接至基座300的基底部分302)是逐渐径向朝外延伸的。不论形状为何,与基底部分302附接的杆部304的上端的横截面积限制加热器320的内回路(图7显示的组件324)。
此外,杆部304可为单一形状,而不是杆部304的上部及下部为不同形状。杆部304的单一形状的非限制性示例包括圆柱形,多边形等。不论形状为何,杆部304的单一形状的横截面积限制加热器320的内回路(图7显示的组件324)。
此外,在一些示例中,杆部304可为整体式的。换言之,锥形部分310及圆柱形部分312可为单个元件。或者,杆部304的锥形部分310及圆柱形部分312可以是单独的元件。
图6显示在基座250中使用的加热器260的平面图。加热器260包括线圈262,该线圈262分布遍及基座250的基底部分252,如图所示。举例而言,加热器260包括内回路264及外回路266,该内回路264具有直径d1而该外回路266具有直径d2。内回路264的直径d1大于基座250的杆部254的直径D1。外回路266的直径d2使外回路266几乎延伸至基座250的基底部分252的外直径D。外回路266的直径d2与基座250的基底部分252的外直径D之间的差值为d3。这些直径的尺寸示例提供于下。
图7显示在基座300中使用的加热器320的平面图。加热器320包括线圈322,该线圈322分布遍及基座300的基底部分302,如图所示。举例而言,加热器320包括内回路324及外回路326,该内回路324具有直径d4而该外回路326具有直径d5。内回路324的直径d4小于或等于基座300的杆部302的上锥形部分310的直径D2。内回路324的直径d4还小于基座250中使用的加热器260的内回路264的直径d1。一般而言,无论杆部304的上部的形状为何,内回路324的周长(或横截面积)小于或等于与基底部分302附接的杆部304的上端的周长(或横截面积)。
外回路326的直径d5使外回路326不会延伸靠近基座300的基底部分302的外直径D。外回路326的直径d5小于基座250中使用的加热器260的外回路266的直径d2。外回路326的直径d5与基座300的基底部分302的外直径D之间的差值为d6,其中d6大于d3。
以下为上述各种直径及厚度的尺寸的非限制性示例。举例而言,基座250及基座300的外直径D可为14英寸。以下的其他尺寸的示例使用D=14英寸作为参照而提供。举例而言,基座250的杆部254的壁256的厚度T1可为T1=0.09至0.1英寸。举例而言,基座300的杆部304的壁306的厚度T2可为T2=0.25至0.35英寸。
举例而言,基座250的杆部254的直径D1(其也是基座300的杆部304的圆柱形部分312的直径,以及杆部304的锥形部分310的下端的直径)可为D1=3英寸。举例而言,杆部304的锥形部分310的上端的直径D2可为D2=4.0至4.5英寸。因此,锥形部分310的上端的直径D2比上该锥形部分310的下端的直径D1的比率可约为4:3。
一般而言,无论杆部304的上部的形状为何(即,锥形、杯形等),杆部304的上部的上端的横截面积比上该杆部304的上部的下端的横截面积的比率可约为4:3。此外,举例而言,该锥形部分310从上端(其附接至基底部分302)至下端(其附接至圆柱形部分312)的下倾的角度相对于杆部304的垂直轴(即,高)可约为25-30度。
若基座300的杆部304的上部为多边形,与基底部分302附接的杆部304的上部的横截面的面积足以限制加热器320的内回路324。若基座300的杆部304为单一圆柱形组件,则该单一圆柱形组件的直径可为D2=4.0至4.5英寸。若基座300的杆部304为单一多边形组件,则杆部304的横截面积足以限制加热器320的内回路324。
此外,举例来说,加热器320的内回路324的直径d4小于或等于锥形部分310的上端的直径D2,其中D2=4.0至4.5英寸;加热器320的外回路326的直径d5可约为11英寸。因此,加热器320的内回路324的直径d4比上外回路326的直径d5的比率可约为1:3。
另外,举例而言,杆部304的高度(或长度)可约为7英寸,而杆部304的上部的高度可约为2.5至3英寸。因此,举例而言,杆部304的上部的高度可约为杆部304的高度的三分之一。此外,举例而言,加热器260的外回路266的直径d2可约为12.5英寸;而加热器320的外回路326的直径d5可约为11英寸。因此,举例而言,加热器260的外回路266与基座250的外直径D之间的距离d3可约为(14-12.5)=1.5英寸,而加热器320的外回路326与基座300的外直径D之间的距离d6可约为(14-11)=3英寸。此外,例如,加热器320的外回路326的直径d5可约为基座300的外直径D的五分之四或80%。
图8示意性显示安装组件400的示例,该安装组件400是用于将基座300的杆部304安装至基座升降组件402。安装组件400(图2中显示为组件150)安装至杆部304的圆柱形部分312的基部。举例而言,安装组件400可包括一个或更多夹具(未显示)。此外,安装组件400包括管件或导管404,可通过该管件或导管404循环冷却剂(例如,水)。或者,虽然未显示,但可使用其他冷却配置,例如对流冷却。流经安装组件400的冷却剂从基座300的杆部304引走热。因此,安装组件400也可被称作冷却组件。
具体而言,安装组件400中的导管404经由阀154(图2显示)从冷却剂供应部152接收冷却剂。经过导管404的冷却剂流由控制器160(也显示于图2)所控制。举例而言,控制器160可基于基座300的温度而控制流经导管404的冷却剂的流率及/或温度。通过控制流经导管404的冷却剂的流率及/或温度,可控制被冷却剂通过传导通过杆部304而从基座300引走的热的量。杆部304的厚壁306及Y-形允许较均匀的热分布,其中该热是通过传导通过杆部304而移除。
基座升降组件402附接至安装组件400。基座升降组件402(图2中显示为组件156)将基座300沿着垂直轴移动,该垂直轴与基座300的基底部分302所在的平面垂直。举例而言,基座升降组件402包括步进器马达410及滚珠螺杆传动装置412,该滚珠螺杆传动装置412包括滚珠螺杆及线性轴承(未显示)。控制器160控制步进器马达410,以将基座300相对于喷头120(图2显示)垂直上下移动。
安装组件400提供独立于基座升降组件402的冷却。换言之,对于安装组件400所提供的冷却来说,基座升降组件402是非必要的。反而,通过将导管404添加至安装组件400(其中安装组件400通常是与基座升降组件402一起使用),该安装组件400用于如上述提供冷却的额外目的。
前面的描述本质上仅仅是说明性的,绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改方案将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方案中,控制器是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出与具体***连接或通过接口连接的工具和其他转移工具和/或装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或***上或针对半导体晶片或***执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机***的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。
在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到***。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。
因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (20)

1.一种基座,其包括:
基底部分;
杆部,其具有与所述基底部分的中心区域附接的第一端;以及
加热器,其设置在所述基底部分中,所述加热器包括设置在所述基底部分的所述中心区域中的第一回路,其中所述第一回路的第一周长小于或等于所述杆部的所述第一端的第二周长。
2.根据权利要求1所述的基座,其中所述杆部包括:
锥形部分,其具有所述第一端及第二端,所述第一端与所述基底部分附接,而所述第二端具有比所述第一端更小的直径;以及
圆柱形部分,其具有所述更小的直径,且延伸自所述锥形部分的所述第二端。
3.根据权利要求1所述的基座,其中所述杆部包括:
第一部分,其具有所述第一端及第二端,所述第一端与所述基底部分附接,而所述第二端具有比所述第一端更小的横截面积;以及
第二部分,其具有所述更小的横截面积,且延伸自所述第一部分的所述第二端。
4.根据权利要求1所述的基座,其中所述杆部包括厚度介于0.25英寸与0.35英寸之间的壁。
5.根据权利要求1所述的基座,其中所述加热器包括第二回路,所述第二回路围绕着所述第一回路且具有第三周长,所述第三周长大于所述杆部的所述第一端的所述第二周长。
6.根据权利要求1所述的基座,其中所述加热器包括第二回路,所述第二回路与所述第一回路同心,且具有比所述杆部的所述第一端的所述第二周长更大的圆周。
7.根据权利要求1所述的基座,其中所述加热器包括第二回路,所述第二回路与所述第一回路同心,且其直径是所述第一回路的三倍。
8.根据权利要求1所述的基座,其中所述加热器包括第二回路,所述第二回路与所述第一回路同心且具有一直径,所述直径为所述基座的外直径的五分之四。
9.根据权利要求2所述的基座,其中所述锥形部分从所述第一端的下倾角度相对于所述杆部的高度为介于25度与30度之间。
10.根据权利要求2所述的基座,其中所述锥形部分的第一高度为所述杆部的第二高度的三分之一。
11.根据权利要求2所述的基座,其中所述杆部为整体式的(monolithic)。
12.根据权利要求1所述的基座,其中所述杆部为Y形。
13.根据权利要求1所述的基座,其中所述杆部为圆柱形。
14.根据权利要求3所述的基座,其中所述第一部分为杯形。
15.根据权利要求3所述的基座,其中所述第一部分具有多边形的形状。
16.根据权利要求1所述的基座,还包括冷却组件,所述冷却组件安装至所述杆部。
17.根据权利要求16所述的基座,还包括升降组件,所述升降组件附接至所述冷却组件,以将所述基座沿着所述杆部的高度移动。
18.一种基座,其包括:
基底部分,其包括加热器,所述加热器具有被设置在所述基底部分的中心区域处的第一回路,且所述加热器包括围绕着所述第一回路的第二回路;以及
杆部,其具有第一端,所述第一端附接至所述基底部分的所述中心区域;
其中所述加热器的所述第一回路的第一周长小于或等于所述杆部的所述第一端的第二周长;以及
其中所述第二回路的第三周长大于所述杆部的所述第一端的所述第二周长,且小于所述基底部分的第四周长。
19.根据权利要求18所述的基座,其中所述杆部包括厚度介于0.25英寸与0.35英寸之间的壁。
20.根据权利要求19所述的基座,还包括冷却组件,所述冷却组件安装至所述杆部的第二端。
CN202280024590.4A 2021-03-25 2022-03-21 在高温沉积序列中操作的低温基座的传导冷却 Pending CN117063269A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IN202141012976 2021-03-25
IN202141012976 2021-03-25
PCT/US2022/021078 WO2022203982A1 (en) 2021-03-25 2022-03-21 Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence

Publications (1)

Publication Number Publication Date
CN117063269A true CN117063269A (zh) 2023-11-14

Family

ID=83397826

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280024590.4A Pending CN117063269A (zh) 2021-03-25 2022-03-21 在高温沉积序列中操作的低温基座的传导冷却

Country Status (5)

Country Link
US (1) US20240175134A1 (zh)
KR (1) KR20230158610A (zh)
CN (1) CN117063269A (zh)
TW (1) TW202240020A (zh)
WO (1) WO2022203982A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3925702B2 (ja) * 2002-03-18 2007-06-06 日本碍子株式会社 セラミックヒーター
US20160056032A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
JP7272777B2 (ja) * 2018-10-17 2023-05-12 日本発條株式会社 ヒータ
WO2020263939A1 (en) * 2019-06-25 2020-12-30 Applied Materials, Inc. Dual-function wafer backside pressure control and edge purge

Also Published As

Publication number Publication date
TW202240020A (zh) 2022-10-16
WO2022203982A1 (en) 2022-09-29
KR20230158610A (ko) 2023-11-20
US20240175134A1 (en) 2024-05-30

Similar Documents

Publication Publication Date Title
CN107591355B (zh) 具有防止电弧和点火并改善工艺均匀性的特征的静电卡盘
KR102360248B1 (ko) 상이한 히터 트레이스 재료를 사용한 적층된 히터
US10153136B2 (en) Hollow RF feed with coaxial DC power feed
WO2018075750A1 (en) Pin lifter assembly with small gap
US10707113B2 (en) End effector assembly for clean/dirty substrate handling
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
US20180090363A1 (en) Lift pin holder with spring retention for substrate processing systems
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
CN116457932A (zh) 包括密封件的基座
US10667379B2 (en) Connections between laminated heater and heater voltage inputs
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
KR20210038993A (ko) 연장된 수명을 갖는 한정 링
US20240200191A1 (en) Backside deposition prevention on substrates
US20240175134A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding
US10764966B2 (en) Laminated heater with different heater trace materials
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
WO2023140941A1 (en) Active temperature control of showerheads for high temperature processes
WO2023076321A1 (en) Modulating thermal conductivity to control cooling of showerhead
WO2024081183A1 (en) Baffle for providing uniform process gas flow on substrate and around pedestal
CN117352444A (zh) 具有清扫机构的主轴和升降销驱动组件
WO2024010887A1 (en) Improved pedestals for substrate processing systems
CN115136279A (zh) 用于衬底处理基座的带内翅片的冷却剂通道
CN116057684A (zh) 使用多个加热区和热孔隙的基座热分布调节

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination