CN1139971C - 具有多层布线的半导体器件的制造方法 - Google Patents

具有多层布线的半导体器件的制造方法 Download PDF

Info

Publication number
CN1139971C
CN1139971C CNB981026613A CN98102661A CN1139971C CN 1139971 C CN1139971 C CN 1139971C CN B981026613 A CNB981026613 A CN B981026613A CN 98102661 A CN98102661 A CN 98102661A CN 1139971 C CN1139971 C CN 1139971C
Authority
CN
China
Prior art keywords
film
silicon oxide
semiconductor device
multilayer wiring
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB981026613A
Other languages
English (en)
Other versions
CN1204142A (zh
Inventor
ʸ������
宇佐美达矢
青木秀充
土屋泰章
Ҳ
山崎进也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of CN1204142A publication Critical patent/CN1204142A/zh
Application granted granted Critical
Publication of CN1139971C publication Critical patent/CN1139971C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在半导体基片上形成具有其介电常数低于氧化硅膜的介电常数的第一绝缘膜;在所说的第一绝缘膜上形成低吸湿和变形薄膜,所述低吸湿和变形薄膜在氧等离子体处理并暴露于剥脱溶液时具有等于或小于氧化硅膜的吸湿和变形度;对所说的低吸湿和变形薄膜制作形成规定的形状;且使用所说的低吸湿和变形薄膜作为掩膜在所说的第一绝缘膜上形成开口。

Description

具有多层布线的半导体器件的制造方法
技术领域
本发明涉及一种具有多层布线的半导体装置的制造方法,且,尤其是涉及一种形成于布线层之间形成的绝缘膜的介电常数减少的半导体器件的制造方法。
背景技术
近年来,已经实现了带精细结构的半导体集成电路的进展。这种进展在带有多层线结构的逻辑电路的半导体集成电路的领域中,具有重要意义。由于金属布线层之间的间隔是微小尺寸,布线电容的增加引起了电信号迅速的减少且由于串音的发生而造成的次品,即在噪声方面,一些信号影响了另外一些信号的现象。由于这些,已经对减少布线层之间形成的绝缘膜的介电常数进行了研究。
例如,在相关社会学术预印本第2版,P654,在第43期应用物理通讯中有关于氢硅氧烷(HSQ)的介电常数的评价(26a-N-6“氢硅氧烷(HSQ)的介电常数的评价”)。在预印本的描述中,通过在减少压力的条件下固化而形成的无机的SOG(在玻璃上旋涂)膜的特定的介电常数为2.7。然而,当进行氧等离子体处理时,特定的介电常数提高到3.9。这是由于,如预印本中所描述的,由于在O2等离子体处理的膜中产生了硅-羟键,因此在HSQ膜中的水含量增加了。
尽管在上述预印本中没有描述半导体器件的制作过程,但应认为按照常规的过程制作上述半导体。将解释用多层布线制作半导体器件的常规过程。图1A至1F显示了按顺序制作半导体器件的常用方法的剖视图。
制作半导体器件的常规方法如图1A所示,在硅基片(图中未显示)上首先形成具有约500毫微米的厚度的一第一氧化硅膜101。接着,在第一氧化硅膜101上有选择地形成一第一铝基金属布线层102。然后,通过操作和退火,在第一氧化硅膜101上形成一具有约400毫微米厚度的一HSQ膜103作为低介电常数膜。此时,第一铝基金属布线层102的上表面涂布有HSQ膜103。在HSQ膜103上连续形成具有厚度约1400毫微米的厚度的一第二氧化硅膜104。然后,为了形成平滑的表面,通过化学机械抛光(CMP)将第二氧化硅膜104减少到约700毫微米薄。在那之后,向第二氧化硅膜104施加抗光蚀剂105。施加的抗光蚀剂105曝光并显影,以使它具有了规定的图形。
接下来,如图1B显示,使用含有碳氟化合物气体对第二氧化硅膜104和HSQ膜103进行蚀刻且使用抗光蚀剂105作为掩膜。因此,在抗光蚀剂105的开口下形成延伸至第一铝基金属布线层102的一接触孔104a。
在那之后,进行O2等离子体处理。此时,与接触孔104a相通的HSQ膜103暴露于O2等离子体,因此在与接触孔104a相通的HSQ膜103的表面产生硅一羟键。然后,如图1C所示,通过剥脱溶液去除抗光蚀剂105。此时,由于与接触孔104a相通的HSQ膜103的表面暴露于剥脱溶液,在表面上形成具有比剩余部分的水含量高的变湿部分106。
然后,如图1D所示,在整个表面上形成作为阻挡金属膜的氮化钛膜107。通过敷层化学汽相淀积(CVD)方法在氮化钛膜107上形成钨膜108。在这种情况下,在接触孔104a内有时形成空隙109。
如图1E中显示,通过钨腐蚀基底法去除形成在第二氧化硅膜104上的钨膜108和氮化钛膜107,因此只有形成在接触孔104a内部的钨膜108和氮化钛107留下未被除去。
如图1F所示,在整个表面上形成一第二铝基金属布线层110。
已确定用这种常规的方法产生的半导体器件具有高的结电阻且在接触孔104a内产生了连接失效。
接下来,将阐述装有通路-布线层的半导体器件的常规制作方法。图2A至2F是显示了按顺序制作半导体器件的常规的方法。首先,如图2A中显示在硅基片(图中未显示)上形成许多基极层(图中未显示)且在基极层的上部形成具有约100毫微米厚度的氮化硅膜111。然后,通过操作和退火,在氮化硅膜111上形成具有约500毫微米厚度的HSQ膜112。在HSQ膜112上形成约100毫微米厚度的氧化硅膜113作为盖膜。
接着,如图2B所示,向氧化硅膜113施加抗光蚀剂膜114,然后,将它曝光并显影以使它具有一预定的图形。
在那之后,如图2C中显示,使用含碳氟化合物气体对氧化硅膜113和HSQ膜112进行刻蚀且利用抗光蚀剂114作为掩膜。接下来,在抗光蚀剂114的开口之下形成延伸至氮化硅膜的通路112a。
然后,进行O2等离子体处理。此时,与通路112a相通的HSQ膜112的表面变性且趋向变湿。然后,如图2D所示,用剥脱溶液去除抗光蚀剂114。此时,由于与通路112a相通的HSQ膜112的表面暴露于剥脱溶液,在表面上形成的变湿的部分115其水含量要高于剩余部分的水含量。
然后,如图2E中所示,用金属有机物化学气相淀积(MOCVD)法在整个表面上形成具有约50毫微米厚度的钛膜116作为阻挡金属膜,随后的步骤是通过化学汽相淀积(CVD)法在整个表面形成具有约500毫微米厚度的铜膜117。
如图2F所示,用化学机械抛光(CMP)处理去除在氧化硅膜113上形成的铜膜117和钛膜116,因此只有形成在通路112a内的铜膜117和钛膜116留下未被去除。
测得以这种方式制备的半导体装置的通路布线层之间的电容。结果,测得的电容和利用普通等离子体氧化膜形成的制备的半导体器件的电容相同。应认为这是由于O2等离子体处理的结果。
有时采用除HSQ膜外的一种低介电常数的膜。在半导体世界月刊,(1997)2月P82-84中题目为“由于碳氟化合物膜可以制备低介电常数可获得刻蚀性能的改进,但仍存在氧等离子体的难题”的文章中描述了使用碳氟化合物作为低介电常数的膜的例子。在此已有技术中,使用由环氟树脂和硅氧烷聚合物组成的具有介电常数为2.5或更少的碳氟化合物膜形成通孔。图3A至图3D显示了按顺序制作半导体器件的常规方法的剖视图。首先,如图3A中所示,在硅基片上(图中未显示)首先形成氧化硅膜121。然后,在第一氧化硅膜121上有选择形成铝基金属布线层122。在整个表面上形成由氧化硅膜组成的衬膜123。接着,在衬膜123上形成氟树脂膜124,且在氟树脂124上形成第二氧化硅膜125。然后,向氟树脂膜124的表面施用抗光蚀剂126,随后进行曝光和显影以完成抗光蚀剂126的图案形成。
接着,如图3B所示,使用抗光蚀剂126作为掩膜对第二氧化硅膜125,氟树脂膜124和衬膜123进行刻蚀。结果,在抗光蚀剂126的开口下形成延伸至第一铝基金属布线层122的通孔124a。
然后,进行O2等离子处理。此时,与通孔124a相通的氟树脂膜124的表面暴露于等离子体。另外,如图3C所示,也通过剥脱溶液去除抗光蚀剂126。在这种情况,通过腐蚀使通孔124a的侧壁形成象弓形似的形状。
尽管没有对在上述步骤之后的剩余步骤进行描述,可以预料将进行以下的步骤。如图3D所示,在整个表面上形成氮化钛膜129作为阻挡金属膜及作为插塞的第二铝基金属布线层127。然而,由于氟树脂膜124的腐蚀,在第二铝基金属布线层127内可能形成空隙128。
通过腐蚀使通孔124a的侧壁形成象弓一样形状的原因是氟树脂膜124暴露于O2等离子体且随后膜中的碳和氧起反应以产生引起氟树脂膜124分解的二氧化碳气体。
如上所示,假如使用具有低介电常数的膜,仅可以获得介电常数的不充分的减少。而且,仍然没有解决在金属层中形成空隙的难题。
发明内容
本发明的目的在于提供一种制备具有多层布线的半导体器件的方法,它可以减少布线层之间的电容并阻止在如接触孔的开口处的结电阻的增加。
按照本发明的一种方式,提供一种制备具有多层布线的半导体器件的方法,它包含:在半导体基片上形成具有其介电常数低于氧化硅膜的介电常数的第一绝缘膜;在所说的第一绝缘膜上形成低吸湿和变形薄膜,所述低吸湿和变形薄膜在氧等离子体处理并暴露于剥脱溶液时具有等于或小于氧化硅膜的吸湿和变形度;对所说的低吸湿和变形薄膜制作形成规定的形状;且使用所说的低吸湿和变形薄膜作为掩膜在所说的第一绝缘膜上形成开口。上述方法中所说的低吸湿和变形薄膜是金属膜。上述方法中所说的低吸湿和变形薄膜是第二绝缘膜。
在本发明中,即使抗光蚀剂用于金属膜或第二绝缘膜的图案形成,进行氧等离子体处理且向施用剥脱溶液以剥脱抗光蚀剂,第一绝缘膜一点没有暴露于氧等离子体和剥脱溶液。因此防止了由第一绝缘膜的吸湿性所引起的介电常数的升高且有效地减少了布线层之间的电容。可阻止了第一绝缘膜的变形。另外,在开口内没有形成空隙,因此阻止了结电阻的增加。
附图说明
图1A至1F是一种按顺序制备半导体器件的常规方法的剖视图。
图2A至2F是另一种按顺序制备半导体器件的常规方法的剖视图。
图3A至3D是按顺序制备半导体器件的又一种常规方法的剖视图。
图4A至4F是本发明的第一实施例的按顺序制备半导体器件的方法的剖视图。
图5A至5F是本发明的第二实施例的按顺序制备半导体器件的方法的剖视图。
图6A至6E是本发明第三实施列的按顺序制备半导体器件的方法的剖视图。
图7A至7E是本发明的第四实施例的按顺序制备半导体器件的剖视图。
图8A至8F是本发明的第五实施例的按顺序制备半导体器件的方法的剖视图。
图9A至9I是本发明的第六实施例的按顺序制备半导体器件的方法的剖视图。
具体实施方式
现在参照附图,对应本发明的实施例来详细说明一种半导体器件的制造方法。图4A至4F显示了按本发明的第一实施例的按顺序制备半导体器件的方法的剖视图。
在本实施例中,如图4A所示,在硅基片上(图中未显示)形成具有厚度约500毫微米的一第一氧化硅膜1。在第一氧化硅膜1上有选择地形成一第一铝基金属布线层2。通过操作和退火在第一氧化硅膜1上形成具有厚度约400毫微米的HSQ膜3作为介电常数低于氧化硅膜的介电常数的膜。此时,第一铝基金属布线层2的上表面涂布有HSQ膜3。在HSQ膜3上形成具有厚度如约1,400毫微米的一第二氧化硅膜4。然后,为了形成光滑的表面,通过CMP将第二氧化硅膜4的厚度减少到薄如约700毫微米。然后通过喷镀在第二氧化硅膜4上形成具有厚度约100毫微米的硅化钨膜5。此后,向硅化钨膜5施用抗光蚀剂6。施用的抗光蚀剂6曝光并显影以使它具有规定的图案。
接下来,如图4B所示使用抗光蚀剂6作为掩膜通过含有氯的气体对硅化钨膜5进行刻蚀。这使得硅化钨膜5的开口5a形成于抗光蚀剂6的开口之下。然后,进行O2等离子体处理且抗光蚀剂暴露于剥脱溶液因此它被去除。
之后,使用硅化钨膜5作为掩膜使用碳氟化合物气体对第二氧化硅膜4和HSQ膜3进行刻蚀。在这种情况下,产生了挥发的六氟化钨气体或四氟化硅气体,硅化钨膜5的分解受到了限制。因此,如图4c所示,在硅化钨膜5的开口5a下面形成延伸至第一铝基金属布线层2的接触孔4a。
然后,如图4D所示,在整个表面上形成具有厚度如约50毫微米的氮化钛膜7作为阻挡金属膜而碳化钨膜5仍保留。在氮化钛膜7上连续形成具有如约500毫微米厚度的钨膜8。
接下来,如图4E所示,用金属CMP(化学机械抛光)去除形成于第二氧化硅膜4上的钨膜8,氮化钛膜7及硅化钨膜5。因此,只有在接触孔4a内部形成的钨膜8和氮化钛膜7留下未被去除。
如图4F所示,在整个表面上形成第二铝基金属布线层9。此后,重复如上的相同处理以完成具有多层布线的半导体器件的制作。
在此实施例中,当进O2等离子体处理时,通过氧气等离子体处理水含量趋于增高的HSQ膜3没有暴露。因此避免了任何结电阻的增加且可以避免了由增加的水含量引起的HSQ膜3的介电常数的增加。
接下来,将解释本发明的第二实施例,图5A至5F显示了按本发明的第二实施例的按顺序制作半导体器件的方法的剖视图。
在本实施例中,如图5A所示,在半导体基片(图中未显示)上形成下层绝缘膜11。通过操作和退火,在下层绝缘膜11上形成其介电常数低于氧化硅膜的介电常数的HSQ膜12作为夹层绝缘膜。在HSQ膜12上按这种顺序沉积具有厚度如约100毫微米的氮化硅膜13和具有厚度如约400毫微米的氧化硅膜14。应该注意到具有吸湿度并在氧等离子体处理中变形并暴露于剥脱溶液的氮化硅膜等于或低于氧化硅膜的吸湿度。然后,在氧化硅膜14上沉积抗光蚀剂15且抗光蚀剂15经受光刻,其中在抗光蚀剂上形成通路布线图形。
接着,如图5B所示,使用八氟四碳(C4F8)气体,一氧化碳气体,和氩气并使用抗刻蚀剂15作为掩膜,通过活性离子刻蚀(RIE)对氧化硅膜进行刻蚀。在这种情况下,氮化硅膜13和氧化硅膜14的刻蚀速率的优选比率,也就是SiN∶SiO2设定为1∶20,因此氮化硅膜起着刻蚀阻止剂的作用。因此,形成了具有约400毫微米浓度的布线通路14a。
接着,进行氧气等离子处理且,如图5c所示,使用有机溶剂去除抗光蚀剂15。此时,HSQ膜12被氧化硅膜13所保护。
如图5D所示,使用三氟甲烷(CHF3)气体通过活性离子刻蚀(RIE)以相同的刻蚀速率对氧化硅膜14、氮化硅膜13及HSQ膜12进行深刻蚀。全部去除氧化硅膜14且同时在HSQ膜12上形成具有深度,如,约400毫微米的通路12a。
可通过以下方法进行用以形成通路12a的刻蚀。首先,氧化硅膜14和氮化硅膜13几乎以相同的刻蚀速率刻蚀,直至氮化硅膜13穿透。然后,以比氮化硅膜13的刻蚀速率大的刻蚀速率对氧化硅膜14进行刻蚀。在这种情况下,由于HSQ膜12的刻蚀速率和氧化硅膜14相同,利用已形成图案的氧化硅膜13作为硬掩膜对HSQ膜12进行刻蚀。当没有HSQ膜而形成相对于氮化硅膜14具有高选择比率的层绝缘膜作为低介电常数的膜,形成的氮化硅膜14形成具有这样厚度的膜以可通过在氮化硅膜13上形成的图案彻底地去除这种膜。这种措施使其有可能通过活性离子刻蚀(RIE)对氮化硅膜13和HSQ膜12进行更加准确的图案形成。
接着,如图5E所示,在整个表面上通过喷镀沉积具有厚度如20毫微米的钛膜16作为阻挡金属膜。接着,在钛膜16上通过喷镀沉积具有厚度如800毫微米的铝膜17作为布线金属膜。
然后,如图5F所示,通过化学机械抛光(CMP)去除形成在氮化硅膜13上的铝膜17和钛膜16,而仅在通路12a内留下这些膜16、17未被除去。
在本实施例中,由于通过氧气等离子体处理,其水含量趋于增高的HSQ膜12在氧气等离子体处理中没有暴露,避免了随着水含量的增加的介电常数的升高。
同时,在本实施例中,氮化硅膜13用作绝缘膜,具有吸湿度且在氧等离子体处理中变形并暴露于剥脱溶液的绝缘膜相同或少于氧化硅膜的吸湿度。特别优选的氮化硅膜是等离子体氮化硅膜。可以将等离子氧化硅膜或等离子氧氮化硅膜可以用作这样一种绝缘膜。
接着,将解释本发明的第三实施例。图6A至6E显示了按本发明的第三实施例的按顺序制作半导体器件的方法的剖视图。在本实施例中,如图6A所示,在半导体基片(图中未显示)上形成具有厚度为0.1微米厚度的一第一氧化硅膜21。通过操作和退火,在第一氧化硅膜21上形成具有厚度为0.4微米且介电常数低于氧化硅膜介电常数的HSQ膜22。在HSQ膜22上沉积具有厚度如0.05微米的一第二氧化硅膜23。另外,在第二氧化硅膜23上沉积具有厚度如0.05微米的W(钨)膜24。接着,将抗光蚀剂25施于钨膜24,且抗光蚀剂25经受光刻,其中在抗光蚀剂上形成通路布线图案。
接着,如图6B所示,使用抗光蚀剂25作为掩膜通过干法刻蚀使钨膜24形成图形。此后,通过O2等离子体的抛光处理和使用有机碱溶液的处理,抗光蚀剂25脱落。此时,也去除了干法刻蚀的残留物。在这个脱落处理中,由于HSQ膜22的表面涂布有第二氧化硅膜23,因此未被损坏。
如图6C所示,使用钨膜24作为掩膜在氧化膜干法刻蚀条件下,对第二氧化硅膜23和HSQ膜22进行刻蚀,直至第一氧化硅膜21曝光。这允许镶嵌法形成通路22a。这时镶嵌法包含在规定区域形成有一孔或一通路的绝缘膜的形成步骤及在孔或通路中安装布线层的步骤。
接着,如图6D所示,在整个表面上形成具有厚度如0.05微米的氮化钛膜26作为阻挡金属膜。接着,在氮化钛膜26上沉积铜膜27作为金属布线膜。
如图6E所示,通过CMP(化学机械抛光)去除在第二氧化硅膜23上形成的氮化钛膜26和钨膜24,因此,只有通路22a内形成的铜膜27和氮化钛膜26留下来被去除。
另外,在本实施例中,由于O2等离子体处理水含量趋于增加的HSQ膜22在氧气等离子体处理中没有暴露,避免了随着水含量的增加的介电常数的增加。
同时,在本发明中,解释了使用CVD法,喷镀法,和利用喷镀或CVD法的镀法用于引晶技术等等的用于埋藏铜膜27和氮化钛膜26的方法,在这些方法中,最先优选具有较高涂布性能和埋入性能的CVD(化学汽相淀积)法。在使用喷镀方法时,需要在高温回流。
而且,可以选择氮化硅膜用作基膜而不是第一氧化硅膜21。在这种情况下,对氧化硅和氮化硅膜之间具有高选择性干法刻蚀条件下,进行刻蚀。在较高的控制条件下在它到达基膜之前终止刻蚀。
作为第二氧化硅膜23,等离子体氧化硅膜其具有减少的水含量,且优选使用高密度等离子体,如ECR法而形成。
另外,给出曝光的例子用以使抗光蚀剂25曝光以形成图形,例如,I-线或G-线暴露于激发物激光,例如氟化氪(KrF),氟化氩(ArF)对EB(电子束)曝光,且对X-射线曝光。
可以形成氮化钛(TiN)膜或钛膜替代钨膜24。
接着,将解释本发明的第四实施例。尽管在第一实施例中使用硅化钨(WSi)膜且在第三实施例中使用钨膜作为掩膜用以在HSQ膜上形成接触孔或通路,可以使用氮化钛(TiN)作为掩膜。应该注意到,当使用硅化钨膜或钨膜没有产生刻蚀沉积,但当使用氮化钛膜(TiN)时,趋于产生一些刻蚀沉积。这个实施例达到了避免这种缺点的目的。图7A至7E是按本发明第四实施例中按顺序制作半导体器件的方法的剖视图。
在本实施例中,如图7A所示,在半导体基片上(图中未显示)形成第一氧化硅膜31。通过操作和退火在第一氧化硅膜31上形成其介电常数低于氧化硅膜的一HSQ膜32。在HSQ膜32上沉积第二氧化硅膜33。另外,在第二氧化硅膜33上沉积氮化钛(TiN)膜34且连续在氮化钛(TiN)膜34上形成具有厚度如0.05微米的第三氧化硅膜38,在这种情况下,第三氧化硅膜38的膜的厚度最好设计成第二氧化硅膜33和HSQ膜32的厚度的总和。将抗光蚀剂35施于第三氧化硅膜38并经受光刻其中在抗光蚀剂上通过形成图形而形成通路-布线图形。
接着,如图7B所述,使用氟一型刻蚀气体和抗光蚀剂35作为掩膜,使第三氧化硅膜38形成图形。另外,使用氯一型刻蚀气体并使用抗光蚀剂35作为掩膜使氮化钛(TiN)膜34形成图形。此后,通过O2等离子体处理等使抗光蚀剂35脱落。此时,由于HSQ膜32表面涂布有第二氧化硅33而未受到损坏。
如图7C所示,通过含氟刻蚀气体去除第三氧化硅膜38。此时,对刻蚀到第一氧化硅膜31程度的第二氧化硅膜33和HSQ膜32曝光。镶嵌法形成通路32a。在本实施例中,当对HSQ膜32刻蚀时,将氧化钛膜34用作掩膜。然而,由于没有直接对氮化钛(TiN)膜34进行刻蚀,干法刻蚀没有产生沉积。
接下来的生产步骤和第三实施例中相同。尤其是,如图7D所示,在整个表面上沉积氮化钛(TiN)膜并接着沉积铜膜37。
如图7E所示,通过CMP(化学机械抛光)去除形成于第二氧化硅膜33上的铜膜37,氮化钛(TiN)膜36,及氮化钛(TiN)膜34,因此只有形成于通路32a内部的铜膜37和氮化钛膜36保留而未被去除。因此完成了镶嵌布线。
接下去,将解释本发明的第五实施例,在本实施例中,通过单一镶嵌法形成一布线层且通过双镶嵌法形成另一个或更多个布线层。图8A至8F显示了接本发明第五实施例的按顺序制备半导体器件的方法的剖视图。
在本实施例中,如图8A所示,与在第三实施例中相同的方式在半导体基片(图中未显示)上形成第一氧化硅膜41,HSQ膜42,第二氧化硅膜43,一氮化钛(TiN)膜46及一铜膜47。
接下来,如图8B所示,通过操作和退火,在整个表面上形成介电常数低于氧化硅膜介电常数的具有如1.2微米厚度的夹层HSQ膜49。在夹层HSQ膜49上沉积具有厚度如0.05微米的第三氧化硅膜50并接着沉积具有厚度如0.05微米的钨膜51。向钨膜51施加第一抗光蚀剂52且在第一抗光蚀剂52上形成具有直径如约0.3微米的塞孔的图形。接着使用成形的第一抗光蚀剂52作为掩膜,制作钨膜51的布线图形。第一抗光蚀剂52脱落且通过O2等离子体处理等去除。使用钨膜51作为掩膜对第三氧化硅膜50和层间HSQ膜49进行干法刻蚀以达到层间HSQ49刻蚀至0.7微米深度的程度,因此,形成了塞孔49a。
如图8C所示,具有宽度为0.6微米的布线图形的第二抗光蚀剂53形成于塞孔49a之上且在钨膜51上形成它的附近区域。
接下来,如图8D所示,使含有氯的刻蚀气体并使用第二抗光蚀剂5 3作为掩膜制作钨膜51的布线图案。第三氧化硅膜50和夹层HSQ膜49连续干法刻蚀,用作掩膜,钨膜51具有放大的开口直至夹层HSQ膜49刻蚀到0.5微米的深度。此时,已形成的塞孔49a加深并延伸至铜膜47。通过O2等离子体处理去除第二抗光蚀剂53。
如图8E所示,在整个表面形成氮化钛(TiN)膜54用作阻挡金属膜且在氮化钛膜54上形成了将作为金属布线膜的铜膜55。
如图8F所示,通过CMP去除在第三氧化硅膜50上的铜膜55,氮化钛(TiN)膜54和钨膜51,因此完成了双镶嵌布线结构。
在本实施例中,尽管下布线层是铜布线层,它可以是铝-布线层。在这种情况下,可获得容易的精细处理且可通过干法刻蚀对铝膜制作布线图案。在对作为下层的铝布线层进行处理之后,施用夹层HSQ膜带来了平整的表面。特别是,这减少了CMP步骤。
接着,将解释本发明的第六实施例。图9A至9I显示了按照本发明第六实施例按顺序制作半导体器件的方法的剖视图。在本实施例中,如图9A所示,与和第三实施例相同的方式在半导体基片(未显示)上形成第一氧化硅膜61,HSQ膜62,第二氧化硅膜63,氮化钛(TiN)膜66和铜膜67。
接着,如图9B所示,施用具有介电常数低于氧化硅膜的HSQ膜,并退火且多次重复这个步骤。因此,在整个表面上形成具有厚度如1.2微米的HSQ膜69。然后在夹层HSQ膜69上沉积具有厚度为0.05微米的第三氧化硅膜70。在第三氧化硅膜70上进一步沉积具有厚度为0.05微米的氮化钛(TiN)膜71。接着,在氮化钛(TiN)膜71上沉积具有厚度如0.05微米的第四氧化硅膜76。
在这种情况下,第四氧化硅膜76的膜厚度,最好设计为第三氧化硅膜70和夹层HSQ膜69的总和。此后,向第四氧化硅膜76的表面施用第一抗光蚀剂77且在第一抗光蚀剂77形成具有直径如0.3微米的塞孔的图形。然后,使用形成图案的第一抗光蚀剂77作为掩膜对第四氧化硅膜76制作布线图案以达到使第四氧化硅膜76刻蚀至达0.7微米的深度的程序。此形成微孔76a。于是,第一抗光蚀剂77脱落且由O2等离子体处理等去除它。
如图9C所示,其中具有如0.6微米宽度的布线图形的第二抗光蚀剂78形成于微孔76a之上且在第四氧化硅膜76上形成它的附近区域。
接着,如图9D所示,使用含氟刻蚀气体和并使用第二抗光蚀剂78作为掩膜以对第四氧化硅膜76制作布线图形。此时,已形成的微孔76a更加深并延伸至氮化钛(TiN)膜71。另外,使用含氯气体对氮化钛膜71干法刻蚀并使用具有放大开口部分的第四氧化硅膜作掩膜。于是由O2等离子体处理等去除第二抗光蚀剂78。
如图9E所示,使用含氟气体并使用形成图形的氮化钛膜71作掩膜,连续对第三氧化硅膜70和夹层HSQ膜69进行干法刻蚀,直至层间HSQ膜69刻蚀达到0.7微米的厚度。形成这样塞孔69a。
如图9F所示,使用含氯气体并使用第四氧化硅膜76作为掩膜对氮化钛(TiN)膜进行刻蚀并制作布线图形。
然后,如图9G所示,使用含氟气体对第三氧化硅膜70和夹层HSQ膜69进行刻蚀直至HSQ层膜69刻蚀至0.5微米的深度。此时,去除了第四氧化膜76,且同时,具有反向凸形状延伸至铜膜67的通路转移至夹层HSQ膜69,因此塞孔69a被加深。
接下来,如图9 H所示,在整个表面形成氮化钛(TiN)膜74作为阻挡膜且在氮化钛(TiN)膜74上形成将成为金属布线膜的铜膜75。
如图9I所示,通过CMP去除形成在第三氧化硅膜70上的形成铜膜75,氮化钛(TiN)膜74和氮化钛(TiN)膜71。完成了双镶嵌布线结构。
以上的实施例中,都使用具有介电常数低于氧化硅膜的介电常数的HSQ膜。除上述膜之处,可以使用未端具有Si-H或Si-CH3的键合基团的多孔膜,如有机旋涂玻璃(SOG)有机膜,氟树脂膜,非晶形氟化碳膜及聚酰亚胺膜及类似物等。
可以直接在具有低介电常数的膜上形成用于掩蔽的金属膜。
没有对用于布线层的金属材料或对埋入金属材料作任何限制且本发明的任何影响与这些材料无关。当使用铜膜时,沉积氮化钛(TiN)膜作为铜膜的基极层,通过喷镀,CVD,或电镀使铜膜覆以薄膜,然后去除沉积在规定区域的铜膜和氮化钛(TiN)膜。
在使用铝型膜情况下,如使用铝膜,铝一铜合金膜或铝-硅-铜合金膜下,优选钛膜用作阻挡膜。
另外,用作掩膜的金属膜的例子包括硅化钨(WSi)膜,钨膜,钛膜,氮化钛(TiN)膜和铝膜及类似物等等。
用于去除这些金属的方法不限于CMP,但可使用逆刻蚀法。

Claims (12)

1、一种制造具有多层布线的半导体器件的方法,其特征在于,它包含如下步骤:
在半导体基片上形成具有其介电常数低于氧化硅膜的介电常数的第一绝缘膜;
在所说的第一绝缘膜上形成低吸湿和变形薄膜,所述低吸湿和变形薄膜在氧等离子体处理并暴露于剥脱溶液时具有等于或小于氧化硅膜的吸湿和变形度;
对所说的低吸湿和变形薄膜制作形成规定的形状;且
使用所说的低吸湿和变形薄膜作为掩膜在所说的第一绝缘膜上形成开口。
2、按照权利要求1所说的制造具有多层布线的半导体器件的制造方法,其特征在于,其中所说的低吸湿和变形薄膜是金属膜。
3、按照权利要求1所说的制造具有多层布线的半导体器件的制造方法,其特征在于所说的所说的低吸湿和变形薄膜是第二绝缘膜。
4、按照权利要求1至3中任一权利要求所说的制造具有多层布线的半导体器件的方法,其特征在于,它进一步包含有在对所说的绝缘膜制作布线图案的步骤之后,在所说的开口埋入导电膜的步骤。
5、按照权利要求1至3中任一权利要求所说的制造具有多层布线的半导体器件的方法,其特征在于,其中所说的第一绝缘膜是从由氢硅氧烷、有机玻璃上涂布的有机物、氟树脂、非晶形氟化碳和聚酰亚胺中组成的组中选取的绝缘材料而形成的。
6、按照权利要求1至3中任一权利要求所说的具有多层布线的半导体器件的制造方法,其特征在于,其中所说的第一绝缘膜是由末端具有Si-H或Si-CH3键合基团的多孔膜形成的。
7、按权利要求3所说的具有多层布线的半导体器件的制造方法,其特征在于,其中所说的第二绝缘膜是从由等离子体氮化硅、等离子体氧化硅及等离子体氧氮化硅组成的组中选取的绝缘材料而形成的。
8、按权利要求2所说的具有多层布线半导体器件的制造方法,其特征在于,其中所说的金属膜是从由硅化钨、钨、钛、氮化钛及铝组成的组中选取的金属而形成的。
9、按照权利要求2所述的具有多层布线半导体装置的制造方法,其特征在于,其进一步包含的步骤有:
在形成所说的第一绝缘膜的所说的步骤和形成所说的金属膜的所说的步骤之间,所说的第一绝缘膜上形成氧化硅膜;且
在对所说的金属膜制作布线图案的步骤和在所说的第一绝缘膜上形成所说的开口的所说的步骤之间使用所说的金属膜作为掩膜对氧化硅膜制作布线图案。
10、按权利要求4所说的制造具有多层布线的半导体器件的方法,其特征在于,其中埋入所说的导电膜包含的步骤有:
在所说的开口的侧壁和底部上形成阻挡金属膜。
在所说的阻挡金属膜上形成金属布线膜。
11、按照权利要求10所说的制造具有多层布线的半导体器件的方法,其特征在于,其中所说的阻挡金属膜是氮化钛膜。
12、按照权利要求10所述的制造具有多层布线的半导体器件的方法,其特征在于,其中所说的金属布线膜是从由铜、铝和铝合金组成的组中选取的金属形成的。
CNB981026613A 1997-06-27 1998-06-26 具有多层布线的半导体器件的制造方法 Expired - Fee Related CN1139971C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP172056/97 1997-06-27
JP17205697A JP3390329B2 (ja) 1997-06-27 1997-06-27 半導体装置およびその製造方法
JP172056/1997 1997-06-27

Publications (2)

Publication Number Publication Date
CN1204142A CN1204142A (zh) 1999-01-06
CN1139971C true CN1139971C (zh) 2004-02-25

Family

ID=15934725

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB981026613A Expired - Fee Related CN1139971C (zh) 1997-06-27 1998-06-26 具有多层布线的半导体器件的制造方法

Country Status (6)

Country Link
US (2) US6140225A (zh)
JP (1) JP3390329B2 (zh)
KR (1) KR100321571B1 (zh)
CN (1) CN1139971C (zh)
GB (1) GB2326765B (zh)
TW (1) TW405163B (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
JP3469771B2 (ja) * 1998-03-24 2003-11-25 富士通株式会社 半導体装置およびその製造方法
FR2777697B1 (fr) * 1998-04-16 2000-06-09 St Microelectronics Sa Circuit integre avec couche d'arret et procede de fabrication associe
US20010029091A1 (en) * 1998-04-17 2001-10-11 U.S. Philips Corporation Method for manufacturing an electronic device comprising an organic- containing material
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6309801B1 (en) * 1998-11-18 2001-10-30 U.S. Philips Corporation Method of manufacturing an electronic device comprising two layers of organic-containing material
GB2389963A (en) * 1998-12-04 2003-12-24 Nec Electronics Corp Semiconductor device and method of manufacture
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3436221B2 (ja) 1999-03-15 2003-08-11 ソニー株式会社 半導体装置の製造方法
JP2000286254A (ja) * 1999-03-31 2000-10-13 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2000294631A (ja) * 1999-04-05 2000-10-20 Mitsubishi Electric Corp 半導体装置及びその製造方法
WO2001003173A1 (en) * 1999-07-01 2001-01-11 Lam Research Corporation Method for patterning a layer of a low dielectric constant material
JP3376965B2 (ja) * 1999-07-13 2003-02-17 日本電気株式会社 半導体装置及びその製造方法
JP4471243B2 (ja) 1999-08-27 2010-06-02 東京エレクトロン株式会社 エッチング方法およびプラズマ処理方法
JP3348706B2 (ja) 1999-09-29 2002-11-20 日本電気株式会社 半導体装置の製造方法
JP3450247B2 (ja) * 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6444557B1 (en) 2000-03-14 2002-09-03 International Business Machines Corporation Method of forming a damascene structure using a sacrificial conductive layer
JP2001338978A (ja) 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
JP3415602B2 (ja) 2000-06-26 2003-06-09 鹿児島日本電気株式会社 パターン形成方法
US6500752B2 (en) * 2000-07-21 2002-12-31 Canon Sales Co., Inc. Semiconductor device and semiconductor device manufacturing method
US6500754B1 (en) * 2000-11-02 2002-12-31 Advanced Micro Devices, Inc. Anneal hillock suppression method in integrated circuit interconnects
US6348410B1 (en) * 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects
JP2002208633A (ja) * 2001-01-10 2002-07-26 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
KR100400035B1 (ko) * 2001-02-21 2003-09-29 삼성전자주식회사 균일한 접촉 저항을 갖는 콘택을 구비한 반도체 소자 및그의 제조방법
US6638851B2 (en) * 2001-05-01 2003-10-28 Infineon Technologies North America Corp. Dual hardmask single damascene integration scheme in an organic low k ILD
KR100422348B1 (ko) * 2001-06-15 2004-03-12 주식회사 하이닉스반도체 반도체소자의 제조방법
US20030064582A1 (en) * 2001-09-28 2003-04-03 Oladeji Isaiah O. Mask layer and interconnect structure for dual damascene semiconductor manufacturing
TW506105B (en) * 2001-10-26 2002-10-11 Nanya Technology Corp Method for forming interconnect
DE10240099A1 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur
GB2394879B (en) * 2002-11-04 2005-11-23 Electrolux Outdoor Prod Ltd Trimmer
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP3981026B2 (ja) * 2003-01-30 2007-09-26 株式会社東芝 多層配線層を有する半導体装置およびその製造方法
TW200428470A (en) * 2003-06-05 2004-12-16 Semiconductor Leading Edge Tec Method for manufacturing semiconductor device
US6979638B2 (en) * 2004-02-23 2005-12-27 Nanya Technology Corporation Conducting wire and contact opening forming method for reducing photoresist thickness and via resistance
JP2007005840A (ja) * 2006-10-16 2007-01-11 Renesas Technology Corp 半導体集積回路装置の製造方法
JP5096860B2 (ja) * 2007-10-04 2012-12-12 パナソニック株式会社 パターン形成方法
JP4745370B2 (ja) * 2008-06-11 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN102222640B (zh) * 2010-04-16 2013-08-14 中芯国际集成电路制造(上海)有限公司 通孔形成方法
JP4819188B2 (ja) * 2011-02-02 2011-11-24 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8735301B2 (en) * 2011-05-24 2014-05-27 United Microelectronics Corp. Method for manufacturing semiconductor integrated circuit
JP5857690B2 (ja) * 2011-12-02 2016-02-10 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5942867B2 (ja) * 2013-01-22 2016-06-29 富士通株式会社 半導体装置の製造方法
CN104078362A (zh) * 2013-03-29 2014-10-01 中国科学院微电子研究所 半导体器件制造方法
JP6197381B2 (ja) * 2013-06-05 2017-09-20 富士通セミコンダクター株式会社 半導体装置とその製造方法
US20150206794A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Removing Micro Scratches In Chemical Mechanical Polishing Processes
JP2018049976A (ja) 2016-09-23 2018-03-29 東芝メモリ株式会社 半導体装置の製造方法
WO2022016502A1 (en) * 2020-07-24 2022-01-27 Yangtze Memory Technologies Co., Ltd. Method of preparing and analyzing thin films

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5144871B2 (zh) * 1971-09-25 1976-12-01
US4357203A (en) * 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
DE3234907A1 (de) * 1982-09-21 1984-03-22 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen einer monolithisch integrierten schaltung
GB2137808A (en) * 1983-04-06 1984-10-10 Plessey Co Plc Integrated circuit processing method
JPH03203240A (ja) * 1989-12-28 1991-09-04 Fujitsu Ltd 半導体装置の製造方法
JPH0719973B2 (ja) * 1990-10-31 1995-03-06 日本電気株式会社 多層配線基板
US5442237A (en) * 1991-10-21 1995-08-15 Motorola Inc. Semiconductor device having a low permittivity dielectric
JPH0722411A (ja) * 1993-06-22 1995-01-24 Kawasaki Steel Corp 埋込プラグの形成方法
US5565384A (en) * 1994-04-28 1996-10-15 Texas Instruments Inc Self-aligned via using low permittivity dielectric
DE69535718T2 (de) * 1994-05-27 2009-03-19 Texas Instruments Inc., Dallas Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
JPH0950993A (ja) * 1995-08-08 1997-02-18 Fujitsu Ltd 絶縁膜形成方法と半導体装置
US5573633A (en) * 1995-11-14 1996-11-12 International Business Machines Corporation Method of chemically mechanically polishing an electronic component
US6114186A (en) * 1996-07-30 2000-09-05 Texas Instruments Incorporated Hydrogen silsesquioxane thin films for low capacitance structures in integrated circuits
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US5935868A (en) * 1997-03-31 1999-08-10 Intel Corporation Interconnect structure and method to achieve unlanded vias for low dielectric constant materials

Also Published As

Publication number Publication date
GB2326765A (en) 1998-12-30
US6225217B1 (en) 2001-05-01
KR100321571B1 (ko) 2002-03-08
TW405163B (en) 2000-09-11
US6140225A (en) 2000-10-31
KR19990007413A (ko) 1999-01-25
JP3390329B2 (ja) 2003-03-24
CN1204142A (zh) 1999-01-06
JPH1117008A (ja) 1999-01-22
GB2326765B (en) 2000-11-15
GB9813799D0 (en) 1998-08-26

Similar Documents

Publication Publication Date Title
CN1139971C (zh) 具有多层布线的半导体器件的制造方法
US6180518B1 (en) Method for forming vias in a low dielectric constant material
US6562732B2 (en) Method of manufacturing a semiconductor device
US6780782B1 (en) Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20050079706A1 (en) Dual damascene structure and method
JP4256347B2 (ja) 半導体装置の製造方法
US6797627B1 (en) Dry-wet-dry solvent-free process after stop layer etch in dual damascene process
US7067435B2 (en) Method for etch-stop layer etching during damascene dielectric etching with low polymerization
US20040219796A1 (en) Plasma etching process
TW200414352A (en) Side wall passivation films for damascene cu/low k electronic devices
US7022582B2 (en) Microelectronic process and structure
US7163887B2 (en) Method for fabricating a semiconductor device
CN101364565A (zh) 半导体器件的制造方法
JP4108228B2 (ja) 半導体装置の製造方法
JP2004289155A (ja) 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング
US20060134921A1 (en) Plasma etching process
CN1661799A (zh) 半导体器件
US7192880B2 (en) Method for line etch roughness (LER) reduction for low-k interconnect damascene trench etching
US6780778B2 (en) Method for fabricating semiconductor device
TW447021B (en) Method for preventing photoresist residue in a dual damascene process
KR100440080B1 (ko) 반도체 소자의 금속배선 형성방법
US6881678B2 (en) Method for forming a dual damascene structure in a semiconductor device
KR0155801B1 (ko) 반도체 장치 다층배선 형성방법
KR100707657B1 (ko) 반도체 소자의 구리 금속 배선 형성 방법
KR100290466B1 (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C06 Publication
PB01 Publication
ASS Succession or assignment of patent right

Owner name: NEC ELECTRONICS TAIWAN LTD.

Free format text: FORMER OWNER: NIPPON ELECTRIC CO., LTD.

Effective date: 20030724

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20030724

Address after: Kanagawa, Japan

Applicant after: NEC Corp.

Address before: Tokyo, Japan

Applicant before: NEC Corp.

C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee

Owner name: RENESAS ELECTRONICS CORPORATION

Free format text: FORMER NAME: NEC CORP.

CP03 Change of name, title or address

Address after: Kanagawa, Japan

Patentee after: Renesas Electronics Corporation

Address before: Japan Kanagawa Prefecture

Patentee before: NEC Corp.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040225

Termination date: 20130626