CN113167831A - 在已部署的汽车平台上执行自测的测试*** - Google Patents

在已部署的汽车平台上执行自测的测试*** Download PDF

Info

Publication number
CN113167831A
CN113167831A CN201980081439.2A CN201980081439A CN113167831A CN 113167831 A CN113167831 A CN 113167831A CN 201980081439 A CN201980081439 A CN 201980081439A CN 113167831 A CN113167831 A CN 113167831A
Authority
CN
China
Prior art keywords
data
test
bist
data packet
header
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980081439.2A
Other languages
English (en)
Inventor
A·卡尔娃
吴珏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nvidia Corp
Original Assignee
Nvidia Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nvidia Corp filed Critical Nvidia Corp
Publication of CN113167831A publication Critical patent/CN113167831A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3187Built-in tests
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318597JTAG or boundary scan test of memory devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31917Stimuli generation or application of test patterns to the device under test [DUT]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/08Logistics, e.g. warehousing, loading or distribution; Inventory or stock management
    • G06Q10/087Inventory or stock management, e.g. order filling, procurement or balancing against orders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • G11C29/16Implementation of control logic, e.g. test mode decoders using microprogrammed units, e.g. state machines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/26Accessing multiple arrays
    • G11C2029/2602Concurrent test

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Business, Economics & Management (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Economics (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Quality & Reliability (AREA)
  • Finance (AREA)
  • Accounting & Taxation (AREA)
  • Human Resources & Organizations (AREA)
  • Marketing (AREA)
  • Operations Research (AREA)
  • Development Economics (AREA)
  • Strategic Management (AREA)
  • Tourism & Hospitality (AREA)
  • General Business, Economics & Management (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Physical Education & Sports Medicine (AREA)

Abstract

在各种示例中,提供了一种测试***,用于在现场部署的集成电路上执行内建自测(BIST)。集成电路可以包括第一设备和第二设备,第一设备可以直接访问存储测试数据的外部存储器,第二设备可以通过第一设备间接访问外部存储器。除了提供允许第一设备和第二设备并发运行测试的机制外,硬件和软件还可以减少与运行测试序列相关联的存储器需求和运行时间,从而使得可能在部署中进行实时BIST。此外,一些实施例允许单个外部存储器映像满足不同的SKU配置。

Description

在已部署的汽车平台上执行自测的测试***
背景技术
制造商通常在部署之前对计算芯片进行测试,以验证芯片是否正常运行以及是否存在制造缺陷。例如,可以在部署之前通过使用自动测试设备(ATE)对芯片进行测试。但是,某些芯片由于各种潜在因素(例如,环境危害,老化等)而在部署后会产生故障。为了符合某些行业标准,在将芯片部署到现场后识别芯片上的潜在故障也是必要的,例如对汽车部件(例如,支持汽车平台的芯片)的ISO26262 ASIL-C要求。为了满足这一需求,已经开发出了内建自测(BIST)***,用于在集成电路(IC)上运行逻辑BIST(LBIST)和存储器BIST(MBIST)两者。
在某些情况下,可以使用BIST进行测试的这些IC可以是例如复杂的IC,诸如芯片,片上***(SoC)或包含多个设备(例如,一个或更多个芯片和一个或更多个处理器)的计算平台。这样,常规***可以在单个集成***或平台(例如,自主车辆计算平台,医学成像或诊断平台,数据中心中的高性能计算平台等)的多个现场设备上执行BIST,并且通常,BIST是使用片外***存储器(例如闪存、嵌入式多媒体控制器(eMMC))中存储的测试数据在多个设备上执行的。但是,在某些情况下,只有被测设备之一(例如SoC)可以直接访问片外***存储器,而另一个被测设备(例如处理器)则不能直接访问,因此可以仅通过使用具有直接访问权限的设备作为代理,才能与片外***存储器交换信息。例如,在这些常规***中,由于具有对片外存储器的间接访问的设备被迫等待直到具有直接访问的设备完成测试以访问片外***存储器,所以具有间接访问的设备可能不按照必要的频率进行测试以符合行业标准,或者测试两个设备的时间累积对于设备的目的或操作限制可能是不希望的。
另外,一些常规***可能会遇到其他问题,包括例如,要求为可被测试的设备中的多个chiplet中的每一个存储测试数据的单独副本。在这样的示例中,设备可能被划分为使用相同测试数据进行测试的多个逻辑chiplet,但是这些常规***可能会为每个chiplet存储测试数据的单独副本,导致大量冗余测试数据和大量存储器使用来存储这些测试数据的单独副本。此外,在某些常规***中,设备可能随附有来自设备制造商的不同库存量单位(SKU)配置,并且每个SKU配置可能使用不同的测试数据。结果,这些常规***可以将片外***存储器的单独的映像用于每个SKU配置的测试数据,从而进一步增加了存储器的使用和对提供BIST能力的要求。
发明内容
本公开涉及一种测试***,用于通过执行存储器内建自测(MBIST)和逻辑内建自测(LBIST)来标识部署在现场的设备(例如芯片)上的潜在故障。更具体地,本公开内容描述了在即将到来的数据之前的报头数据分组,其包括一组信息字段,该信息字段控制测试流程并允许在单独的芯片上并发执行BIST。例如,一个或更多个信息字段可以包括指示在执行BIST时被测试的第一设备暂停的数据,该数据允许第二设备检索数据以用于并行测试。另外,本公开包括存储器组织(例如,在片外***存储器中),其利用报头分组结构的信息字段并且存储测试序列数据(例如,测试序列或结果或对其进行处理)。
与如上所述的常规***相比,测试序列报头分组结构可以允许在多个设备上调度和运行并发测试,包括直接访问共享的片外***存储器的第一设备和间接访问共享片外***存储器的第二设备。在本公开的另一方面,报头分组的多个字段可以包含到片外***存储器中的数据的链接,并且结果,数据可以仅被存储一次并且链接到不同chiplet上的BIST的多个实例。此外,与为每个库存量单位(SKU)存储片外***存储器的单独映像相反,本公开的一方面包括将所有SKU配置的超集存储在单个映像中,以及在报头分组之前***SKU分组,以允许设备确定是否应检索与该SKU相关联的报头分组。这些方面可以提高效率并减少与运行BIST相关联的存储。
附图说明
下面参考附图详细描述用于执行现场内建自测(BIST)的测试***的本***和方法,在此通过引用将其并入本文:
图1是根据本公开的一些实施例的计算环境的框图,计算环境包括具有第一设备(例如,SoC)和第二设备(例如,处理器)的集成电路,具有用于在每个设备上运行BIST的组件;
图2是根据本公开的一些实施例的***内测试(IST)硬件控制器的框图;
图3A和图3B描绘了根据本公开的一些实施例的示出用于IST主定序器的过程的流程图;
图4描绘了根据本公开的一些实施例的示出用于IST从定序器的过程的流程图;
图5描绘了根据本公开的一些实施例的用于设备A和设备B的测试时间表,其示出了测试阶段的并发性;
图6描绘了根据本公开的一些实施例的表,其表示存储可以在存储器设备、IST主定序器和IST从定序器之间交换的分组的存储器的逻辑映像;
图7描绘了根据本公开的一些实施例的表,其表示存储图6中标识的分组的存储器的物理映像;
图8描绘了根据本公开的一些实施例的表示存储器的逻辑映像的表和表示存储器的物理映像的表,两者均存储与使用相同D1数据来运行BIST的chiplet交换的分组;
图9描绘了根据本公开的一些实施例的流程图,其示出了当接收到库存量单位(SKU)数据分组时IST主定序器和IST从定序器的过程;以及
图10描绘了根据本公开的一些实施例的表,其表示存储SKU配置的超集的存储器的逻辑映像。
具体实施方式
公开了与用于在现场执行集成电路(IC)的内建自测(BIST)的测试***有关的***和方法。一个或更多个IC可以是例如复杂的IC,诸如芯片、片上***(SoC)或包含一个或更多个芯片和一个或更多个处理器(例如,图形处理单元(GPU)或中央处理单元(CPU))的计算平台。在高的级别上,***内测试(IST)包括软件和硬件,这些软件和硬件合作以提供对IC的测试,包括单个芯片的测试、多个芯片的测试或其组合。例如,可以对测试硬件进行编程以检索测试序列、促进测试执行和/或将测试结果写入存储器。在一些实施例中,包括一组信息字段的测试序列报头分组结构可以用于控制测试流程并允许在单独的芯片上并发执行BIST。另外,本公开可包括利用报头分组结构的信息字段并存储测试序列数据(例如,测试序列或结果)的存储器组织(例如,在片外***存储器中)。
在一些实施例中,测试序列报头分组结构可以用于在多个设备上执行调度和运行并发测试,所述多个设备包括可以直接访问共享的片外***存储器的第一设备和可以间接访问共享的片外***存储器的第二设备,这与传统***相反。更具体地,在第一设备上触发BIST(例如,存储器BIST(MBIST))之后,报头分组中的信息字段中的至少一个可以包括等待(WAIT)指令,该WAIT指令可以触发第一设备上的等待周期计数器。这样,可以针对打算用于第一设备的下一个分组发出暂停,直到计数器到期为止,并且在该暂停期间,可以获取分组并将其传送给第二设备。这与常规***相反,在常规***中,当第一设备正忙于运行BIST时,第一设备通常会阻止向第二设备发送分组,直到第一设备上的BIST完成。这样,根据本公开,通过在第一设备正忙于运行BIST时触发第一设备的等待周期计数器,第一设备不阻塞到第二设备的分组,从而允许BIST在两个设备上并行运行。使用这种技术,与常规***相比,可以减少用于执行BIST的***的运行时间,从而使***能够在实时部署方案中运行(例如,在汽车应用,医疗诊断或成像应用,大功率计算应用等等)。
在本公开的另一方面,报头分组的多个字段可以包含到片外***存储器中的数据的链接(例如,存储地址),并且这些链接的报头分组字段中的每一个可以实现更高的效率并减少与运行BIST相关联的存储。例如,报头分组可以包括“数据分组地址”字段,其在片外***存储器中存储“数据分组”的地址。在另一示例中,报头分组可以包括“测试结果地址”字段,其指示片外***存储器中可以写入测试结果的位置,以及“测试状态地址”字段,其指示片外***存储器中可以写入测试状态数据的位置。
每个链接的报头分组字段可以以各种方式有助于改进的BIST。例如,链接的数据分组地址可以允许将测试数据的单个实例存储在片外***存储器中,并且针对不同的BIST的不同的报头分组可以指向该单个实例。也就是说,设备可能会分为多个逻辑chiplet,使用相同的测试数据对其进行测试。这样,与针对每个chiplet使用测试数据的单独副本的常规***相比,本公开的***可以为每个chiplet编程单独的报头,并且每个单独的报头可以指向存储测试数据的单个实例的相同数据分组地址。在这方面,因为仅存储测试数据的单个实例,所以可以减少用于测试数据的存储量。
在本公开的另一方面,测试结果地址和测试状态地址可以使得能够将结果和状态紧密靠近地存储在片外***存储器中。也就是说,在运行BIST之后,设备可能会关闭,并且在随后的***启动时,可以从片外***存储器(例如,通过软件)读取结果和状态,以确定经受BIST的一个或更多个设备是通过还是失败。相反,常规***可以以与执行相同的顺序来存储结果和状态,这可能导致分组被存储在彼此不靠近的位置中,从而使分组的读取复杂化。在本公开的至少一个实施例中,通过在报头分组中指定要写入结果的预定存储器位置,结果和状态分组可以彼此靠近地存储在片外***存储器中。这样,可以更有效地读取存储器的分立段以检查BIST的结果,从而进一步减少***的运行时间。
在某些情况下,制造商可能会为设备提供不同的库存单元(SKU),每个库存单元可能使用不同的保险丝配置,并且可能使用不同的测试数据来运行BIST。常规方法可以为每个SKU存储片外***存储器的单独映像。相反,本公开的一方面包括将所有SKU配置的超集存储在单个映像中,并在报头分组之前***SKU分组以允许设备确定是否应检索与该SKU相关联的报头分组。SKU分组可以包括与熔丝(fuse)配置相关的SKU ID,结果,每个设备可以将SKU ID与当前的熔丝配置进行比较(例如,在地板扫描(floor sweep)中),以评估SKU是否匹配。如果SKU不匹配和/或不是最后一个SKU分组,则可以读取下一个SKU分组,和/或如果它是最后一个SKU分组,则设备可能会关闭。如果SKU确实匹配,则可以读取下一个报头分组以继续进行测试,如上所述。通过将SKU分组编程到分组流中,本公开提供了一种基于SKU配置是否匹配来选择特定报头分组和链接的测试数据的机制。另外,将SKU分组编程到分组流中提供了一种机制,通过该机制可以从***存储器的单个映像中获取SKU特定的测试数据。此外,通过指向测试数据的单个副本获得的效率可以在使用相同测试数据运行BIST的多个SKU的上下文中实现。
参见图1,图1示出了集成电路(IC)100的实施例的框图。IC 100可以是具有第一设备和第二设备的功能计算平台。在图1中,第一设备被标识为“设备A”110(例如,片上***(SoC)),并且第二设备被标识为“设备B”120(例如,设备B 120)。然而,在其他方面,设备A110和设备B 120可以包括各种其他或替代类型的IC或芯片。设备A 110和设备B 120都包括用于执行BIST的相应组件(例如,IST硬件控制器112和IST硬件控制器122),并且直接或间接地耦合到平台组件130。对于非限制性示例,在图1中,设备A 110直接连接到平台组件130,并且设备B 120通过设备A 110间接地连接到平台组件130(例如,通过设备A芯片接口119和设备B芯片接口129之间的链接(例如,PCIe链路)142)。
IC 100还包括在IC 100的IST过程中与至少一个IST硬件控制器交互的各种平台组件。平台组件的示例包括但不限于:***存储器131(例如闪存,嵌入式多媒体控制器(eMMC)存储器等);电源管理IC(PMIC)133;微控制器135;热传感器137;以及热传感器139。这些各种平台组件在本文中可以统称为平台组件130。IC 100的组件之间的连接可以通过任何合适的手段进行。IC 100可以是功能***的一部分,该功能***已经完成生产并且已经在现场被部署(或准备被部署)。示例性功能***可以包括:部署的自主车辆,飞机,无人机,水上船只,建筑设备和/或其他车辆类型;医学成像***;医疗诊断***;以及大功率计算***。然而,部署***不限于这些示例,并且具有集成芯片的任何应用(例如,在部署中可能出现潜在的、永久的、瞬时的或其他故障类型的地方)都适合于本公开的各方面。
已经更一般地描述了IC 100,现在将更详细地描述设备A 110和设备B 120的一些特定组件。设备A 110包括IST硬件控制器112,JTAG控制器116,扫描压缩控制器118和芯片接口119。类似地,设备B 120还包括IST硬件控制器122,JTAG控制器126,扫描压缩控制器128和芯片接口129。设备A 110和设备B 120的组件仅出于示例目的,并且这些设备可以包括在图1中未示出的附加和/或替代组件–例如可能包括在芯片或chiplet中的组件(例如SoC或处理器)。例如,设备A 110可以包括用于SoC的操作控制器,其可以不同于专用IST硬件控制器112。其他组件可以包括输入/输出触点和控制电路、MBIST网络、扫描测试网络、启动处理器和/或功能逻辑。
IST硬件控制器112可以包括逻辑电路,该逻辑电路为设备A 110和/或在IST期间与平台组件130、JTAG控制器116、扫描压缩控制器118和/或芯片接口119通信的通信接口(例如,参见图2)管理(direct)IST。IST硬件控制器112可以有利地允许功能***中的芯片的IST。例如,IST硬件控制器112可以接收IST触发(例如,软件触发)以发起IST。在一些实施例中,可以在设备A 110的启动过程(例如,上电或断电过程)期间由微控制器135提供或生成IST触发。
在接收到IST触发之后,IST硬件控制器112可以从存储器131获取测试模式和其他测试数据,并且可以将测试模式传送给JTAG控制器116和扫描压缩控制器118以执行IST。这样,IST硬件控制器112可以被配置为与JTAG控制器116和扫描压缩控制器118两者交互,以通过将测试模式应用于设备A 110的MBIST网络和扫描测试网络来发起IST。
JTAG控制器116和扫描压缩控制器118可以是位于芯片中的控制器,其用于控制芯片的MBIST网络和扫描网络的测试。在非限制性实施例中,JTAG控制器116可以符合IEEE1500标准。
平台组件130可以包括功能***中包括或使用的组件。如本文所公开的,平台组件130还包括与IST相关联的附加功能。例如,存储器131可以存储用于IST的结构测试模式和IST的测试结果。在这样的示例中,IST硬件控制器112可以具有与存储器131的直接通信路径。
PMIC 133可以为***100提供电源管理,并且微控制器135可以管理***100的操作和/或控制***100的启动过程以进入功能模式。功能模式的启动过程可包括标准启动命令。另外,微控制器135可以控制从针对功能模式的启动到针对IST模式的启动的转变。这样,微控制器135可以将设备A 110和设备B 120置于IST模式。一旦设备A 110上电,微控制器135就可以经历启动过程,其中指示启动过程的启动代码的一部分提取用于运行IST模式并加载指示将***100设置用于IST的软件块。在一些实施例中,设备A 110可以包括启动处理器,该启动处理器运行启动ROM并使设备A 110启动并运行。在这样的实施例中,启动处理器可以包括控制到IST模式的转变的软件命令。
将***准备为IST模式可包括准备设备A 110的所有时钟和电压设置用于测试,以便可以用正确的时钟设置、正确的电压设置和正确的I/O控制来运行IST,以在处于IST模式时,将设备A 110与IC 100的其他组件隔离,并通知其他组件设备A 110正在进入IST模式。在进入IST模式之前,可以将I/O触点置于IST期间保持的平台友好状态。还可以为IST设置PMIC 133和热传感器137、139。因此,热传感器137、139可以用于IST模式而不是用于功能行为。转变到IST模式导致微控制器135专注于IST触发(诸如来自热传感器137、139),并且当处于IST模式时忽略其他触发。
热传感器137可以是专用于设备A 110的外部热传感器,并且热传感器139可以是专用于设备B 120的外部热传感器。与用于功能模式的触发器相比,可以针对IST模式为热传感器137、139设置不同的触发。
芯片接口119可以专用于设备A 110的IST硬件控制器112和设备B 120的IST硬件控制器122之间的通信。在非限制性实施例中,芯片接口119可以是多路复用器(“mux”)。芯片接口119可以经由芯片接口129与IST硬件控制器122通信,该芯片接口也可以专用于IST硬件控制器112、122之间的IST通信。在一个示例中,IST硬件控制器112、122可以建立芯片接口119、129之间的链路142(例如,通过PCIe链路)以在设备B 120和存储器131之间传输测试数据和结果。芯片接口119、129可以允许将IST特定的数据路径多路复用到链路数据总线(例如,PCIe数据总线)。可以使用特定于IST的协议在芯片接口119、129之间的链路数据总线上进行通信。这样,芯片接口119、129可以配对,以使它们仅相互理解。在一些实施例中,芯片接口119、129中的一个或更多个芯片接口可以集成在它们各自的IST硬件控制器内。
IST硬件控制器122可以被配置为对设备B 120执行IST,并且IST硬件控制器122可以通过将测试模式从存储器131传送到JTAG控制器126和扫描压缩控制器128以用于设备B120的IST的来与IST硬件控制器112类似地工作。与JTAG控制器116和扫描压缩控制器118一样,JTAG控制器126和扫描压缩控制器128可以是位于处理器(诸如GPU)内的控制器。如上所述,与IST硬件控制器112不同,IST硬件控制器122可以经由多路复用器119、129与存储器131通信,以接收用于设备B 120的测试模式并提供测试结果。
图2示出了***内测试(IST)硬件控制器200的实施例的框图。尽管未示出,但是图2中所描绘的一些或全部组件可以执行IST硬件控制器200的操作。例如,IST硬件控制器112和IST硬件控制器122可以包括IST硬件控制器200的组件中的一个或更多个组件。IST硬件控制器200可以位于功能计算***的芯片内,该功能计算***被配置为执行芯片的IST。功能计算***可以是例如计算平台。IST硬件控制器200可以包括处理器210,用于指导IST的操作以及用于与其他组件进行通信的接口。这些接口可以包括触发接口220,外部组件接口230,测试接口240和/或芯片接口250。触发接口220、外部组件接口230和/或测试接口240可以包括从中接收和发送信号的通信端口。如以上关于芯片接口119、129所讨论的,芯片接口250可以专门用于IST通信。在一些实施例中,芯片接口250可以用于与平台组件通信。
处理器210可以控制芯片的IST。这样,处理器210可以被配置为发起芯片的IST,获得用于IST的测试模式,指示将测试模式传递给芯片的测试控制器,和/或指示传递IST的测试结果用于存储。处理器210可以控制测试芯片的不同部分的顺序。另外,处理器210可以与另一个芯片的另一个IST硬件控制器协作以协调对两个芯片的测试。在本公开的一个方面,处理器210可以包括IST定序器260,用于读取数据和指示传入数据和指示传出数据。IST定序器260可以是IST主定序器(例如,图1中的IST主定序器146)或IST从定序器(例如,图1中的IST从定序器148)。
触发接口220可以被配置为接收IST触发以在IST硬件控制器200中发起IST。IST触发可以是从平台组件(诸如微控制器或启动控制器)或IST硬件控制器200外部的另一组件接收的软件触发。
平台组件接口230可以被配置为与各种组件通信,诸如图1的平台组件130。测试接口240可以被配置为与片上测试控制器(诸如JTAG控制器和扫描压缩控制器)通信,以发送用于IST的测试模式并接收测试的结果。
芯片接口250可以执行与另一个IST硬件控制器的IST通信。这样,在一些应用中,芯片接口250可以不用于IST,但是可以用于通过允许将特定于IST的数据路径复用到链路数据总线(例如,PCIe数据总线)上来建立用于与其他IST硬件控制器进行通信的链路(例如,PCIe链路)。
回到图1,在至少一个实施例中,设备A 110和设备B 120可以基于链路142的配置与存储器131交换数据(例如,串行地)。例如,存储器131可以包括测试数据144,其可以包括测试指令、测试序列(例如,用于执行BIST)、测试结果、测试状态等。在一些示例中,测试数据可以由设备A 110或设备B 120检索,但可能不能由设备A 110和设备B 120两者同时访问。例如,当设备A 110正在从存储器131中检索测试数据144(或将测试数据传送至存储器131)时,则设备B 120可能无法同时从存储器131中检索测试数据(例如,通过链路142)。同样,当设备B 120通过链路142从存储器131检索测试数据144(或将测试数据传送到存储器131)时,设备A 110可能无法同时与存储器131交换数据。
这样,本公开的测试***可以包括硬件和软件的组合以允许并发测试设备A 110和设备B 120。更具体地,设备A 110和设备B 120与存储器131交换测试相关数据的分组(例如,分组包括测试序列、测试结果、测试状态等)。如图1中所示,设备A 110的IST硬件控制器112包括IST主定序器146,其可以与存储器131交换分组。还如图1所示,设备B 120的IST硬件控制器122可以包括IST从定序器148,其可以通过IST主定序器146访问测试数据144。在至少一个实施例中,“主定序器”和“从定序器”可以描述定序器146和148的关系,因为IST从定序器148可以使用链路142通过IST主定序器146来访问存储器131。与常规***进一步形成对比,本公开的一方面可以包括报头数据分组,该报头数据分组在即将到来的测试数据之前,并指示设备(例如,IST主定序器146或IST从定序器148)如何处理即将到来的测试数据。
报头数据分组可以包括各种不同的数据字段,下面在表1中提供了示例数据字段的非限制性列表。
Figure BDA0003107583770000101
Figure BDA0003107583770000111
报头数据分组的每个信息字段可以包括各种类型的信息。例如,一些信息字段可以包括到IST主定序器146或到IST从定序器148的指令,而其他信息字段可以包括到存储器131中的地址的链接,可以由IST主定序器146或IST从定序器148在该地址处读取或写入测试数据。可以使用报头数据分组链接的其他测试数据的示例包括数据(DATA)分组(例如,可以包含JTAG指令或LBIST种子,具体取决于分组类型);结果(RESULTS)分组(例如,运行IST之后,来自结果分组的数据将写入外部闪存模块中);和/或状态(STATUS)分组(例如,指示主设备或从设备是否写入了状态分组和/或包含错误代码,例如发生了任何超时或发生了任何热中断)。
一旦软件触发器启动了IST,则IST主定序器146和IST从定序器148可以基于接收到的报头数据分组执行一系列操作。例如,图3A和图3B示出了包括IST主定序器146的一系列操作的流程图,图4示出了包括IST从定序器146的一系列操作的流程图。可以用软件对IST主定序器146和IST从定序器148两者进行编程,以执行图3A、图3B和图4中的各个操作。一旦设备A 110进入IST模式,则IST主定序器146从存储器131读取第一报头数据分组,其通过软件被提供给IST主定序器146。然后,一旦设备B 120进入IST模式,则IST从定序器148与IST主定序器146并行运行。
在本公开的一方面,可以在设备A 110和设备B 120上并发调度测试,并且该体系结构可以允许在各种配置中并发进行测试调度。例如,参照图5,描绘了针对设备A 110和设备B 120上的MBIST和LBIST的示例测试时间表,其中跨X轴表示时间,从左移动到右,从较早的时间点移动到较晚的时间点。例如,在时间T1,当在设备A 110上运行MBIST时,正在获取用于在设备B上运行MBIST的测试数据。在时间T2,在设备B上运行MBIST时,来自设备A的MBIST结果被写入闪存,依此类推。
虽然图5描绘了示例测试时间表,包括设备A 110和设备B 120可以执行操作的顺序,在由IST主定序器146检索之前,存储器131中的分组的配置可以有助于完成并发测试的能力。例如,参照图6,该图示出了存储器131上的逻辑映像中的序列的交错。图6中描绘的序列是本公开的一个方面的示例,并且在其他方面,取决于BIST,交错序列可以包括附加序列、替代序列或更少的序列。
利用图6中描绘的逻辑映像,IST可以在设备A 110和设备B 120上并行运行。更具体地,在SEQ-A1报头序列中,H1(图6)触发设备A 110上的MBIST,并且在时间上,这发生在图5的T0和T1处。H1可以包括表1中标识的各种数据。例如,H1可以包括设备ID(例如,设备A110的标识符),分组类型指示符(例如,JTAG),指向存储器131中JTAG测试数据的链接(例如,存储器131中存储JTAG测试数据的地址)和/或JTAG测试数据的大小的指示。利用该H1,IST主定序器146可以进行图3A中概述的几个过程。例如,IST主定序器可以依次执行310、312、314、316、318、320和322,而不执行与315、319和324相关的所有步骤或操作。
继续参考图6,在SEQ-A2报头序列中,H2触发设备A 110上的等待周期计数器以解决MBIST运行时间,并且H2可以包括表1中标识的各种数据。例如,H2可以包括设备ID(例如,设备A 110的标识符),分组类型指示符(例如,WAIT)和/或设备A应等待的周期数量(例如,等待周期)的枚举。利用该H2,IST主定序器146可以继续进行图3A中概述的几个过程,包括310、312、314、316、318和319。在这段时间内,可能不允许用于设备A的后续分组,直到计数器到期;取而代之的是,可以为下一个设备A分组发出暂停,直到计数器到期。例如,如果IST主定序器146接收到另一个分组并到达判决314,则IST主定序器146可以进行到暂停315,直到计数器不再有效为止。一旦等待计数器到期,则IST主定序器146可以进行到图3B中描绘的流程的过程332,在此,IST主定序器146可以将状态数据写入存储器131的在H2中标识的地址(例如,表1中的“测试状态地址”)处。另外,IST主定序器146可以进行到334(图3B),以确定H2是否是最后一个报头数据分组。例如,H2可以在“是最后一个报头”字段中包括表示H2是否是最后一个报头的指示。如果H2中的数据指示H2不是最后一个报头数据分组,则IST主定序器146可以进行到336以检索下一个报头数据分组,该下一个报头数据分组也可以在H2的“下一个报头地址”字段中进行标识(也在表1中列出)。
在活动计数器(例如,与H2关联的过程319)期间,如果存在任何针对设备B的分组,则继续通过过程310、312和324提取它们并将其转发给IST从属定序器148。例如,当在设备A中运行MBIST时,获取SEQ-B1的报头序列H3(图6)以触发设备B中的MBIST,这可能发生在图5的T1期间。H3可以包括表1中标识的各种数据,包括设备ID(例如,设备B 120的标识符),分组类型指示符(例如,JTAG),到存储器131中的JTAG测试数据的链接(例如,存储器131中存储JTAG测试数据的地址)和/或JTAG测试数据大小的指示。利用该H3,IST主定序器146可以继续进行图3A中概述的几个过程。例如,IST主定序器146可以顺序地执行310、312、324、326、328和330。此外,一旦执行了过程324,并且H3也被转发到IST从定序器148,则IST从定序器148可以并行运行。例如,参照图4,在从IST主定序器146接收到H3后,IST从定序器148可以继续进行流程的各个过程,包括410、412、414、416、418和420。
在图6中,SEQ-B2的报头序列H4触发设备B上的等待周期计数器以解决设备B 120上的MBIST运行时间,并且这在时间上可能发生在图5的T2处。H4可以包括表1中标识的各种数据。例如,H4可以包括设备ID(例如,设备B 120的标识符),分组类型指示符(例如,WAIT)和/或设备B应该等待的周期(例如,等待周期)的数量的枚举。利用该H4,IST主定序器146和IST从定序器148可以进行图3A和图4中概述的几个过程。例如,IST主定序器146可以进行到310、312、324和326,而IST从定序器148可以进行到410、412、414、416和417。在417,IST从定序器148对其他分组发布暂停,直到计数器到期。一旦计数器到期,则IST从定序器148可以进行到图4中描绘的流程的过程426,此时,IST从定序器148可以将状态分组发送到IST主定序器146,IST主定序器将其写到存储器131中在H4中标识的地址(例如,表1中的“测试状态地址”)处。这在图3B的过程342中指示。基于H4的“是最后一个报头”字段,取决于H4是否是最后一个报头数据分组,IST主定序器146和IST从定序器148都可以相应地进行处理。
继续参照图6,对于SEQ-A3的报头序列H5,如果主设备(设备A)的IST主定序器146上的等待计数器到期,则来自设备A 110的MBIST结果被读取并写入在H5中标识的存储器地址,并且在时间上,这发生在图5的T2处。H5可以包括表1中标识的各种数据。例如,H5可以包括设备ID(例如,设备A 110的标识符),分组类型指示符(例如,JTAG),存储器131中结果应当写入的测试结果地址(例如,存储器131中存储JTAG测试数据的结果的地址)和/或JTAG测试结果数据的大小的指示。利用该H5,IST主定序器146可以进行图3A中概述的几个过程。例如,IST主定序器可以依次执行图3A的310、312、314、316、318和320。然后,如果数据分组大小为零,则IST主定序器146继续进行图3B的337和338。如果在314处计数器没有到期,则结果分组可以被暂停,直到计数器到期。
在图6的逻辑映像中继续下一个数据,SEQ-B3包括报头序列H6。与H5相似,如果辅助设备(设备B)的IST从定序器148上的等待计数器到期,则在H6中标识的存储器地址处读取和写入来自设备B 120的MBIST结果,并且在时间上,这发生在图5的T3处。H6可以包括表1中标识的各种数据。例如,H6可以包括设备ID(例如,设备B 120的标识符),分组类型指示符(例如,JTAG),存储器131中结果应当写入的测试结果地址(例如,存储器131中存储JTAG测试数据的结果的地址)和/或JTAG测试结果数据的大小的指示。利用该H6,IST主定序器146和IST从定序器148可以进行图3A、图3B和图4中概述的几个过程。例如,IST主定序器可以依次执行图3A的310、312、324、326和328。然后,如果数据分组大小为零,则IST主定序器146可以进行图3B的339和340。并行地,IST从定序器148可以继续进行图4中的410、412、414、416、418、422和424。在过程424之后,IST从定序器148将结果发送到IST主定序器,其取回结果分组并写入存储器131,如图3B的340所示。
图6的逻辑映像包括多个其他序列,其他序列包括用于在设备A 110和设备B 120上执行LBIST的分组。可以以类似于关于SEQ-A1至SEQ-B3所描述的方式在存储器131、设备A110和设备B 120之间交换这些序列。在这方面,当LBIST在设备A 110上运行时,种子可以移入设备B 120,并且当LBIST在设备B 120中运行时,可以从设备A 112读取结果并将其写入存储器131。结果,根据本公开,当设备繁忙时,其自己的分组可以被阻塞,但是另一设备的分组未被阻塞。与常规测试***相比,IST可以在两个设备中并行运行以提高运行时间。
根据本公开的一个方面,在运行IST之后(例如,如由表1中列出的“是最后一个报头”数据字段所指示的),两个设备都可以被关闭。下次***启动时,可以通过软件从存储器131中读取结果和状态分组,以确定来自先前IST的结果是通过(PASS)还是失败(FAIL)。
存储器131中的IST映像可以包含测试数据以及硬件可以向其写入结果的空间。由于数据分组和结果分组可以通过报头数据分组以链接列表的方式进行通信,因此分组在闪存模块中的物理位置不必与执行顺序相同。换句话说,交错的逻辑映像可以如图6所示进行组织,物理映像可以与逻辑映像不同地组织。图7提供了交错的物理映像的示例组织的示意图。这样,根据本公开的一个方面,在物理映像中,所有的结果和状态分组可以被组织到存储器的块(chunk)712中(例如,从R1到S10)。在这种情况下,每个报头都指向相应的数据、结果和状态分组(如直箭头所示),并且也指向下一个报头分组–如弯曲箭头所示(例如,通过标识表1中标识的“下一个报头地址”字段中的下一个报头分组的位置)。由于所有的结果和状态分组都彼此相邻,所以与常规***相反,可以比较存储器131的块以确定通过或失败,与从各个位置读取它们相比,它可以更简单并且更快。
设备A 110和设备B 120可以包括一个或更多个chiplet,其使用相同的测试数据进行测试。有时可以并行测试一个或更多个chiplet。然而,在其他情况下,例如当电源不能满足并行测试更大数量的chiplet所需的功率预算时,该测试可以分割(split)为针对chiplet的较小子集的测试。与可以为每个chiplet或每个分割存储和检索测试数据的单独副本的常规***相比,一些实施例使用指向跨多个chiplet的相同数据分组的多个报头分组。再次,使用具有表1中标识的数据字段的报头分组结构启用此链接,并且报头分组中的“chipletID”字段指示IST正在哪个(些)chiplet中运行。结果,更少量的测试数据被存储在存储器131中,这可以减少存储器使用。
现在参考图8,分割的IST闪存映像的逻辑映像810示出了IST的逻辑流,其通过报头分组H1、H2和H3以三个分割来运行。所有分割可以使用相同的数据分组(例如,D1),并且与其中可以为每个chiplet存储数据分组的多个副本的常规***相反,本公开的实施例可以通过链接到数据分组的单个副本来减少用于存储数据分组的存储器131的量。例如,如物理映像812所示,每个报头分组被构造为具有用于数据分组的指针或链接以及到下一个报头分组的指针或链接。这样,可以将映像组织为错误!找不到参考源以实现错误!找不到参考源中的流程。通过这种方式,数据分组可以存储在一个位置,但是多个报头分组可以指向它-从而减少了(闪存)存储器的使用。
chiplet ID字段可以以各种方式使用。在本公开的一方面,chiplet ID包括每个chiplet一位(如表1所示)。例如,如果设备包括三个chiplet(例如,g0、g1和g2),则chipletID字段可以使其三个最低有效位(LSB)位与这三个chiplet匹配(不使用所有其他位)。报头分组可以包括具有到目标芯片g0的chiplet ID“001”的H1;具有到目标芯片g1的chipletID“010”的H2;以及具有到目标芯片g2的chiplet ID“100”的H3。但是,在分割映像模式下,可以通过向所有三个chiplet广播常用的数据分组来使用一个映像。这样,本公开的一方面包括将chiplet ID设置为“111”。
在本公开的另一方面,设备A 110和/或设备B 120可以包括不同的SKU配置(例如,由制造商根据客户要求提供)。不同的SKU配置可能需要不同的测试数据,并且常规测试***可能无法提供能够使用一个外部映像(例如,来自闪存源)来满足不同SKU配置的机制。相反,在本公开的至少一个实施例中,所存储的测试数据可以包括闪存模块中所有SKU配置的超集,并且可以选择针对设备A和B的SKU组合的正确测试数据(例如,使用硬件)。例如,在一些实施例中,可以在第一报头分组之前传送SKU分组,并且该SKU分组可以包括其数据字段中可用于确定当前是否根据该SKU配置了一个或更多个设备的信息。即,对于设备A 110和设备B 120的每个SKU组合,可能存在一个SKU分组。每个SKU分组可以包含两个设备的SKUID,并且该SKU ID可以由各自芯片上的一组熔丝确定。这些是可能包含在SKU分组中的信息字段和数据的几个示例,表2(以下)提供了其他示例。
Figure BDA0003107583770000161
Figure BDA0003107583770000171
一旦软件触发器启动了IST,则IST主定序器146和IST从定序器148可以基于接收到的SKU分组执行一系列操作。例如,图9示出了包括IST主定序器146和IST从定序器148的一系列操作的流程图。可以用软件对IST主定序器146和IST从定序器148两者进行编程,以执行图9中的各个操作。一旦设备A 110进入IST模式,则IST主定序器146从存储器131读取第一SKU数据分组,其可以通过软件提供给IST主定序器146。然后,一旦设备B 120进入IST模式,则IST从定序器148与IST主定序器146并行运行。
在图10中描绘了示出各种分组的示例,其包括三个SKU分组SKU X、SKU Y和SKU Z。每个SKU分组可以包括表2中标识的各种数据,例如设备A SKU ID,设备B SKU ID,下一个SKU分组地址,第一报头分组地址,以及是否是最后一个SKU分组的指示。这样,参照图9,在过程910中,IST主定序器146可以首先读取和解码SKU X分组,并且将SKU X分组转发给IST从定序器148,后者也对SKU X分组进行解码。在912处,IST从定序器将“设备B SKU ID”与设备B中的熔丝进行比较以确定它们是否匹配。此外,IST从定序器还指示SKU是否与状态分组匹配。过程914包括IST从定序器148将状态分组发送到IST主定序器146,IST主定序器对其进行解码以确定SKU是否在设备B 120上匹配。在过程916,IST主定序器146将设备A SKU ID与设备A中的熔丝进行比较以确定设备A是否与SKU匹配,并且在918,如果两个设备均与SKU匹配,则IST主定序器146可以从SKU分组的“下一个报头分组地址”中标识的地址中检索(920)下一个报头分组。例如,在图10中,箭头将SKU X分组连接到H1-SKU X分组,其通过在SKU分组中指定报头地址来表示链接。可选地,在918,如果两个SKU都不匹配,则在过程922,IST主定序器146查看“是否是最后一个SKU分组?”信息字段,以确定是否应检索另一个SKU。如果不是最后一个SKU分组,则IST主定序器可以检索924下一个SKU分组,如在“下一个SKU分组地址”字段中所指示的。例如,如图10所示,SKU X分组包括指向SKU Y分组的方向,其通过指定下一个SKU分组的地址来表示SKU分组的链接。一旦检索到SKU Y,就重新启动图9的流程。
虽然图9描绘了一个流程,其中IST主定序器146在过程916确定设备A的SKU是否匹配,在替代实施例中,当IST主定序器146确定设备B不匹配时,可以跳过过程916和918。在那个实例中(图9中未明确示出),IST主定序器146可以从过程914进行到过程922。
如图10中所示,每个报头分组指向相应的数据、结果、状态分组。另外,由于一些数据分组在SKU之间可以是公共的,因此上面参考图8描述的链接技术可以被实现。即,在图10中,H1-SKUX、H1-SKUY和H1-SKUZ可以指向相同的数据分组D1。这是可能的,因为报头(HEADER)具有指向数据分组的指针以及“下一个报头地址”。在图10提供的示例中,D1和D2在所有SKUS上共享,因此,每个SKU的H1指向D1和D2。如H2-SKUX和H2-SKUY中的箭头所示,D3-SKUXY被SKUX和SKUY共享,而D3-SKUZ仅由SKUZ使用。通过以这种方式将数据分组链接到报头分组,由于存储了数据分组的较少副本,因此本公开可以减少存储器使用。此外,所有SKUS可以共享存储器中的结果和状态分组空间,因为每个报头分组都具有“结果和状态分组地址”,并且任何时候都只有一个SKU有效。
已经参照图1至图10描述了本公开的各个方面。现在将描述一些其他方面,并且作为示例可以返回参考图1至图10。本公开的一个方面包括一种***,该***具有存储设备(例如,具有数据144的存储器131);数据总线(例如,链路142,诸如PCIe链路);以及集成芯片(IC)设备(例如,设备A 110或设备B 120),其通过总线通信地耦合到存储设备。调度IC设备以使用数据分组(例如报头数据分组)执行内建自测(BIST),并且该数据分组包括设备标识符(ID)字段(例如,表1中的“设备A或B”指示该分组打算用于哪个设备);分组类型字段(例如,表1中的“分组类型”,其指示JTAG、LBIST或等待);和/或最后一个分组字段(例如,表1中的“是最后一个报头”,其指示这是否是最后一个报头分组)。设备ID字段包括表示设备的设备标识符的第一数据,其中该设备被调度为执行BIST,并且通过总线连接到存储设备。例如,设备ID字段可以包括一些数据,这些数据表示通过链路(例如,PCIe链路)连接到存储器131的设备A 110或设备B 120的标识符。另外,分组类型字段可以包括第二数据,该第二数据表示该数据分组包括标识存储设备中存储BIST测试数据的位置的数据分组地址,或者该数据分组包括枚举(enumerate)该设备被调度为暂停访问总线的周期数的数量的指示。例如,如果分组类型字段的第二数据指示“JTAG”,则这发出报头数据分组还可以包括存储BIST测试数据的存储器131的地址(例如,在表1的“数据分组地址”中)的信号。可选地,如果分组类型字段的第二数据指示“等待”,则这发出报头数据分组包括“等待周期”数据字段中的数量的信号,并且枚举设备(例如,设备A或B,具体取决于设备ID)被调度为暂停访问总线的周期数的数量。此暂停允许总线由并发运行BIST的其他设备访问。此外,最后分组字段包括第三数据,该第三数据表示对该数据分组是否是针对BIST编程的最后一个分组的指示。例如,第三数据可以包括指示报头数据分组是否为最后一个的位(例如,0或1)。如果第三数据指示报头数据分组不是最后一个,则IST定序器可以使用“下一个报头地址”字段中提供的数据检索下一个报头数据分组。此链接允许调度随后并行执行的BIST操作。
本公开的另一方面包括一种集成电路,该集成电路包括被调度为执行第一BIST的第一设备(例如,设备A 110);被调度为执行第二BIST的第二设备(例如,设备B 120);和/或存储设备(例如存储器131)。存储器存储第一报头数据分组(例如,图6中的H1)和第一组BIST测试数据(例如,图6中的D1),并且第一报头数据分组包括表示第一设备(例如,表1中的设备A或B)的标识符的第一数据。存储器还存储第二报头数据分组(例如,图6中的H2),其包括表示第一设备被调度为在执行第一组BIST测试数据时暂停访问存储设备的周期数的第二数据(例如,表1中的等待周期)。存储器还存储第三报头数据分组(例如,图6中的H3)和第二组BIST测试数据(例如,图6中的D2),其中第三报头数据分组包括表示第二设备(例如表1中的设备A或B)的标识符的第三数据。在存储器的逻辑映像中,这些报头分组可与数据分组交错,以方便并发测试设备A和设备B。此外,在存储器的物理映像中,数据分组可靠近地存储在组中,报头分组可以靠近地存储在单独的组中。
集成电路可以包括附加元件。例如,存储器可以存储第四报头数据分组(例如,图6中的H4),其包括表示第二设备被调度为在执行第二组BIST测试数据(例如,表1中的等待周期)时暂停访问存储设备的周期数的第四数据。另外,存储器可以包括第五报头数据分组(例如,图6的H5),其包括表示存储设备中的第一位置的第五数据,从第一组BIST测试数据生成的一组测试结果将被写入该第一位置(例如,表1中的测试结果地址)。存储器还可以存储第六报头数据分组(例如,图6中的H6),其包括表示存储设备中的第二位置的第六数据,从第二组BIST测试数据生成的一组测试结果将被写入该第二位置(例如,表1中的测试结果地址)。在存储器的物理映像中,第一位置和第二位置可以彼此靠近(例如,彼此相邻),与第一位置和第二位置可能会更加分散开的其他测试***相反,这可以允许更有效地读取测试结果以确定通过或失败。
本公开的另一方面包括一种集成电路,该集成电路包括执行BIST的设备(例如,设备A 110或设备B 120)和存储设备(例如,存储器131)。存储设备存储包括BIST测试数据(例如,图8中的D1)的测试数据分组。另外,存储设备存储与BIST的第一执行(例如,在第一chiplet上)相关联的第一报头数据分组(例如,图8中的H1),并且包括表示存储BIST测试数据的存储设备的地址(例如,指向图8中的D1的表1的“数据分组地址”)的第一数据。存储设备还存储与BIST的第二执行(例如,在第二chiplet上)相关联的第二报头数据分组(例如,图8中的H2),并且包括表示地址(例如,指向图8中的D1的表1的“数据分组地址”)的第二数据。在该集成电路中,由于BIST测试数据在该位置被存储一次,并且由单独的chiplet的报头指向该BIST测试数据,因此可以减少存储使用量。
在另一方面,集成电路还可包括第一SKU分组(例如,图10中的SKUX)和第二SKU分组(例如,图10中的SKUY)。第一SKU分组包括表示第一SKU配置(例如,表2中的设备A SKU ID或设备B SKU ID)的标识符的第三数据和表示第一报头地址(例如,表2中的第一报头分组地址)的第四数据,该第一报头地址标识存储设备中存储第一报头数据分组的地址(例如,图10中的H1-SKUX)。第二SKU分组包括表示第二SKU配置的标识符(例如,表2中的设备A SKUID或设备B SKU ID)的第五数据和表示第二报头地址(例如,表2中的第一报头分组地址)的第六数据,该第二报头地址标识存储设备中存储第二报头数据分组的地址(例如,图10中的H1-SKUY)。另一方面,第一报头数据分组(例如,图10中的H1-SKUX)以及第二报头数据分组(例如,图10中的H1-SKUY)包括表示数据分组地址(例如,表1中的数据分组地址)的数据,该数据分组地址标识存储设备中存储BIST测试数据(例如,图10中的D1)的地址,该BIST测试数据适用于第一SKU配置和第二SKU配置(例如,如图10所示,从H1-SKUX指向D1的一个箭头以及从H1-SKUY指向D1的另一个箭头)。
在另一个实施例中,本公开包括一种方法。该方法包括接收(例如,图3A的310或图4的410)第一报头数据分组(例如,图6的H1或H3),该第一报头数据分组包括表示第一数据分组地址(例如,表1中的数据分组地址)的第一数据,其标识存储设备(例如,存储器131)中的第一位置,在该第一位置处存储第一内建自测(BIST)测试数据(例如,图6中的D1或D2),第一BIST测试数据用于在第一IC设备(例如,设备A 110或设备B 120)上运行第一BIST,该第一IC设备通过总线(例如,链路142)通信地耦合到存储设备。通过访问总线从存储设备中的第一位置检索第一BIST测试数据(例如,图3A的322或330)。另外,访问第一IC设备的测试网络(例如,图3A的322或图4的420)以使用第一BIST测试数据来执行第一BIST。该方法还包括:接收第二报头数据分组(例如,图6的H2或H4),该第二报头数据分组包括第二数据,该第二数据表示枚举第一IC设备被调度为在执行第一BIST时暂停访问总线的周期数的数量。触发等待计数器(例如,图3A的319或图4的417)以计数周期数。
该方法可包括其他步骤。例如,可以接收第三报头数据分组,该第三报头数据分组包括表示第二数据分组地址的第三数据,该第二数据分组地址标识存储设备中存储第二BIST测试数据的第二位置,该第二BIST测试数据用于在第二IC设备上运行第二BIST,第二IC设备通过总线通信地耦合到存储设备。当第一IC设备正在执行第一BIST并暂停访问总线时,检索第二BIST测试数据。在另一方面,第一报头数据分组包括表示第二数据分组地址(例如,表1中的下一个报头地址)的第三数据,该第二数据分组地址标识存储设备中存储第二报头数据分组的第二位置,并且该方法还包括使用第二数据分组地址来检索第二报头数据分组。在另一个实施例中,第一报头数据分组包括第三数据,该第三数据表示被调度为接收第一BIST测试数据的广播的chiplet的多个chiplet标识符,并且该方法还包括使用从存储设备中的位置中检索到的第一BIST测试数据(例如,图8示出了链接到同一D1的多个报头)在chiplet中的每个chiplet上运行BIST。在又一方面,接收第三报头数据分组,该第三报头数据分组包括表示IC设备的设备标识符(例如,表1中的设备A或B)的第三数据,并且该方法包括确定等待计数器是活动的(例如,图3A中的314或图4中的412)。当等待计数器是活动的时,读取第三报头数据分组被暂停(例如,图3A的315或图4的413),直到循环数到期为止。在另一个实施例中,该方法包括接收第三报头数据分组(例如,图6中的H5),该第三报头数据分组包括表示存储设备中的第二位置(例如,表1中的测试结果地址)的第三数据,由第一BIST测试数据生成的第一组测试结果(例如,图6中的R1)将被写入该第二位置,并接收第四报头数据分组(例如,图6中的H6),第四报头数据分组包括表示存储设备中第二位置(例如,表1中的测试结果地址)的第四数据,由第二BIST测试数据生成的第二组测试结果(例如,图6中的R2)将被写入该第二位置。第一组测试结果被写入(例如,图3B中的338)到存储器映像中的第二位置,第二组测试结果被写入(例如,图3B中的340)到存储器映像中的第三位置。第二位置和第三位置不包括在存储器映像中其之间交错的其他数据分组(例如,图7中的分组712的R1和R2)。该方法还可以包括:接收库存量单位(SKU)数据分组(例如,图9的910),其包括表示SKU配置(例如,表2中的SKU ID)的第三数据并且包括表示第二数据分组地址(例如,表2中的第一报头分组地址)的第四数据,第二数据分组地址标识存储设备中存储第一报头数据分组的第二位置。在确定第一IC设备匹配SKU配置(例如,图9中的916)之后,使用第二数据分组地址从第二位置中检索(例如,图9中的920)第一报头数据分组。
在本公开中描述了各种流程图(例如,图3A,图3B,图4和图9),本文描述的每个框可以包括可以使用硬件、固件和/或软件的任何组合来执行的计算过程。例如,可以通过处理器执行存储在存储器中的指令来执行各种功能。流程图表示的方法还可以体现为存储在计算机存储介质上的计算机可用指令。这些方法可以由独立应用程序、服务或托管服务(独立地或与另一托管服务组合)或另一产品的插件(仅举几例)提供。另外,通过示例的方式关于图1和图2的***描述了方法。然而,这些方法可以附加地或替代地由任何一个***或***的任何组合来执行,包括但不限于本文所述的那些。
可以在计算机代码或机器可用指令的一般上下文中描述本公开,所述计算机代码或机器可用指令包括由计算机或其他机器(例如个人数据助理或其他手持设备)执行的计算机可执行指令(例如程序模块)。通常,包括例程、程序、对象、组件、数据结构等的程序模块是指执行特定任务或实现特定抽象数据类型的代码。本公开可以在包括手持设备、消费电子产品、通用计算机、更多专用计算设备等的各种***配置中实践。本公开还可以在其中由通过通信网络链接的远程处理设备执行任务的分布式计算环境中实践。
如本文所使用的,相对于两个或更多个元件的“和/或”的叙述应被解释为仅意味着一个元件或元件的组合。例如,“元件A,元件B和/或元件C”可以包括仅元件A,仅元件B,仅元件C,元件A和元件B,元件A和元件C,元件B和元件C或元件A、B和C。另外,“元件A或元件B中的至少一个”可以包括元件A中的至少一个,元件B中的至少一个,或元件A中的至少一个和元件B中的至少一个。此外,“元件A和元件B中的至少一个”可以包括元件A中的至少一个,元件B中的至少一个,元件A中的至少一个和元件B中的至少一个。
在此具体描述本公开的主题,以满足法定要求。然而,描述本身并不旨在限制本公开的范围。相反,发明人已经预料到,所要求保护的主题还可以结合其他当前或未来技术,以其他方式体现,以包括与本文档中所描述的过程不同的过程或类似的过程的组合。此外,尽管本文中可以使用术语“步骤”、“过程”和/或“框”来表示所采用的方法的不同要素,但是该术语不应解释为暗示本文所公开的各种过程之中或之间的任何特定顺序,除非明确描述了各个过程的顺序。

Claims (22)

1.一种***,包括:存储设备;数据总线;通过所述总线通信地耦合到所述存储设备的集成芯片(IC)设备,其中所述IC设备被调度为使用数据分组执行内建自测(BIST),所述数据分组包括:设备标识符(ID)字段,其包括表示所述IC设备的设备标识符的第一数据;分组类型字段,其包括指示所述数据分组包括以下至少一项的第二数据:数据分组地址,其标识所述存储设备中存储BIST测试数据的位置,或枚举所述IC设备被调度为暂停访问所述总线的周期数的数量;以及最后一个分组字段,其包括第三数据,所述第三数据表示所述数据分组是否是为BIST会话编程的最后一个分组的指示。
2.根据权利要求1所述的***,其中所述数据分组还包括测试数据地址字段,所述测试数据地址字段包括表示标识所述存储设备中的所述位置的所述数据分组地址的第四数据。
3.根据权利要求1所述的***,其中所述数据分组还包括测试数据大小字段,所述测试数据大小字段包括表示所述BIST测试数据的大小的第四数据。
4.根据权利要求1所述的***,其中所述数据分组还包括下一分组地址字段,所述下一分组地址字段包括表示所述存储设备中可在该处检索另一数据分组的地址的第四数据。
5.根据权利要求1所述的***,其中所述数据分组还包括等待周期字段,所述等待周期字段包括表示枚举所述周期数的数量的第四数据。
6.根据权利要求1所述的***,其中所述数据分组还包括测试结果地址字段,所述测试结果地址字段包括表示测试结果可写到的所述存储设备中的地址的第四数据。
7.根据权利要求1所述的***,其中所述数据分组还包括测试结果大小字段,所述测试结果大小字段包括表示由所述设备基于所述BIST测试数据而生成的测试结果的大小的第四数据。
8.根据权利要求1所述的***,其中所述数据分组还包括测试状态地址字段,所述测试状态地址字段包括表示测试状态可写到的所述存储设备中的地址的第四数据。
9.根据权利要求1所述的***,其中所述数据分组还包括chiplet ID字段,所述chipletID字段包括表示被调度为接收所述BIST测试数据的广播的chiplet的多个chiplet标识符的第四数据。
10.一种集成电路,包括:第一设备,其被调度为执行第一内建自测BIST;第二设备,其被调度为执行第二BIST;以及存储设备,所述存储设备包括:第一报头数据分组和第一组BIST测试数据,其中所述第一报头数据分组包括表示所述第一设备的标识符的第一数据;第二报头数据分组,包括表示所述第一设备被调度为在执行所述第一组BIST测试数据时暂停访问所述存储设备的周期数的第二数据;以及第三报头数据分组和第二组BIST测试数据,其中所述第三报头数据分组包括表示所述第二设备的标识符的第三数据。
11.根据权利要求10所述的集成电路,其中在所述存储设备的逻辑存储器映像中,所述第一报头数据分组和所述第一组BIST测试数据包括第一数据序列,所述第二报头数据分组包括第二数据序列,以及所述第三报头数据分组和所述第二组BIST测试数据包括第三数据序列;其中所述第二数据序列在所述第一数据序列和所述第三数据序列之间交错。
12.根据权利要求10所述的集成电路,其中在所述存储设备的物理存储器映像中:所述第一报头数据分组、所述第二报头数据分组和所述第三报头数据分组彼此接近;以及所述第一组BIST测试数据和所述第二组BIST测试数据彼此接近。
13.根据权利要求10所述的集成电路,还包括:第四报头数据分组,其包括表示所述第二设备被调度为在执行所述第二组BIST测试数据时暂停访问所述存储设备的周期数的第四数据;以及第五报头数据分组,包括表示从所述第一组BIST测试数据生成的一组测试结果将被写入至的所述存储设备中的第一位置的第五数据;以及第六报头数据分组,包括表示从所述第二组BIST测试数据生成的一组测试结果将被写入至的所述存储设备中的第二位置的第六数据,其中在所述存储设备的物理存储器映像中,所述第一位置和所述第二位置彼此接近。
14.根据权利要求10所述的集成电路,其中所述第一设备包括主定序器,并且所述第二设备包括从定序器,其中在运行所述第一BIST时,在所述周期数内由所述主定序器发出暂停,并且其中所述从定序器在所述暂停期间检索所述第二组BIST测试数据。
15.根据权利要求10所述的集成电路,其中所述第一报头数据分组包括标识所述存储设备中存储所述第一组BIST测试数据的位置的数据分组地址。
16.一种方法,包括:接收包括表示第一数据分组地址的第一数据的第一报头数据分组,其标识存储设备中存储第一内建自测(BIST)测试数据的第一位置,所述第一BIST测试数据用于在通过总线通信地耦合到所述存储设备的第一IC设备上运行第一BIST;通过访问所述总线从所述存储设备中的所述第一位置检索所述第一BIST测试数据;访问所述第一IC设备的测试网络,以使用所述第一BIST测试数据执行所述第一BIST;接收第二报头数据分组,所述第二报头数据分组包括表示枚举在执行所述第一BIST时所述第一IC设备被调度为暂停访问所述总线的周期数的数量的第二数据;以及触发等待计数器以计数所述周期数。
17.根据权利要求16所述的方法,还包括:接收包括表示第二数据分组地址的第三数据的第三报头数据分组,其标识所述存储设备中存储第二BIST测试数据的第二位置,所述第二BIST测试数据用于在通过所述总线通信地耦合到所述存储设备的第二IC设备上运行第二BIST;以及在所述第一IC设备正在执行所述第一BIST并暂停访问所述总线时,检索所述第二BIST测试数据。
18.根据权利要求16所述的方法,其中所述第一报头数据分组包括表示第二数据分组地址的第三数据,所述第二数据分组地址标识所述存储设备中存储所述第二报头数据分组的第二位置;其中所述方法还包括:使用所述第二数据分组地址来检索所述第二报头数据分组。
19.根据权利要求16所述的方法,其中所述第一报头数据分组包括表示被调度以接收所述第一BIST测试数据的广播的chiplet的多个chiplet标识符的第三数据;以及其中所述方法还包括:使用从所述存储设备中的位置检索的所述第一BIST测试数据在所述chiplet中的每个chiplet上运行BIST。
20.根据权利要求16所述的方法,还包括:接收第三报头数据分组,其包括表示所述IC设备的设备标识符的第三数据;确定所述等待计数器是活动的;以及暂停读取所述第三报头数据分组,直到所述周期数到期为止。
21.根据权利要求16所述的方法,还包括:接收第三报头数据分组,其包括表示从所述第一BIST测试数据生成的第一组测试结果将被写入至的所述存储设备中的第二位置的第三数据;接收第四报头数据分组,其包括表示从第二BIST测试数据生成的第二组测试结果将被写入至的所述存储设备中的第二位置的第四数据;以及将所述第一组测试结果写入至存储器映像中的所述第二位置,并将所述第二组测试结果写入至存储器映像中的第三位置,所述第二位置和所述第三位置在所述存储器映像中没有其他数据分组在其间***。
22.根据权利要求16所述的方法,还包括:接收库存量单位(SKU)数据分组,其包括表示SKU配置的第三数据以及包括表示第二数据分组地址的第四数据,所述第二数据分组地址标识所述存储设备中存储所述第一报头数据分组的第二位置;以及在确定所述第一IC设备匹配所述SKU配置之后,使用所述第二数据分组地址从所述第二位置检索所述第一报头数据分组。
CN201980081439.2A 2018-10-10 2019-10-10 在已部署的汽车平台上执行自测的测试*** Pending CN113167831A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862743904P 2018-10-10 2018-10-10
US62/743,904 2018-10-10
PCT/US2019/055667 WO2020077107A1 (en) 2018-10-10 2019-10-10 Test systems for executing self-testing in deployed automotive platforms

Publications (1)

Publication Number Publication Date
CN113167831A true CN113167831A (zh) 2021-07-23

Family

ID=70159921

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980081439.2A Pending CN113167831A (zh) 2018-10-10 2019-10-10 在已部署的汽车平台上执行自测的测试***

Country Status (4)

Country Link
US (3) US11079434B2 (zh)
CN (1) CN113167831A (zh)
DE (1) DE112019005121T5 (zh)
WO (1) WO2020077107A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113167831A (zh) 2018-10-10 2021-07-23 辉达公司 在已部署的汽车平台上执行自测的测试***
US11494370B2 (en) * 2020-03-20 2022-11-08 Nvidia Corporation Hardware-controlled updating of a physical operating parameter for in-field fault detection
EP3961229B1 (en) * 2020-08-25 2024-02-28 STMicroelectronics S.r.l. Electronic device and corresponding self-test method
US11356378B2 (en) * 2020-08-31 2022-06-07 Micron Technology, Inc. Combined write enable mask and credit return field
US11362939B2 (en) 2020-08-31 2022-06-14 Micron Technology, Inc. Flow control for a multiple flow control unit interface
US11580044B2 (en) 2020-08-31 2023-02-14 Micron Technology, Inc. Network credit return mechanisms
US11588745B2 (en) 2020-08-31 2023-02-21 Micron Technology, Inc. Early credit return for credit-based flow control
US11526644B2 (en) * 2020-11-05 2022-12-13 Nvidia Corporation Controlling test networks of chips using integrated processors
US11835991B2 (en) * 2021-03-22 2023-12-05 Stmicroelectronics International N.V. Self-test controller, and associated method
KR20230160547A (ko) * 2022-05-17 2023-11-24 삼성전자주식회사 오토모티브 장치의 결함 검출 시스템

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030106004A1 (en) * 2001-12-04 2003-06-05 Intellitech Corporation Method and apparatus for embedded built-in self-test (BIST) of electronic circuits and systems
US20030120974A1 (en) * 2000-09-14 2003-06-26 Cadence Design Systems, Inc. Programable multi-port memory bist with compact microcode
US6651201B1 (en) * 2000-07-26 2003-11-18 International Business Machines Corporation Programmable memory built-in self-test combining microcode and finite state machine self-test
US6681359B1 (en) * 2000-08-07 2004-01-20 Cypress Semiconductor Corp. Semiconductor memory self-test controllable at board level using standard interface
US6874111B1 (en) * 2000-07-26 2005-03-29 International Business Machines Corporation System initialization of microcode-based memory built-in self-test
CN103310850A (zh) * 2013-06-27 2013-09-18 桂林电子科技大学 片上网络资源节点存储器的内建自测试结构和自测试方法
CN103917879A (zh) * 2011-09-23 2014-07-09 美商新思科技有限公司 用于测试嵌入式存储器的存储器硬宏分区优化
CN104412327A (zh) * 2013-01-02 2015-03-11 默思股份有限公司 内建自测试以及修复装置及方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6115763A (en) 1998-03-05 2000-09-05 International Business Machines Corporation Multi-core chip providing external core access with regular operation function interface and predetermined service operation services interface comprising core interface units and masters interface unit
US6910155B2 (en) * 2001-06-25 2005-06-21 Hewlett-Packard Development Company, L.P. System and method for chip testing
US7289537B1 (en) * 2002-11-01 2007-10-30 Greenfield Networks, Inc. Single-chip multi-port Ethernet switch
US7360134B1 (en) * 2004-09-21 2008-04-15 Sun Microsystems, Inc. Centralized BIST engine for testing on-chip memory structures
DE102006009224B4 (de) * 2006-02-28 2017-04-06 Advanced Micro Devices, Inc. Auswahl eines Testalgorithmus in einer Steuerung für eingebauten Speicherselbsttest
US20080098269A1 (en) * 2006-09-29 2008-04-24 Bhavsar Dilip K Mechanism for concurrent testing of multiple embedded arrays
US20120137185A1 (en) * 2010-11-30 2012-05-31 Advanced Micro Devices, Inc. Method and apparatus for performing a memory built-in self-test on a plurality of memory element arrays
US8549368B1 (en) * 2012-05-19 2013-10-01 Freescale Semiconductor, Inc. Memory built-in-self testing in multi-core integrated circuit
KR20190000663A (ko) * 2017-06-23 2019-01-03 에스케이하이닉스 주식회사 메모리 장치 및 그 동작 방법
US11408934B2 (en) 2017-12-22 2022-08-09 Nvidia Corporation In system test of chips in functional systems
CN112955761A (zh) * 2018-08-31 2021-06-11 辉达公司 用于在汽车应用部署中执行内建自测的测试***
CN113167831A (zh) 2018-10-10 2021-07-23 辉达公司 在已部署的汽车平台上执行自测的测试***

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6651201B1 (en) * 2000-07-26 2003-11-18 International Business Machines Corporation Programmable memory built-in self-test combining microcode and finite state machine self-test
US6874111B1 (en) * 2000-07-26 2005-03-29 International Business Machines Corporation System initialization of microcode-based memory built-in self-test
US6681359B1 (en) * 2000-08-07 2004-01-20 Cypress Semiconductor Corp. Semiconductor memory self-test controllable at board level using standard interface
US20030120974A1 (en) * 2000-09-14 2003-06-26 Cadence Design Systems, Inc. Programable multi-port memory bist with compact microcode
US20030106004A1 (en) * 2001-12-04 2003-06-05 Intellitech Corporation Method and apparatus for embedded built-in self-test (BIST) of electronic circuits and systems
CN103917879A (zh) * 2011-09-23 2014-07-09 美商新思科技有限公司 用于测试嵌入式存储器的存储器硬宏分区优化
CN104412327A (zh) * 2013-01-02 2015-03-11 默思股份有限公司 内建自测试以及修复装置及方法
CN103310850A (zh) * 2013-06-27 2013-09-18 桂林电子科技大学 片上网络资源节点存储器的内建自测试结构和自测试方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
韩可;邓中亮;闫华;: "基于边界扫描技术的Flash测试技术研究", 电子器件, no. 02 *

Also Published As

Publication number Publication date
US20210341537A1 (en) 2021-11-04
US11768241B2 (en) 2023-09-26
DE112019005121T5 (de) 2021-06-24
US20200116783A1 (en) 2020-04-16
US20230143807A1 (en) 2023-05-11
US11079434B2 (en) 2021-08-03
WO2020077107A1 (en) 2020-04-16
US11573269B2 (en) 2023-02-07

Similar Documents

Publication Publication Date Title
CN113167831A (zh) 在已部署的汽车平台上执行自测的测试***
US7340658B2 (en) Technique for combining scan test and memory built-in self test
US9384108B2 (en) Functional built-in self test for a chip
JP3823087B2 (ja) 組み込み型メモリを含むシステム及びビルトイン・セルフテスト機構を備える集積回路及びこれのテスト方法。
JP2007522593A (ja) 高速試験および冗長計算のためのリモートbist
US20090313507A1 (en) Generation of trace data in a multi-processor system
JP5651784B2 (ja) 内蔵自己試験を使用するデバッガベースのメモリダンプ
US11810632B2 (en) Test system for executing built-in self-test in deployment for automotive applications
KR100462177B1 (ko) 주변 장치의 동작 상태를 실시간으로 백업할 수 있는엠베디드 컨트롤러
US7844867B1 (en) Combined processor access and built in self test in hierarchical memory systems
US9606183B2 (en) Pseudo tester-per-site functionality on natively tester-per-pin automatic test equipment for semiconductor test
CN100468331C (zh) 在包括多个具有jtag能力的集成电路的***中的代码下载
US7571357B2 (en) Memory wrap test mode using functional read/write buffers
US6459292B1 (en) Testing system for semiconductor device
CN109117299B (zh) 服务器的侦错装置及其侦错方法
US20090307545A1 (en) Testable multiprocessor system and a method for testing a processor system
US8392777B2 (en) Centralized MBIST failure information
US7526691B1 (en) System and method for using TAP controllers
CN114489743A (zh) 一种片上可编程***的程序烧写及加载运行方法
US10541043B1 (en) On demand data stream controller for programming and executing operations in an integrated circuit
JP5279817B2 (ja) 試験装置および試験方法
CN112912958A (zh) 使用内置自测控制器测试只读存储器
US8661289B2 (en) Systems and methods for CPU repair
CN117234831B (zh) 一种基于多核cpu的芯片功能测试方法及***
JP7288329B2 (ja) 半導体装置、および半導体装置のテスト方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination