CN112996893A - 化学机械研磨后(post cmp)清洁组合物 - Google Patents

化学机械研磨后(post cmp)清洁组合物 Download PDF

Info

Publication number
CN112996893A
CN112996893A CN201980073312.6A CN201980073312A CN112996893A CN 112996893 A CN112996893 A CN 112996893A CN 201980073312 A CN201980073312 A CN 201980073312A CN 112996893 A CN112996893 A CN 112996893A
Authority
CN
China
Prior art keywords
acid
ether
composition
bromide
glycol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980073312.6A
Other languages
English (en)
Inventor
D·怀特
M·怀特
刘俊
E·托马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of CN112996893A publication Critical patent/CN112996893A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/58Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/38Cationic compounds
    • C11D1/62Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/88Ampholytes; Electroneutral compounds
    • C11D1/90Betaines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2093Esters; Carbonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/24Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/32Amides; Substituted amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3445Organic compounds containing sulfur containing sulfino groups, e.g. dimethyl sulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/34Organic compounds containing sulfur
    • C11D3/3454Organic compounds containing sulfur containing sulfone groups, e.g. vinyl sulfones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/37Polymers
    • C11D3/3703Macromolecular compounds obtained otherwise than by reactions only involving carbon-to-carbon unsaturated bonds
    • C11D3/3707Polyethers, e.g. polyalkyleneoxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)

Abstract

本发明大体上涉及一种组合物和方法,所述组合物和所述方法用于自上面具有残留物和污染物的微电子装置清洁所述残留物和/或污染物。所述残留物可包括CMP后、蚀刻后和/或灰分后残留物。所述组合物和方法在对包含铜、低k介电材料和阻挡材料的微电子表面进行清洁时尤其有利,所述阻挡材料包含含钽材料,含钴材料,含钽、含钨和含钌材料中的至少一者。

Description

化学机械研磨后(POST CMP)清洁组合物
技术领域
本发明大体上涉及用于自上面具有残留物和/或污染物的微电子装置清洁残留物和/或污染物的组合物。
背景技术
将微电子装置芯片用于形成集成电路。微电子装置芯片包括例如硅的衬底,在其中区域经图案化用以沉积具有绝缘、导电或半导电的特性的不同材料。
为获得恰当的图案化,必须移除用于在衬底上形成层的过量材料。此外,为制造功能性的且可靠的电路,在后续加工之前制备平整或平坦的微电子芯片表面很重要。因此,必需平坦化和/或研磨微电子装置芯片的某些表面。
化学机械研磨或平坦化(“CMP”)为自微电子装置芯片的表面移除材料的工艺,且通过将例如磨耗的物理方法与例如氧化或螯合的化学方法偶合来平坦化且研磨表面。以其最基本的形式,CMP涉及将浆液(例如研磨剂和活性化学物质的溶液)施加研磨垫,其磨光微电子装置芯片的表面以实现移除、平坦化和研磨工艺。通常移除或研磨工艺不需要由纯物理或纯化学操作组成,而是二者协同组合以便达成快速、均匀的移除。在集成电路的制造中,CMP浆液也应能够优先移除包含金属和其它材料的复合层的膜,从而可产生用于后续光刻、图案化、蚀刻和薄膜加工的高度平坦的表面。
在通常用于微电子装置制造中的电路的金属化的铜镶嵌方法中,必须移除且平面化的层包括具有约1-1.5μm厚度的铜层和具有约0.05-0.15μm的厚度的铜晶种层。通过阻挡材料的层自介电材料表面分离这些铜层,所述阻挡材料的层通常约
Figure BDA0003053728360000011
厚,其防止铜扩散至氧化介电材料中。在研磨之后在整个芯片表面中获得良好均匀性的一个关键为使用对各材料具有恰当移除选择性的CMP浆液。
目前将钽和氮化钽用作阻挡层材料,以防止由铜扩散通过介电层所导致的装置污染。然而,由于钽的高电阻率,尤其在高宽宽比特征中,难以使铜有效地沉积于阻挡层上。因此,铜晶种层最初必须沉积于阻挡层上。因为电路的特征大小降低至65nm、45nm和32nm尺度,所以控制晶种层的精确厚度以防止沟槽的顶部处的悬垂物和空隙的形成变得极其困难,尤其对于32nm和以外的技术节点。
对于前述涉及芯片衬底表面制备、沉积、电镀、蚀刻和化学机械研磨的处理操作,需要进行各种清洁操作,以确保微电子装置产品不含残留物和污染物,所述残留物和污染物将以其它方式有害地影响产品的功能或甚至致使其不可用于其预定功能。通常,这些污染物中的粒子小于0.3μm。遵循CMP工艺,这类残留物和污染物包括CMP浆液组分、来自经移除层的粒子和例如苯并***(BTA)的腐蚀抑制剂化合物。如果不移除,那么这些残留物会损害铜线或使铜金属化物严重粗糙化,以及导致CMP后经涂覆层于装置衬底上的不良黏着。铜金属化物的严重粗糙化尤其难以解决,这是因为过度粗糙的铜可能导致产品微电子装置的不良电气性能。
行业中持续需要提供自衬底有效移除残留物和污染物的组合物和方法,所述衬底包括包括除钽和氮化钽以外或外加钽和氮化钽的阻挡材料的衬底。所述组合物和方法应消除铜或钴上的粒子和其它污染物且不腐蚀铜或钴或以其它方式损害铜或钴。
发明内容
本发明大体上涉及一种组合物和方法,所述组合物和所述方法用于自上面具有残留物和污染物的微电子装置清洁所述残留物和/或污染物。残留物可包括CMP后、蚀刻后和/或灰分后残留物。组合物和方法尤其在对包含铜或钴、低k介电材料和包含含钽材料,含钴材料和含钛、含钨、含钌材料中的至少一者的阻挡材料的微电子表面进行清洁时有利。
在一个方面中,提供一种清洁组合物,所述组合物包含
(i)至少一种蚀刻剂材料,其选自胺和络合剂,
(ii)至少一种清洁添加剂,其选自环氧乙烷/环氧丙烷嵌段共聚物、氢氧化十二烷基三甲基铵、椰油酰胺丙基甜菜碱、聚二醇醚和脂肪胺季铵盐和其组合,
(iii)至少一种有机添加剂,
(iv)至少一种腐蚀抑制剂,
(v)至少一种pH调节剂,和任选的
(vi)至少一种水溶性聚合物,
其中所述组合物的pH大于约8。
在另一方面中,本发明提供一种用于自上面具有残留物和污染物的微电子装置移除所述残留物和/或污染物的方法,所述方法包含使微电子装置与本发明的清洁组合物接触足够的时间,以自微电子装置至少部分地清洁所述残留物和污染物。本发明的组合物有利地与铜、低k介电材料和阻挡材料兼容,其中所述阻挡材料包含含钽材料、含钴材料或含钌材料中的至少一者。
附图说明
图1和2为本发明的多种清洁组合物的NTU(比浊法浊度单位)相对于时间(秒)的曲线。
具体实施方式
本发明大体上涉及适用于自其上具有残留物和污染物的微电子装置移除这类物质的组合物。所述组合物尤其适用于移除CMP后、蚀刻后或灰分后残留物。
为了容易参考,“微电子装置”对应于半导体衬底、平板显示器、相变内存装置、太阳电池板和其它产品,包括太阳能衬底、光伏打和微机电***(MEMS),经制造用于微电子、集成电路或计算机芯片应用。太阳能衬底包括但不限于硅、非晶硅、多晶硅、单晶硅、CdTe、硒化铜铟(copper indium selenide)、硫化铜铟(copper indium sulfide)和镓上的砷化镓(gallium arsenide on gallium)。太阳能衬底可经掺杂或未经掺杂。应理解,术语“微电子装置”并不意味着以任何方式限制,且包括将最终变为微电子装置或微电子总成的任何衬底。
如本文中所使用,“残留物”对应于在微电子装置的制造期间所产生的粒子,所述制造包括(但不限于)电浆蚀刻、灰化、化学机械研磨、湿式蚀刻和其组合。
如本文所使用,“污染物”对应于存在于CMP浆液中的化学物质、研磨浆液的反应副产物、存在于湿式蚀刻组合物中的化学物质、湿式蚀刻组合物的反应副产物,和为CMP工艺、湿式蚀刻、电浆蚀刻或电浆灰化工艺的副产物的任何其它材料。常见污染物包括苯并***,其通常存在于CMP浆液中。
如本文中所使用,“CMP后残留物”与以下各者相对应:来自研磨浆液的粒子(例如含硅粒子)、存在于浆液中的化学物质、研磨浆液的反应副产物、富碳粒子、研磨垫粒子、刷状减载粒子、建构粒子的设备材料、金属、金属氧化物、有机残留物、阻挡层残留物和为CMP工艺的副产物的任何其它材料。如本文所定义,通常经研磨的“金属”包括铜、钴、铝、钌、铁、钛和钨。
如本文中所定义,“低k介电物质”对应于分层微电子装置中用作介电材料的任何材料,其中材料的介电常数小于约3.5。优选地,低k介电物质包括低极性材料,例如含硅有机聚合物、含硅杂化有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和经碳掺杂的氧化(CDO)玻璃。应了解低k介电材料可具有不同密度和不同孔隙。
如本文所定义,术语“阻挡材料”对应于所属领域中用以密封金属线(例如铜互联机)以将所述金属(例如铜)向介电材料的扩散降至最低的任何材料。优选阻挡层材料包括钽、钛、钌、铪、钨、钴以及前述金属中的任一者的氮化物、碳化物和硅化物。
如本文中所定义,“蚀刻后残留物”对应于气相电浆蚀刻工艺(例如BEOL双金属镶嵌工艺)或湿式蚀刻工艺之后所残留的材料。蚀刻后残留物可为有机物、有机金属、有机硅,或本质上为无机物,例如含硅材料、碳基有机材料和例如氧气和氟气的蚀刻气体残留物。
如本文中所定义,如本文中所使用的“灰化后残留物”对应于与用以移除硬化光阻和/或底部抗反射涂层(BARC)材料的氧化或还原电浆灰化之后所残留的材料。灰化后残留物可为有机物、有机金属、有机硅或本质上为无机物。
“基本上不含”在本文中定义为小于2重量%、优选小于1重量%、更优选小于0.5重量%、且最优选小于0.1重量%。在一个实施例中,“基本上不含”与0%相对应。
如本文中所定义,“含钌材料”和“钌物种”包括(但不限于)纯钌、钌氮化物(包括包含例如Si、Ta或Li的其它元素的钌氮化物)、钌氧化物(包括包含氢氧化物的钌氧化物)和钌合金。所属领域技术人员应理解,各种钌氧化物和钌氮化物的化学式可基于钌离子的氧化态而变化,其中钌的常见氧化态为0、+2、+3、+4、+6、+7、+8或-2。
如本文中所定义,“含钽材料”和“钽物种”包括(但不限于)纯钽、钽氮化物(包括包含例如Si的其它元素的钽氮化物)、钽氧化物(包括包含氢氧化物的钽氧化物)、钽铝化物和钽合金。所属领域技术人员应理解,各种钽氧化物和钽氮化物的化学式可基于钽离子的氧化态而变化,其中钽的常见氧化态为-1、-3、+1、+2、+3、+4和+5。
如本文中所定义,“含钴材料”和“钴物种”包括(但不限于)纯钴、钴氧化物、钴氢氧化物、(包括包含例如Ta或Ti的其它元素的钴氮化物)、钽氧化物、CoW、CoP、CoSi和钴硅化物。所属领域技术人员应理解,各种钴氧化物和钴氮化物的化学式可基于钴离子的氧化态而变化,其中钴的常见氧化态为-3、-1、+1、+2、+3、+4和+5。
如本文中所定义,“含钌材料”和“钌物种”包括(但不限于)纯钌、钌氮化物(包括包含例如Si、Ta或Li的其它元素的钌氮化物)、钌氧化物(包括包含氢氧化物的钌氧化物)和钌合金。所属领域技术人员应理解,各种钌氧化物和钌氮化物的化学式可基于钌离子的氧化态而变化,其中钌的常见氧化态为0、+2、+3、+4、+6、+7、+8或-2。
如本文所使用,“约”打算对应于所述值的±5%。
如本文中所定义,“反应产物或降解产物”包括(但不限于)由于在表面催化、氧化、还原、与组成性组分反应或以其它方式聚合形成的产物或副产物;由于其中物质或材料(例如分子、化合物等)与其它物质或材料组合、与其它物质或材料互换组分、分解、重排或以其它方式化学和/或物理地改变的变化或转化形成的产物或副产物,包括前述中的任一者的中间产物或副产物或前述反应、变化和/或转化的任何组合。应了解,反应物或降解产物可具有比原始反应物更大或更小的摩尔质量。
蚀刻剂来源辅助分解和溶解蚀刻后残留物物种,从而辅助聚合物侧壁残留物移除。本文中涵盖的蚀刻剂来源包括(但不限于):某些胺和络合剂。
如本文所使用,“络合剂”包括由所属领域技术人员理解为络合剂、螯合剂和/或钳合剂的那些化合物。络合剂将与待使用本文中所描述的组合物移除的金属原子和/或金属离子化学组合或配位或以物理方式保留所述金属原子和/或金属离子。络合剂包括具有通式NR1R2R3的物种,其中R1、R2和R3可彼此相同或不同且选自氢、直链或分支链C1-C6烷基(例如,甲基、乙基、丙基、丁基、戊基和己基)、直链或分支链C1-C6羟烷基(例如,羟甲基、羟乙基、羟丙基、羟丁基、羟戊基和羟己基)和直链或分支链C1-C6羟烷基的C1-C6烷基醚,如上文所定义。在某些实施例中,R1、R2和R3中的至少一者为直链或分支链C1-C6羟烷基。实例包括(但不限于)烷醇胺,例如氨基乙基乙醇胺、N-甲氨基乙醇、氨基乙氧基乙醇、二甲氨基乙氧基乙醇、二乙醇胺、N-甲基二乙醇胺、单乙醇胺(MEA)、二乙醇胺、三乙醇胺(TEA)、1-氨基-2-丙醇、2-氨基-1-丁醇、异丁醇胺、三亚乙基四胺、乙二胺、四亚乙基五胺、二甲基乙醇胺、N-甲基丙醇胺、二异丙醇胺、二甘醇胺、二甘醇羟乙基胺乙烯三亚乙基二胺、其它C1-C8烷醇胺和其组合。当胺包括烷基醚组分时,胺可视为烷氧基胺,例如1-甲氧基-2-氨基乙烷。或者或除NR1R2R3胺以外,络合剂还可以是包括但不限于以下的多官能胺:4-(2-羟乙基)吗啉(HEM)、1,2-环己二胺-N,N,N',N'-四乙酸(CDTA)、乙二胺四乙酸(EDTA)、(羟乙基)乙二胺三乙酸(HEDTA)、间二甲苯二胺(MXDA)、亚氨基二乙酸(IDA)、2-(羟乙基)亚氨基二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、丙氨酸、精氨酸、天冬酰胺酸、天冬氨酸、半胱氨酸、谷氨酸、谷酰胺酸、组氨酸、异亮氨酸、亮氨酸、赖氨酸、甲硫氨酸、苯丙氨酸、脯氨酸、丝氨酸、苏氨酸、色氨酸、酪氨酸、缬氨酸和其组合。或者或除前述络合剂以外,额外络合剂可包括膦酸盐(例如,1-羟基亚乙基-1,1-二膦酸(HEDP)、1,5,9-三氮杂环十二烷-N,N',N”-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N',N”,N”'-四(亚甲基膦酸)(DOTP)、氮基三(亚甲基)三膦酸、二亚乙基三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺五亚甲基膦酸、1,4,7-三氮杂环壬烷-N,N',N”-三(亚甲基膦酸)(NOTP)、羟乙基二磷酸盐、氮基三(亚甲基)膦酸、2-膦酰基-丁烷-1,2,3,4-四甲酸、羧乙基膦酸、氨基乙基膦酸、草甘膦、乙二胺四(亚甲基膦酸)苯基膦酸、其盐和其衍生物)和/或羧酸(例如,草酸、丁二酸、顺丁烯二酸、苹果酸、丙二酸、己二酸、邻苯二甲酸、柠檬酸、柠檬酸钠、柠檬酸钾、柠檬酸铵、丙三羧酸、三羟甲基丙酸、酒石酸、葡糖醛酸、2-羧基吡啶)和/或例如试钛灵(4,5-二羟基-1,3-苯二磺酸二钠盐)的磺酸。在某些实施例中,至少一种络合剂包含选自单乙醇胺、三乙醇胺、硫酸、柠檬酸和其组合的物种。在一个实施例中,按组合物的总重量计,移除组合物中络合剂的量在约0.01重量%至约20重量%范围内。
适用作蚀刻剂来源的胺包括具有通式NR4R5R6的物种,其中R4、R5和R6可彼此相同或不同且选自由以下组成的群组:氢、直链或分支链C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基和己基)、直链或分支链C1-C6醇(例如甲醇、乙醇、丙醇、丁醇、戊醇和己醇)和具有式R7-O-R8的直链或分支链醚,其中R7和R8可彼此相同或不同且选自由以下组成的群组:如上所定义的C1-C6烷基。当胺包括醚组分时,所述胺可视为烷氧基胺。其它有机胺预期包括二氰胺(C2N3-),以及其盐和其类似物。在其它实施例中,R4、R5和R6中的至少一者为直链或分支链C1-C6醇基。实例包括(但不限于)烷醇胺,例如烷醇胺,例如氨基乙基乙醇胺、N-甲氨基乙醇、氨基乙氧基乙醇、氨基乙氧基乙氧基乙醇、丁氧基丙胺、甲氧基丙胺、丁氧基异丙胺、2-乙基己基异丙氧基胺、乙醇丙胺、乙基乙醇胺、正羟乙基吗啉、氨基丙基二乙醇胺、二甲氨基乙氧基乙醇、二乙醇胺、N-甲基二乙醇胺、单乙醇胺、三乙醇胺、哌嗪、羟基乙基哌嗪、二羟基乙基哌嗪、二异丙醇胺、三(氨基乙基)胺、1-氨基-2-丙醇、3-氨基-1-丙醇、二异丙胺、氨基甲基丙二醇、N,N-二甲氨基甲基丙二醇、氨基乙基丙二醇、N,N-二甲氨基乙基丙二醇、异丙胺、2-氨基-1-丁醇、氨基甲基丙醇、氨基二甲基丙醇、N,N-二甲氨基甲基丙醇、异丁醇胺、二异丙醇胺、3-氨基,4-羟基辛烷、2-氨基丁醇、三(羟基甲基)氨基甲烷(TRIS)、N,N-二甲基三(羟甲基)氨基甲烷、羟丙胺、羟乙基胺、三(羟乙基)氨基甲烷、其它C1-C8烷醇胺和其组合;胺,例如三亚乙基二胺、乙二胺、己二胺、四亚乙基五胺(TEPA)、三亚乙基四胺、二亚乙基三胺、三乙胺、三甲胺和其组合;二甘醇胺;吗啉以及胺和烷醇胺的组合。在一个实施例中,有机胺包含单乙醇胺。
如本文所使用,术语“有机添加剂”是指辅助含水清洁组合物的组分和有机残留物溶解、润湿微电子装置结构的表面以促进残留物移除、防止残留物再沉积和/或钝化底层材料(例如,ULK)的有机溶剂。本文涵盖的这类有机溶剂包括但不限于醇、醚、吡咯烷酮、二醇和二醇醚,包括但不限于甲醇、乙醇、异丙醇、丁醇和高级醇(例如C2-C4二醇和C2-C4三醇)、四氢糠醇(THFA)、卤化醇(例如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、二氯甲烷、三氯甲烷、乙酸、丙酸、三氟乙酸、四氢呋喃N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲亚砜(DMSO)、四亚甲基砜(环丁砜)、二***、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二恶烷、丁内酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚(也就是说丁基卡必醇)、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇***、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、二丙二醇甲醚乙酸酯、四乙二醇二甲醚(TEGDE)、乙二醇单苯醚、二甘醇单苯醚、三乙二醇单苯醚、二元酯、丙三醇碳酸酯、N-甲酰基吗啉、磷酸三乙酯和其组合。另外,有机溶剂可包含其它两亲媒性物种,也就是说含有类似于表面活性剂的亲水性和疏水性部分两者的物种。一般可以通过包括由烃或碳氟化合物基组成的分子基团赋予疏水性特性,且一般可以通过包括离子或不带电极性官能基赋予亲水性特性。在一个实施例中,有机溶剂包括三丙二醇甲醚(TPGME)、二丙二醇甲醚(DPGME)、丙二醇和其组合。在某些实施例中,按组合物的总重量计,组合物包含约0.01重量%至约20重量%或5重量%至20重量%的有机溶剂。
如本文所用,术语“表面活性剂”是指例如环氧乙烷/环氧丙烷嵌段共聚物的表面活性剂,例如
Figure BDA0003053728360000071
P84(BASF),CAS第9003-11-6号;氢氧化十二烷基三甲基铵,椰油酰胺基丙基甜菜碱,CAS第61789-40-0号(也称为{[3-(十二酰基氨基)丙基](二甲基)氨基乙酸盐);聚二醇醚,例如
Figure BDA0003053728360000072
KW100(Elementis),聚二醇醚(15EO)甲基硫酸椰子胺;和脂肪胺季铵盐,例如CirrasolTMG265(Croda);和其组合。
在本发明的情形下,适合的腐蚀抑制剂降低金属的腐蚀率;腐蚀抑制剂的类别可包括(但不限于):1.结合、吸附、涂层或对金属表面起反应/与金属表面反应的分子,且提供用于将氧气或水输送至金属表面或防止氧化金属阳离子自表面穿透的阻挡;2.改变金属的电化学表面电位且使其更具贵金属的分子;和3.消耗性地清除提高腐蚀率的氧或酸的分子。例示性腐蚀抑制剂包括化合物,例如***和其衍生物、苯并***和其衍生物、甲苯基***、噻唑和其衍生物、四唑和其衍生物、咪唑和其衍生物以及吖嗪和其衍生物。例示性腐蚀抑制剂包括5-氨基四唑、5-苯基-苯并***、1H-四唑-5-乙酸、1-苯基-2-四唑啉-5-硫酮、苯并咪唑、甲基四唑、试铋硫醇I、胞嘧啶、鸟嘌呤、胸腺嘧啶、吡唑、亚氨基二乙酸(IDA)、丙硫醇、苯并羟肟酸、柠檬酸、抗坏血酸、5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、1,2,4-***(TAZ)、甲苯基***、5-甲基-苯并***(mBTA)、5-苯基-苯并***、5-硝基-苯并***、苯并***羧酸、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***(3-ATA)、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯硫醇-苯并***、卤基-苯并***(卤基=F、Cl、Br或I)、萘***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基-1,2,4-***(5-ATA)、十二烷基硫酸钠(SDS)、ATA-SDS、3-氨基-5-巯基-1,2,4-***、戊烯四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、Ablumine O、2-苄基吡啶、丁二酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-亚戊基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、4-氨基-4H-1,2,4-***、3-氨基-5-甲硫基-1H-1,2,4-***、苯并噻唑、咪唑、吲唑、腺嘌呤、丁二酰亚胺、腺苷、咔唑、糖精、尿酸、安息香肟、阳离子季铵盐(例如氯化烷基二甲基苄基铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶鎓、Aliquot 336(Cognis)、氯化苄基二甲基苯基铵、Crodaquat TES(Croda.Inc.)、Rewoquat CPEM(Witco)、氯化十六烷基三甲基铵对甲苯磺酸盐、氢氧化十六烷基三甲基铵、氯化1-甲基-1'-十四烷基-4,4'-二联吡啶鎓、溴化烷基三甲基铵、盐酸氨丙啉、氢氧化本索宁、氯化本索宁、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、氯化十六烷基吡啶鎓、胆碱对甲苯磺酸盐、溴化二甲基双十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵、溴化乙基十六烷基二甲基铵、Girard's试剂、磷酸二氢十六烷基(2-羟乙基)二甲基铵、溴化十六烷基吡啶鎓、溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基本索宁、
Figure BDA0003053728360000081
1622、LuviquatTM、N,N',N'-聚氧化乙烯(10)-N-动物脂-1,3-二氨基丙烷液体、奥芬溴铵、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵、氯化三(十二烷基)铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑鎓、1-癸基-3-甲基咪唑鎓四氟硼酸、氯化1-癸基-3-甲基咪唑鎓、溴化三(十二烷基)甲基铵、氯化二硬脂基二烯丙基铵、溴化十六烷基三甲基铵、溴化十四烷基三甲基铵和氯化六甲铵),阴离子表面活性剂(例如十二烷基苯磺酸、十二烷基苯磺酸钠、十二烷基膦酸(DDPA)、硬酯酰肌氨酸、十二烷基肌氨酸、
Figure BDA0003053728360000082
104(四甲基癸炔二醇,Evonik)、炔丙醇、二氰二胺、二甲基炔丙醇、糖精、二乙基羟胺、羟胺、二乙基羟胺、2-巯基-2-噻唑啉、巯基噻二唑、氨基巯基噻二唑、二巯基噻二唑、3-甲基吡唑啉-5-酮和其组合。
如本文中所使用,对于对上面具有残留物和污染物的微电子装置的所述残留物和污染物进行清洁的“适用性”与来自微电子装置的所述残留物/污染物的至少部分移除相对应。通过微电子装置上的物体的减少来评定清洁功效。举例来说,可使用原子力显微镜来进行清洁前分析和清洁后分析。样品上的粒子可记录为像素范围。直方图(例如Sigma ScanPro)可应用于在特定强度下(例如231-235)过滤像素和经计数粒子的数目。可使用以下公式计算粒子减少:
Figure BDA0003053728360000091
值得注意地,清洁功效的测定方法仅提供为实例且并不打算受限于所述实例。或者,清洁功效可视为由颗粒物质所覆盖的全部表面的百分比。举例来说,可对AFM进行编程以执行z-层扫描以鉴定在某一高度临限值以上的所关注表面形貌区域,且随后计算由所关注的所述区域覆盖的总表面面积。所属领域技术人员将容易理解,清洁后由所关注的所述区域覆盖的面积愈小,清洁组合物愈有效。在一个实施例中,使用本文所描述的组合物,自微电子装置移除至少75%的残留物/污染物,在其它实施例中,移除至少90%、至少95%或至少99%的残留物/污染物。
如下文更充分地描述,本文中所描述的组合物可实施于广泛多种特定调配物中。
在所有这类组合物中,其中参考重量百分比范围(包括零下限)论述组合物的特定组分,应理解,这类组分可存在或不存在于组合物的各种特定实施例中,且在存在这类组分的实例中,以其中采用这类组分的组合物的总重量计,其可以低至0.001重量百分比的浓度存在。如本文所用,“基本上不含”意味着小于2重量%、小于1重量%、小于0.5重量%或小于0.1重量%。在一个实施例中,“基本上不含”与0%相对应。本发明的清洁组合物可基本上不含或不含至少一种氧化剂、含氟的源和研磨材料。
当存在时,聚合物包括但不限于甲基丙烯酸均聚物和与例如丙烯酰胺基甲基丙烷磺酸和顺丁烯二酸的共聚物;顺丁烯二酸/乙烯醚共聚物;聚(乙烯基吡咯烷酮)/乙酸乙烯酯;均聚物,例如膦酸化聚乙二醇寡聚物、聚(丙烯酸)(PAA)、聚(丙烯酰胺)、聚(乙酸乙烯酯)、聚(乙二醇)(PEG)、聚丙二醇(PPG)、聚(苯乙烯磺酸)、聚(乙烯基磺酸)、聚(乙烯基膦酸)、聚(乙烯基磷酸)、聚(亚乙亚胺)、聚(亚丙亚胺)、聚烯丙胺、聚环氧乙烷(PEO)、聚乙烯吡咯烷酮(PVP)、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、聚(乙烯醇)、聚丙烯酸(羟乙基)酯、聚甲基丙烯酸(羟乙基)酯、羟乙基纤维素、甲基羟乙基纤维素、羟丙基纤维素、甲基羟丙基纤维素、三仙胶、海藻酸钾、海藻酸钙、果胶、羧基甲基纤维素、羧基甲基纤维素钾、葡糖胺、聚(二烯丙基二甲基铵)氯化物、聚乙二醇化(PEGylated)(也就是说聚乙二醇化(polyethyleneglycol-ated))甲基丙烯酸酯/丙烯酸酯共聚物、聚MADQuat和其共聚物、二甲氨基甲基丙烯酸酯聚合物和其共聚物、三甲铵甲基丙烯酸甲酯聚合物和其共聚物以及其组合。上述共聚物可为无规或嵌段共聚物。当存在时,按组合物的总重量计,组合物中的聚合物的量在约0.0001重量%至约5重量%范围内。
在某些实施例中,组合物的pH大于8、大于9、大于10或大于11、小于14、小于13、小于12或小于11。在某些实施例中,pH为约8至11.5;在稀释之后,在某些实施例中pH大于7、大于8、大于9或大于10、小于13、小于12或小于11。在某些实施例中,经稀释的组合物的pH为约8至12。
通过简单添加各别成分且混合至均质状况来容易地调配出组合物。此外,组合物可容易调配为单封装调配物或在使用时或使用前混合的多部分调配物,例如,多部分调配物的个别部分可在工具处或在工具上游的储槽中混合。各别成分的浓度可以组合物的特定倍数广泛变化,也就是说更稀释或更浓缩,且应了解,本文中所描述的组合物可不同地且替代地包含以下、由以下组成或基本上由以下组成:与本文中的公开内容一致的成分的任何组合。
如本文中所指出,组合物的pH大于8。利用pH调节剂将pH升高至所需水平。适合的pH调节剂包括碱金属氢氧化物(例如,LiOH、KOH、RbOH、CsOH)、碱土金属氢氧化物(例如,Be(OH)2、Mg(OH)2、Ca(OH)2、Sr(OH)2、Ba(OH)2)和具有式NR9R10R11R12OH的化合物,其中R9、R10、R11和R12可彼此相同或不同且选自氢、直链或分支链C2-C6烷基(例如乙基、丙基、丁基、戊基、和己基)、C1-C6烷氧基(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)和经取代或未经取代的C6-C10芳基(例如苄基),其中R9、R10、R11和R12并非全为甲基。可使用可商购的氢氧化四烷基铵包括氢氧化四乙铵(TEAH)、氢氧化四丙铵(TPAH)、氢氧化四丁铵(TBAH)、氢氧化三丁基甲铵(TBMAH)、氢氧化苄基三甲铵(BTMAH)、氢氧化胆碱、氢氧化乙基三甲胺、氢氧化三(2-羟乙基)甲基铵、氢氧化二乙基二甲胺、氢氧化三乙基甲胺、氢氧化三羟基乙基甲基铵和其组合。或者或另外,至少pH调节剂可为具有式(PR13R14R15R16)OH的化合物,其中R13、R14、R13和R16可彼此相同或不同且选自氢、直链C1-C6烷基(例如甲基、乙基、丙基、丁基、戊基、己基)、分支链C1-C6烷基、C1-C6烷氧基(例如甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、己氧基)、经取代的C6-C10芳基、未经取代的C6-C10芳基(例如苄基)和其任何组合,例如氢氧化四丁基鏻(TBPH)、氢氧化四甲基鏻、氢氧化四乙基鏻、氢氧化四丙基鏻、氢氧化苄基三苯基鏻、氢氧化甲基三苯基鏻、氢氧化乙基三苯基鏻、氢氧化正丙基三苯基鏻。在一个实施例中,pH调节剂包含KOH。在另一实施例中,pH调节剂包含氢氧化胆碱。在另一实施例中,pH调节剂包含本文中所列举的至少一种氢氧化碱金属和至少一种额外氢氧化物。在另一实施例中,pH调节剂包含KOH和至少一种本文中所列举的额外氢氧化物。在又另一实施例中,pH调节剂包含KOH和氢氧化胆碱。
在某些实施例中,本发明的组合物由下文所列的比例(以重量计)的以下组分构成:
(i)至少一种选自胺和络合剂的浓度在0.01-20%之间的蚀刻剂材料,
(ii)至少一种选自特定表面活性剂的清洁添加剂,
(iii)至少一种浓度在0.01-20%之间的有机添加剂材料,
(iv)至少一种浓度在0.01-20%之间的腐蚀抑制剂材料,
(v)至少一种pH调节剂,和任选的
(vi)至少一种浓度在0.001%-10%之间的水溶性聚合物材料,
组分的重量百分比比率的范围将涵盖第一方面的组合物的所有可能的浓缩或稀释的实施例。朝向那一目的,在一个实施例中,浓缩的清洁组合物的限制条件为可经稀释以用作清洁溶液。有利的是,浓缩的清洁组合物或“浓缩物”允许用户(例如CMP工艺工程师)在使用时将浓缩物稀释至所需强度和pH。浓缩的清洁组合物的稀释可在约1:1至约2500:1、约10:1至约200:1、或约30:1至约150:1的范围内,其中在使用溶剂(例如去离子水)的手段时或恰好在所述手段之前稀释所述清洁组合物。所属领域技术人员应了解,在稀释之后,本文中所公开的组分的重量百分比的范围应保持不变。
通过简单添加各别成分且混合至均质状况来容易地调配出清洁组合物。此外,组合物可容易调配为单封装调配物或在使用时或使用前混合的多部分调配物,例如,多部分调配物的个别部分可在工具处或在工具上游的储槽中混合。各别成分的浓度可以组合物的特定倍数广泛变化,也就是说更稀释或更浓缩,且应了解,本文中所描述的组合物可不同地且替代地包含以下、由以下组成或基本上由以下组成:与本文中的公开内容一致的成分的任何组合。
因此,本发明的另一方面涉及一种试剂盒,其在一或多个容器中包括一或多种适于形成本文所描述的组合物的组分。所述试剂盒可包括在一或多个容器中,适用于清洁包含铜、低k介电材料和阻挡材料的微电子表面的组件,所述阻挡材料包含含钽材料、含钴材料和含钌材料中的至少一者,其中所述试剂盒的一或多个容器包含
一种试剂盒,其包含一或多个容器,所述一或多个容器具有其中上面具有CMP污染物和残留物的微电子装置的适合的所述化学机械研磨(CMP)污染物和残留物的组件,其中所述试剂盒的一个或多个容器含有
(i)至少一种蚀刻剂材料,其选自胺和络合剂,
(ii)至少一种清洁添加剂,其选自环氧乙烷/环氧丙烷嵌段共聚物、氢氧化十二烷基三甲基铵、椰油酰胺丙基甜菜碱、聚二醇醚和脂肪胺季铵盐和其组合,
(iii)至少一种有机添加剂,
(iv)至少一种腐蚀抑制剂,
(v)至少一种pH调节剂,和任选的
(vi)至少一种水溶性聚合物,
和水,其用于在制造时或使用时与额外溶剂和/或水组合。试剂盒的容器必须适用于储存和运送组合物,且可为例如
Figure BDA0003053728360000121
容器(Entegris,Inc.,Billerica,Mass.,USA)。
在一个实施例中,含有含水移除组合物的组分的一或多个容器包括用于使所述一或多个容器中的组分在流体连通中掺合和分配的装置。举例来说,参看
Figure BDA0003053728360000122
容器,可向所述一或多个容器中的衬垫的外部施加气体压力,以使得衬垫的内容物的至少一部分释放且因此使得流体连通用于掺合和分配。或者,可向可用于能够实现流体连通的惯用可加压容器或泵的顶部空间施加气体压力。另外,在某些实施例中,***包括分配端口以将经掺合的移除组合物分配至处理工具。
当应用于微电子制造操作时,有效地采用本文中所描述的组合物以自微电子装置的表面清洁氧化铈粒子和/或CMP污染物(例如,CMP后残留物和污染物)。在某些实施例中,在粒子移除之前,含水移除组合物移除至少85%、至少90%、至少95%或至少99%的存在于装置装置上的氧化铈粒子。
在CMP后粒子和污染物移除应用中,本文中所描述的含水移除组合物可与各种惯用清洁工具(例如兆声波和电刷洗涤)一起使用,所述工具包括但不限于Verteq单芯片超高频声波Goldfinger、OnTrak***DDS(双边洗涤器)、SEZ或其它单芯片喷雾冲洗液、AppliedMaterials Mirra-MesaTM/ReflexionTM/Reflexion LKTM和超高频声波分批湿式清洗台***。
在使用本文所提供的组合物时,含水移除组合物通常在约20℃至约90℃或约20℃至约50℃范围内的温度下与装置装置接触约5秒至约10分钟、或约1秒至20分钟、或约15秒至约5分钟的时间。这类接触时间和温度为例示性的,且可在方法的广泛实践内采用任何其它适合的时间和温度条件,所述时间和温度条件对自装置至少部分移除氧化铈粒子和CMP污染物有效。“至少部分清洁”和“基本上移除”两者在某些实施例中对应于在粒子移除之前移除存在于装置上的至少85%、至少90%、至少95%或至少99%的二氧化硅、氧化铝、氧化铈、二氧化钛或氧化锆粒子。
在达成所需二氧化硅、氧化铝、氧化铈、二氧化钛或氧化锆粒子移除操作之后,含水移除组合物可容易自其先前已应用的装置中移除,如在本文中所描述的组合物的既定最终用途应用中可为所需的和有效的。在一个实施例中,冲洗溶液包括去离子水。其后,可使用氮气或旋转干燥周期来干燥装置。
另一方面涉及根据本文中所描述的方法制得的改良微电子装置且涉及含有这类微电子装置的产品。
如所属领域技术人员容易地确定,另一方面涉及一种经再循环含水移除组合物,其中所述移除组合物可经再循环直至粒子和/或污染物负载达至含水移除组合物可容纳的最大量。
又一方面涉及制造包含微电子装置的物品的方法,所述方法包含使微电子装置含水移除组合物接触持续充足时间,以自具有其上具有二氧化硅、氧化铝、氧化铈、二氧化钛、或氧化锆粒子和其它CMP污染物的微电子装置移除所述粒子和污染物,以及使用本文所描述的移除组合物来将微电子装置并入至所述物品中。
在另一方面中,提供一种自其上具有二氧化硅、氧化铝、氧化铈、二氧化钛或氧化锆粒子和其它CMP污染物的微电子装置移除所述粒子和其它CMP污染物的方法。因此,在另一方面中,本发明提供一种自其上具有所述粒子和污染物的微电子装置移除氧化铈粒子和化学机械研磨污染物的方法,所述方法包含:
(i)使微电子装置与本发明的组合物接触;和
(ii)用包含本发明的组合物的含水溶液自所述微电子装置至少部分移除所述粒子和污染物。
本发明可进一步通过其优选实施例的以下实例说明,但应理解,除非另外具体指示,否则仅出于说明的目的包括这些实例且不打算限制本发明的范围。
实验部分
将金属粒子于去离子水中的溶液轧制至多10分钟,随后以所需稀释比率将其引入pCMP清洁化学物质中。随后充分震荡容纳与金属粒子混合的清洁化学物质的小瓶1分钟。每30秒进行浊度测量持续至多5分钟以检测pCMP清洁化学物质中的金属粒子的分散能力。浊度值愈高,金属粒子分散能力愈好。如图1中所示,在添加至调配物A中时,添加剂4-14改良钴粒子分散。图2中所展示的添加剂1、2、4、7、8、9和14增强钌粒子分散。
调配物A由以下组成
氢氧化四乙铵(将pH调节至13.5)
9%乙醇胺(络合物)
0.1%苯并***(腐蚀抑制剂)
添加剂1为普洛尼克L31(Pluronic L31),
添加剂2为普洛尼克P84,
添加剂3为普洛尼克F127,
添加剂4为异硬脂基乙基咪唑啉乙醇硫酸酯,
添加剂5为椰油酰胺丙基甜菜碱
添加剂6为Servamine KW100
添加剂7为SPAN 80
添加剂8为TWEEN 40
添加剂9为TWEEN 80
添加剂10为TRITON X100
添加剂11为TRITON X114
添加剂12为聚乙烯吡咯烷酮
添加剂13为聚(乙烯醇)
添加剂14为海藻酸钾。

Claims (19)

1.一种组合物,其包含
(i)至少一种蚀刻剂材料,其选自胺和络合剂,(ii)至少一种清洁添加剂,其选自环氧乙烷/环氧丙烷嵌段共聚物、氢氧化十二烷基三甲基铵、椰油酰胺丙基甜菜碱、聚二醇醚和脂肪胺季铵盐和其组合,
(iii)至少一种有机添加剂,
(iv)至少一种腐蚀抑制剂,
(v)至少一种pH调节剂,和任选的
(vi)至少一种水溶性聚合物,
其中所述组合物的pH大于约8。
2.根据权利要求1所述的组合物,其中所述pH为约8至约14。
3.根据权利要求1所述的组合物,其中所述pH为约9至约13.9。
4.根据权利要求1所述的组合物,其中所述蚀刻剂材料选自单乙醇胺、二甘醇胺和二甲醇胺。
5.根据权利要求1所述的组合物,其中所述蚀刻剂材料选自具有式NR1R2R3的化合物,其中R1、R2和R3可彼此相同或不同且选自氢、直链或分支链C1-C6烷基、直链或分支链C1-C6羟烷基和直链或分支链C1-C6羟烷基的C1-C6烷基醚。
6.根据权利要求1所述的组合物,其中所述蚀刻剂材料选自氨基乙基乙醇胺、N-甲氨基乙醇、氨基乙氧基乙醇、二甲氨基乙氧基乙醇、二乙醇胺、二甘醇胺、N-甲基二乙醇胺、单乙醇胺(MEA)、三乙醇胺(TEA)、1-氨基-2-丙醇、2-氨基-1-丁醇、异丁醇胺、三亚乙基二胺、1-甲氧基-2-氨基乙烷和其组合。
7.根据权利要求1所述的组合物,其中所述蚀刻剂材料选自4-(2-羟乙基)吗啉(HEM)、1,2-环己二胺-N,N,N',N'-四乙酸(CDTA)、乙二胺四乙酸(EDTA)、间二甲苯二胺(MXDA)、亚氨基二乙酸(IDA)、2-(羟乙基)亚氨基二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、脲、尿酸、丙氨酸、精氨酸、天冬酰胺酸、天冬氨酸、半胱氨酸、谷氨酸、谷酰胺酸、组氨酸、异亮氨酸、亮氨酸、赖氨酸、甲硫氨酸、苯丙氨酸、脯氨酸、丝氨酸、苏氨酸、色氨酸、酪氨酸、缬氨酸和其组合。
8.根据权利要求1所述的组合物,其中所述蚀刻剂材料选自1-羟基亚乙基-1,1-二膦酸(HEDP)、1,5,9-三氮杂环十二烷-N,N',N”-三(亚甲基膦酸)(DOTRP)、1,4,7,10-四氮杂环十二烷-N,N',N”,N”'-四(亚甲基膦酸)(DOTP)、氮基三(亚甲基)三膦酸、二亚乙基三胺五(亚甲基膦酸)(DETAP)、氨基三(亚甲基膦酸)、双(六亚甲基)三胺五亚甲基膦酸、1,4,7-三氮杂环壬烷-N,N',N”-三(亚甲基膦酸)(NOTP)、羟乙基二磷酸盐、氮基三(亚甲基)膦酸、2-膦酰基-丁烷-1,2,3,4-四甲酸、羧乙基膦酸、氨乙基膦酸、草甘膦、乙二胺四(亚甲基膦酸)、磷酸、苯基膦酸和其盐。
9.根据权利要求1所述的组合物,其中所述清洁添加剂是氢氧化十二烷基三甲基铵。
10.根据权利要求1所述的组合物,其中所述清洁添加剂是椰油酰胺丙基甜菜碱。
11.根据权利要求1所述的组合物,其中所述清洁添加剂是聚二醇醚(15EO)甲基硫酸椰子铵。
12.根据权利要求1所述的组合物,其中所述清洁添加剂是脂肪胺季铵盐。
13.根据权利要求1所述的组合物,其中所述有机添加剂选自醇、醚、吡咯烷酮、二醇、胺和二醇醚。
14.根据权利要求1所述的组合物,其中所述有机添加剂选自甲醇、乙醇、异丙醇、丁醇、C2-C4二醇、C2-C4三醇、四氢糠醇(THFA)、3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇、二氯甲烷、三氯甲烷、乙酸、丙酸、三氟乙酸、四氢呋喃N-甲基吡咯烷酮(NMP)、环己基吡咯烷酮、N-辛基吡咯烷酮、N-苯基吡咯烷酮、甲基二乙醇胺、甲酸甲酯、二甲基甲酰胺(DMF)、二甲亚砜(DMSO)、四亚甲基砜(环丁砜)、二***、苯氧基-2-丙醇(PPh)、乙二醇单苯基醚、二乙二醇单苯基醚、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二恶烷、丁内酯、碳酸丁二酯、碳酸乙二酯、碳酸丙二酯、二丙二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、乙二醇单己醚、二乙二醇单己醚、乙二醇苯基醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇***、丙二醇正丙基醚、二丙二醇正丙基醚(DPGPE)、三丙二醇正丙基醚、丙二醇正丁基醚、二丙二醇正丁基醚、三丙二醇正丁基醚、丙二醇苯基醚、二丙二醇甲基醚乙酸酯、四乙二醇二甲醚(TEGDE)、二元酯、丙三醇碳酸酯、N-甲酰基吗啉、磷酸三乙酯和其组合。
15.根据权利要求1所述的组合物,其中所述有机添加剂选自三丙二醇甲醚(TPGME)、二丙二醇甲醚(DPGME)、丙二醇和其组合。
16.根据权利要求1所述的组合物,其中所述腐蚀抑制剂选自:5-氨基四唑、5-苯基-苯并***、1H-四唑-5-乙酸、1-苯基-2-四唑啉-5-硫酮、苯并咪唑、甲基四唑、试铋硫醇I、胞嘧啶、鸟嘌呤、胸腺嘧啶、吡唑、亚氨基二乙酸(IDA)、丙硫醇、苯并羟肟酸、柠檬酸、抗坏血酸、5-氨基-1,3,4-噻二唑-2-硫醇(ATDT)、苯并***(BTA)、1,2,4-***(TAZ)、甲苯基***、5-甲基-苯并***(mBTA)、5-苯基-苯并***、5-硝基-苯并***、苯并***羧酸、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***(3-ATA)、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯硫醇-苯并***、卤基-苯并***(卤基=F、Cl、Br或I)、萘***、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基-1,2,4-***(5-ATA)、十二烷基硫酸钠(SDS)、ATA-SDS、3-氨基-5-巯基-1,2,4-***、戊烯四唑、5-苯基-1H-四唑、5-苄基-1H-四唑、Ablumine O、2-苄基吡啶、丁二酰亚胺、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑烷酮、1,5-亚戊基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、4-甲基-4H-1,2,4-***-3-硫醇、4-氨基-4H-1,2,4-***、3-氨基-5-甲硫基-1H-1,2,4-***、苯并噻唑、咪唑、吲唑、腺嘌呤、丁二酰亚胺、腺苷、咔唑、糖精、尿酸、安息香肟、阳离子季铵盐(例如氯化烷基二甲基苄基铵、氯化苄基二甲基十二烷基铵、溴化十四烷基三甲基铵、溴化十二烷基三甲基铵、氯化十六烷基吡啶鎓、Aliquot 336、氯化苄基二甲基苯基铵、Crodaquat TES、Rewoquat CPEM、对甲苯磺酸十六烷基三甲基铵、氢氧化十六烷基三甲基铵、二氯化1-甲基-1'-十四烷基-4,4'-联吡啶鎓、溴化烷基三甲基铵、盐酸氨丙啉、氢氧化本索宁、氯化本索宁、氯化苄基二甲基十六烷基铵、氯化苄基二甲基十四烷基铵、溴化苄基十二烷基二甲基铵、氯化苄基十二烷基二甲基铵、氯化十六烷基吡啶鎓、胆碱对甲苯磺酸盐、溴化二甲基双十八烷基铵、溴化十二烷基乙基二甲基铵、氯化十二烷基三甲基铵、溴化乙基十六烷基二甲基铵、Girard's试剂、磷酸二氢十六烷基(2-羟乙基)二甲基铵、溴化十六烷基吡啶鎓、溴化十六烷基三甲基铵、氯化十六烷基三甲基铵、氯化甲基本索宁、
Figure FDA0003053728350000041
1622、LuviquatTM、N,N',N'-聚氧化乙烯(10)-N-动物脂-1,3-二氨基丙烷液体、奥芬溴铵、溴化四庚基铵、溴化四(癸基)铵、通佐溴铵、氯化三(十二烷基)铵、溴化三甲基十八烷基铵、四氟硼酸1-甲基-3-正辛基咪唑鎓、四氟硼酸1-癸基-3-甲基咪唑鎓、氯化1-癸基-3-甲基咪唑鎓、溴化三(十二烷基)甲基铵、氯化二甲基二硬脂基铵、溴化十六烷基三甲基铵、溴化十四烷基三甲基铵和氯化六甲铵)、十二烷基苯磺酸、十二烷基苯磺酸钠、十二烷基膦酸(DDPA)、硬酯酰肌氨酸、十二烷基肌氨酸、四甲基癸炔二醇、炔丙醇、二氰二胺、二甲基炔丙醇、糖精、二乙基羟胺、羟胺、二乙基羟胺、2-巯基-2-噻唑啉、巯基噻二唑、氨基巯基噻二唑、二巯基噻二唑、3-甲基吡唑啉-5-酮和其组合。
17.根据权利要求1所述的组合物,其中所述腐蚀抑制剂选自氨基***、***、糖精、硬酯酰肌氨酸、十二烷基肌氨酸、二氰二胺、半胱氨酸、腺苷、腺嘌呤和二乙基炔丙醇。
18.一种组合物,其包含
(i)至少一种蚀刻剂材料,其包含单乙醇胺,
(ii)至少一种清洁添加剂,其选自环氧乙烷/环氧丙烷嵌段共聚物、氢氧化十二烷基三甲基铵、椰油酰胺丙基甜菜碱、聚二醇醚和脂肪胺季铵盐和其组合,
(iii)至少一种选自以下的有机添加剂:三乙二醇单丁醚、二乙二醇单丁醚、乙二醇单丁醚、丙二醇单丁醚、丙二醇单丁醚、丙二醇单苯***、二乙二醇单苯基醚、乙二醇单苯基醚和二甲亚砜,
(iv)苯并***,
(v)至少一种pH调节剂,和任选的
(vi)至少一种水溶性聚合物,
其中所述组合物的pH大于约8。
19.一种用于对上面具有氧化铈粒子和化学机械研磨污染物的微电子装置移除所述粒子和污染物的方法,所述方法包含:
(i)使所述微电子装置与根据权利要求1所述的组合物接触;和
(ii)用包含本发明的所述组合物的含水溶液自所述微电子装置至少部分移除所述粒子和污染物。
CN201980073312.6A 2018-11-08 2019-10-21 化学机械研磨后(post cmp)清洁组合物 Pending CN112996893A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862757364P 2018-11-08 2018-11-08
US62/757,364 2018-11-08
PCT/US2019/057280 WO2020096760A1 (en) 2018-11-08 2019-10-21 Post cmp cleaning composition

Publications (1)

Publication Number Publication Date
CN112996893A true CN112996893A (zh) 2021-06-18

Family

ID=70550986

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980073312.6A Pending CN112996893A (zh) 2018-11-08 2019-10-21 化学机械研磨后(post cmp)清洁组合物

Country Status (5)

Country Link
US (1) US11124746B2 (zh)
KR (1) KR102531512B1 (zh)
CN (1) CN112996893A (zh)
TW (1) TWI718742B (zh)
WO (1) WO2020096760A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115537277A (zh) * 2022-09-29 2022-12-30 南通群安电子材料有限公司 一种用于电路板的环保清洗液

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11905491B2 (en) 2020-10-05 2024-02-20 Entegris, Inc. Post CMP cleaning compositions
CN113416959A (zh) * 2021-06-30 2021-09-21 铁岭市龙首精细化工有限公司 一种高效的换热器用快速除油清洗剂
TW202411417A (zh) * 2022-06-08 2024-03-16 美商恩特葛瑞斯股份有限公司 含有鉬蝕刻抑制劑的清潔組合物

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180291309A1 (en) * 2017-04-11 2018-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080125342A1 (en) * 2006-11-07 2008-05-29 Advanced Technology Materials, Inc. Formulations for cleaning memory device structures
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
JP5428200B2 (ja) * 2007-05-18 2014-02-26 三菱化学株式会社 半導体デバイス用基板洗浄液、半導体デバイス用基板の洗浄方法及び半導体デバイス用基板の製造方法
KR101752684B1 (ko) * 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
US9063431B2 (en) 2010-07-16 2015-06-23 Advanced Technology Materials, Inc. Aqueous cleaner for the removal of post-etch residues
US20150114429A1 (en) * 2012-05-18 2015-04-30 Atmi Taiwan Co., Ltd. Aqueous clean solution with low copper etch rate for organic residue removal improvement
EP2989231A4 (en) * 2013-04-22 2016-12-07 Advanced Tech Materials FORMULATIONS FOR CLEANING AND PROTECTING COPPER
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11978622B2 (en) 2014-06-30 2024-05-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
TWI726859B (zh) * 2015-01-05 2021-05-11 美商恩特葛瑞斯股份有限公司 後化學機械拋光配方及使用之方法
WO2017156304A1 (en) * 2016-03-09 2017-09-14 Entegris, Inc. Tungsten post-cmp cleaning compositions
CN110234719A (zh) * 2017-01-18 2019-09-13 恩特格里斯公司 用于从表面去除氧化铈粒子的组合物和方法
CN112424327A (zh) * 2018-07-20 2021-02-26 恩特格里斯公司 含腐蚀抑制剂的清洗组合物
US11085011B2 (en) * 2018-08-28 2021-08-10 Entegris, Inc. Post CMP cleaning compositions for ceria particles

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180291309A1 (en) * 2017-04-11 2018-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115537277A (zh) * 2022-09-29 2022-12-30 南通群安电子材料有限公司 一种用于电路板的环保清洗液

Also Published As

Publication number Publication date
TW202024308A (zh) 2020-07-01
TWI718742B (zh) 2021-02-11
KR20210056440A (ko) 2021-05-18
US20200148979A1 (en) 2020-05-14
US11124746B2 (en) 2021-09-21
KR102531512B1 (ko) 2023-05-12
WO2020096760A1 (en) 2020-05-14

Similar Documents

Publication Publication Date Title
TWI703210B (zh) 化學機械研磨後調配物及使用方法
TWI726859B (zh) 後化學機械拋光配方及使用之方法
TWI796289B (zh) 化學機械研磨後清洗組合物及清洗方法
KR102625498B1 (ko) 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
TWI718742B (zh) 化學機械研磨後(post cmp)清潔組合物
TWI821455B (zh) 化學機械研磨後清潔組合物
KR20130088847A (ko) 에칭 후 잔류물을 제거하기 위한 수성 세정제
WO2020046539A1 (en) Post cmp cleaning compositions for ceria particles
CN117295811A (zh) 清洁组合物
TW202340442A (zh) 微電子裝置清潔組合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination