CN112347728A - 集成电路布局方法 - Google Patents

集成电路布局方法 Download PDF

Info

Publication number
CN112347728A
CN112347728A CN201910729957.2A CN201910729957A CN112347728A CN 112347728 A CN112347728 A CN 112347728A CN 201910729957 A CN201910729957 A CN 201910729957A CN 112347728 A CN112347728 A CN 112347728A
Authority
CN
China
Prior art keywords
layout
circuit
integrated circuit
circuit density
potential
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910729957.2A
Other languages
English (en)
Other versions
CN112347728B (zh
Inventor
林殿国
林立镒
张云智
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Realtek Semiconductor Corp
Original Assignee
Realtek Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Realtek Semiconductor Corp filed Critical Realtek Semiconductor Corp
Priority to CN201910729957.2A priority Critical patent/CN112347728B/zh
Publication of CN112347728A publication Critical patent/CN112347728A/zh
Application granted granted Critical
Publication of CN112347728B publication Critical patent/CN112347728B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了一种集成电路布局方法,能够减少一集成电路布局流程的结果的电位下降,该方法包含下列步骤:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电位分析,以得到一电位下降热区;决定该电位下降热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。

Description

集成电路布局方法
技术领域
本发明是电路布局方法,尤其是关于集成电路布局方法。
背景技术
在集成电路(例如:特殊应用集成电路(ASIC))设计流程中,工程师会在芯片下线(tape-out)前对时序收敛(timing closure)后的电路进行电位下降(IR drop)验证,以确保电路效能的稳定或是芯片内部线路不会过热。由于某些组件(例如:体积大、推力强且分布较为集中的标准组件(standard cell),或是被特定信号图样(pattern)驱动的小推力的标准组件)的布局容易导致电位下降的问题,在时序收敛后的电路中以人工操作方式来调整该些组件的位置或是进行尺寸缩小(size-down),就是通过分散并降低局部电位下降的方式来解决上述问题。
承前所述,以人工操作方式搬移电路中的组件容易让原本已收敛的时序出现问题及/或导致漏电(leakage power)问题,后续的修正过程不但会让电路面积增加或让漏电上升,也会影响到芯片下线的时程。另外,人工处理电位下降的作法会耗费许多时间,且成效不一定好。
发明内容
本发明的一目的在于提供一种集成电路布局方法,以避免先前技术的问题。
本发明的一目的在于提供一种集成电路布局方法,以改善一集成电路布局流程的结果的电路特性,并减少人力介入,以完善并加快该集成电路布局流程。
本发明的集成电路布局方法的一实施例能够减少一集成电路布局流程的结果的电位下降,该实施例包含下列步骤:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电位分析,以得到一电位下降热区;决定该电位下降热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。
本发明的集成电路布局方法的另一实施例能够改善一集成电路布局流程的结果的电路特性,该实施例包含下列步骤:执行该集成电路布局流程,以得到一原始集成电路布局;对该原始集成电路布局执行一电路特性分析,以得到一警示热区;决定该警示热区的一电路密度限制;以及依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。
有关本发明的特征、实作与功效,配合图式作优选实施例详细说明如下。
附图说明
图1示出本发明的集成电路布局方法的一实施例;
图2为图1的集成电路布局方法的实施效果的示意图;以及
图3示出本发明的集成电路布局方法的另一实施例。
具体实施方式
以下说明内容的用语是参照本技术领域的习惯用语,如本说明书对部分用语有加以说明或定义,该部分用语的解释是以本说明书的说明或定义为准。
本发明公开了一种集成电路布局方法,能够改善一集成电路布局流程的结果的电路特性,并能减少人力介入,以完善并加快该集成电路布局流程。本发明适用于一特殊应用集成电路(ASIC)或其它类型的集成电路的设计流程,尤其适用于对电位下降(IR Drop)敏感的集成电路(例如:高速且低功耗的集成电路)的设计流程;然而,本发明的应用不以此为限。
图1示出本发明的集成电路布局方法的一实施例,该实施例能够减少一集成电路布局流程的结果的电位下降,包含下列步骤:
步骤S110:执行一集成电路布局流程,以得到一原始集成电路布局。于一实作范例中,该集成电路布局流程为执行一电位分析(IR Analysis)前的一般集成电路布局流程,依序包含下列阶段:一布局(Placement)阶段,用来依据一逻辑门级网表(gate levelnetlist)执行一布局流程;一时序树合成(Clock Tree Synthesis,CTS)阶段,用来依据该布局流程的结果执行时序树合成;一时序树合成后(Post-CTS)阶段,用来依据设计规则(Design Rules)、设定与保持(Setup and Hold)要求、电路面积与功耗(Area and Power)的优化的要求、以及减少拥塞(Congestion Reduction)等的至少其中之一进行调整;一绕线(Route)阶段;一绕线后(Post-Route)阶段;以及一阈值电压替换(VT Swap)阶段,用来采用适当的阈值电压的组件以利于关键路径的时序收敛与非关键路径的漏电减少等。上述布局阶段、时序树合成阶段、时序树合成后阶段、绕线阶段、绕线后阶段、以及阈值电压替换阶段为一般集成电路布局流程的常见阶段,其细节在此省略。步骤S110可借由利用已知的工具程序(例如:Synopsys的ICC/ICC2,或是Cadence的Innovus)来执行。
步骤S120:对该原始集成电路布局执行一电位分析,以得到一电位下降热区。于一实作范例中,步骤S120执行该电位分析得到多个电位下降热区。步骤S120可借由利用已知的工具程序(例如:ANSYS的RedHawk)来执行。
步骤S130:决定该电位下降热区的一电路密度限制。于一实作范例中,步骤130分别决定步骤S120所得到的多个电位下降热区的电路密度限制,其中任二电路密度限制可相同或相异。于一实作范例中,步骤S130包含:于前述布局阶段后以及前述时序树合成阶段前,依据该原始集成电路布局得到该电位下降热区的利用率(例如:x%)(或说电路密度);以及减少该原始集成电路布局中该电位下降热区的电路密度,以得到该电路密度限制(例如:(x-i)%或(x×k)%,其中i为默认值,k为默认比例)。
步骤S140:依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。基于该电路密度限制,相较于该原始集成电路布局中该电位下降热区的电路密度,该电位下降热区的电路密度于重新执行该集成电路布局流程的过程中会被降低,从而该集成电路布局流程的结果的电位下降会被减少。于一实作范例中,该电路密度限制于前述布局后被单次或多次降低,因此,若不考虑前述时序树合成阶段与时序树合成后阶段所设置的组件(例如:缓冲组件),该原始集成电路布局(例如:图2的原始集成电路布局22)中该电位下降热区(例如:图2的电位下降热区210)的电路密度大于该更新集成电路布局(例如:图2的更新集成电路布局24)中该电位下降热区的电路密度,如图2所示;但若考虑该时序树合成阶段与该时序树合成后阶段所设置的组件,该原始集成电路布局中该电位下降热区的电路密度有可能会大于该更新集成电路布局中该电位下降热区的电路密度。
请参阅图1。于一实作范例中,该集成电路布局流程依序包含一布局阶段、一时序树合成阶段、以及M个剩余阶段(例如:前述时序树合成后阶段、绕线阶段、绕线后阶段、以及阈值电压替换阶段的至少其中之一),其中该M为正整数,而步骤S140包含:于决定该电路密度限制后,在该布局阶段后以及该时序树合成阶段前,降低该电路密度限制;接着执行该时序树合成阶段与该M个剩余阶段,以得到该更新集成电路布局。上述降低该电路密度限制的步骤可以部分地或完全地移除该电路密度限制。
请参阅图1。于一实作范例中,该集成电路布局流程依序包含N个阶段(例如:前述布局阶段与时序树合成阶段,以及时序树合成后阶段、绕线阶段、绕线后阶段、以及阈值电压替换阶段的至少其中之一),其中该N为大于二的整数,而步骤S140包含:于执行该N个阶段的过程中,分次调降该电路密度限制,以得到该更新集成电路布局。上述分次调降该电路密度限制的步骤的结果是部分地或全部地移除该电路密度限制。
图3示出本发明的集成电路布局方法的另一实施例,该实施例能够减少一集成电路布局流程的结果的电路特性(例如:电位下降以外的电路特性),包含下列步骤:
步骤S310:执行该集成电路布局流程,以得到一原始集成电路布局。
步骤S320:对该原始集成电路布局执行一电路特性分析,以得到一警示热区。
步骤S330:决定该警示热区的一电路密度限制。
步骤S340:依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。
由于本领域具有通常知识者能够参阅图1的实施例的公开来了解图3的实施例的细节与变化,亦即图1的实施例的技术特征均可合理应用于图3的实施例中,因此,重复及冗余的说明在此予以节略。
请注意,在实施为可能的前提下,本领域技术人员可选择性地实施前述任一实施例中部分或全部技术特征,或选择性地实施前述多个实施例中部分或全部技术特征的组合,借此增加本发明实施时的弹性。
综上所述,本发明能够改善一集成电路布局流程的结果的电路特性(例如:电位下降),并能减少人力介入,以完善并加快该集成电路布局流程。
虽然本发明的实施例如上所述,然而该些实施例并非用来限定本发明,本领域技术人员可依据本发明的明示或隐含的内容对本发明的技术特征施以变化,凡此种种变化均可能属于本发明所寻求的专利保护范围,换言之,本发明的专利保护范围须视本说明书的申请专利范围所限定者为准。
【符号说明】
S110~S140 步骤
22 原始集成电路布局
24 更新集成电路布局
210 电位下降热区
S310~S340 步骤。

Claims (10)

1.一种集成电路布局方法,能够减少一集成电路布局流程的结果的电位下降,该方法包含:
执行该集成电路布局流程,以得到一原始集成电路布局;
对该原始集成电路布局执行一电位分析,以得到一电位下降热区;
决定该电位下降热区的一电路密度限制;以及
依据该电路密度限制,重新执行该集成电路布局流程,以得到一更新集成电路布局。
2.如权利要求1所述的集成电路布局方法,其中决定该电位下降热区的电路密度限制的步骤包含:依据该原始集成电路布局中该电位下降热区的电路密度,决定该电位下降热区的电路密度限制。
3.如权利要求2所述的集成电路布局方法,其中该集成电路布局流程依序包含一布局阶段以及一时序树合成阶段,决定该电位下降热区的电路密度限制的步骤还包含:
于该布局阶段后以及该时序树合成阶段前,依据该原始集成电路布局得到该电位下降热区的电路密度;以及
减少该原始集成电路布局中该电位下降热区的电路密度,以得到该电路密度限制。
4.如权利要求3所述的集成电路布局方法,其中减少该原始集成电路布局中该电位下降热区的电路密度的步骤包含:将该原始集成电路布局中该电位下降热区的电路密度减去一默认值或乘以一默认比例。
5.如权利要求1所述的集成电路布局方法,其中该集成电路布局流程依序包含一布局阶段、一时序树合成阶段、以及M个剩余阶段,其中该M为正整数,重新执行该集成电路布局流程以得到该更新集成电路布局的步骤包含:
于决定该电路密度限制后,在该布局阶段后以及该时序树合成阶段前,降低该电路密度限制,接着再执行该时序树合成阶段与该M个剩余阶段,以得到该更新集成电路布局。
6.如权利要求5所述的集成电路布局方法,其中降低该电路密度限制的步骤是移除该电路密度限制。
7.如权利要求1所述的集成电路布局方法,其中该集成电路布局流程依序包含N个阶段,其中该N为大于二的整数,重新执行该集成电路布局流程以得到该更新集成电路布局的步骤包含:
于执行该N个阶段的过程中,分次调降该电路密度限制,以得到该更新集成电路布局。
8.如权利要求7所述的集成电路布局方法,其中分次调降该电路密度限制的步骤的结果是移除了该电路密度限制。
9.如权利要求1所述的集成电路布局方法,其中该原始集成电路布局中该电位下降热区的电路密度大于该更新集成电路布局中该电位下降热区的电路密度。
10.一种集成电路布局方法,能够改善一集成电路布局流程的结果的电路特性,包含:
执行该集成电路布局流程,以得到一原始集成电路布局;
对该原始集成电路布局执行一电路特性分析,以得到一警示热区;
决定该警示热区的一电路密度限制;以及
依据该电路密度限制,重新执行该集成电路布局流程以得到一更新集成电路布局。
CN201910729957.2A 2019-08-08 2019-08-08 集成电路布局方法 Active CN112347728B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910729957.2A CN112347728B (zh) 2019-08-08 2019-08-08 集成电路布局方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910729957.2A CN112347728B (zh) 2019-08-08 2019-08-08 集成电路布局方法

Publications (2)

Publication Number Publication Date
CN112347728A true CN112347728A (zh) 2021-02-09
CN112347728B CN112347728B (zh) 2024-06-18

Family

ID=74366707

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910729957.2A Active CN112347728B (zh) 2019-08-08 2019-08-08 集成电路布局方法

Country Status (1)

Country Link
CN (1) CN112347728B (zh)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040073878A1 (en) * 2002-10-15 2004-04-15 Mitsubishi Denki Kabushiki Kaisha Layout device
TW200712962A (en) * 2004-03-09 2007-04-01 Taiwan Semiconductor Mfg Co Ltd A better placement and routing method and adding heat sink to reduce temperature rise caused by joule heating
US20080098340A1 (en) * 2004-12-06 2008-04-24 Entasys Design, Inc. Method for Designing Block Placement and Power Distribution of Semiconductor Integrated Circuit
TW201109962A (en) * 2009-09-02 2011-03-16 Taiwan Semiconductor Mfg Methods of manufacturing an integrated circuit
JP2011107950A (ja) * 2009-11-17 2011-06-02 Renesas Electronics Corp 半導体集積回路の設計方法および半導体集積回路設計支援装置
US20120242149A1 (en) * 2011-03-25 2012-09-27 Chia-Lin Chuang Method for designing power distribution network of circuit system and related circuit system
TW201539718A (zh) * 2014-02-27 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 積體電路佈局及半導體裝置
US20150370946A1 (en) * 2014-06-20 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of density-controlled floorplan design for integrated circuits and integrated circuits
CN109983461A (zh) * 2016-11-18 2019-07-05 高通股份有限公司 用于集成电路的自适应多层配电电网

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040073878A1 (en) * 2002-10-15 2004-04-15 Mitsubishi Denki Kabushiki Kaisha Layout device
TW200712962A (en) * 2004-03-09 2007-04-01 Taiwan Semiconductor Mfg Co Ltd A better placement and routing method and adding heat sink to reduce temperature rise caused by joule heating
US20080098340A1 (en) * 2004-12-06 2008-04-24 Entasys Design, Inc. Method for Designing Block Placement and Power Distribution of Semiconductor Integrated Circuit
TW201109962A (en) * 2009-09-02 2011-03-16 Taiwan Semiconductor Mfg Methods of manufacturing an integrated circuit
JP2011107950A (ja) * 2009-11-17 2011-06-02 Renesas Electronics Corp 半導体集積回路の設計方法および半導体集積回路設計支援装置
US20120242149A1 (en) * 2011-03-25 2012-09-27 Chia-Lin Chuang Method for designing power distribution network of circuit system and related circuit system
TW201539718A (zh) * 2014-02-27 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 積體電路佈局及半導體裝置
US20150370946A1 (en) * 2014-06-20 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of density-controlled floorplan design for integrated circuits and integrated circuits
CN109983461A (zh) * 2016-11-18 2019-07-05 高通股份有限公司 用于集成电路的自适应多层配电电网

Also Published As

Publication number Publication date
CN112347728B (zh) 2024-06-18

Similar Documents

Publication Publication Date Title
US7207022B2 (en) Layout design method for semiconductor integrated circuits
US8533649B2 (en) Reducing leakage power in integrated circuit designs
US8266570B2 (en) Density-based area recovery in electronic design automation
JP2010258264A (ja) 半導体集積回路装置およびその設計方法
US8316339B2 (en) Zone-based leakage power optimization
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
Hashimoto et al. Post-layout transistor sizing for power reduction in cell-based design
JP2008112383A (ja) 半導体集積回路設計方法、および設計プログラム
CN112347728A (zh) 集成电路布局方法
US8527927B2 (en) Zone-based area recovery in electronic design automation
US7320117B2 (en) Design method for semiconductor integrated circuit device using path isolation
US6990647B2 (en) Variable stage ratio buffer insertion for noise optimization in a logic network
US11983477B2 (en) Routing layer re-optimization in physical synthesis
US8543963B2 (en) Global leakage power optimization
TWI715127B (zh) 積體電路佈局方法
JP2018142235A (ja) 回路設計方法、及び回路設計支援装置
JPH1092942A (ja) 半導体集積回路の最適化装置とその最適化方法
US7451413B1 (en) Methods of minimizing leakage current by analyzing post layout information and associated threshold voltage and leakage current
JP2010186320A (ja) 半導体集積回路の設計装置
US8060850B2 (en) Method for designing semiconductor integrated circuit
US20090007044A1 (en) Design support method and apparatus, and computer product
US9830419B2 (en) Modifying implant regions in an integrated circuit to meet minimum width design rules
JP2007323203A (ja) 半導体集積回路の設計装置および設計方法
JP2008152329A (ja) 回路解析方法、及び回路解析プログラム、回路シミュレーション装置
JP2007250975A (ja) 半導体集積回路の設計方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant