CN112005339A - 碳膜的原子层沉积 - Google Patents

碳膜的原子层沉积 Download PDF

Info

Publication number
CN112005339A
CN112005339A CN201980022653.0A CN201980022653A CN112005339A CN 112005339 A CN112005339 A CN 112005339A CN 201980022653 A CN201980022653 A CN 201980022653A CN 112005339 A CN112005339 A CN 112005339A
Authority
CN
China
Prior art keywords
carbon
containing reactant
aluminum
layer
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980022653.0A
Other languages
English (en)
Inventor
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112005339A publication Critical patent/CN112005339A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

使用具有铝‑碳键的含铝反应物(例如三烷基铝)与具有碳‑卤素键的含碳反应物(例如碳氟化合物,例如,CF4或CH2F2)之间的反应,通过原子层沉积将碳膜沉积在半导体衬底上。该方法包括顺序地将反应物引入处理室,在半导体衬底的表面上形成一种或两种反应物的吸附限制层,并使含铝反应物与含碳反应物反应以形成量受到吸附受限反应物层限制的碳层。从处理室中去除含铝副产物。这样的碳层可用于间隙填充应用中,例如在3D NAND制造中,以及在自对准双图案化工艺中用作隔离物。

Description

碳膜的原子层沉积
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所确定的本申请主张其权益或者优先权的每个申请均通过引用全文并入本文并用于所有目的。
技术领域
本发明涉及半导体设备的制造方法。特别地,本发明的实施方案涉及在半导体处理中沉积碳膜的方法。
背景技术
在集成电路(IC)制造中,沉积和蚀刻技术用于形成材料的图案,例如用于形成嵌入介电层中的金属线。一些图案化方案需要材料的保形沉积,其中沉积的层应沿衬底表面上的凸起和/或凹陷特征的轮廓延伸(follow)。原子层沉积(ALD)通常是在衬底上形成保形膜的优选方法,因为ALD依赖于一种或多种反应物对衬底表面的吸附,以及随后吸附层向所需材料的化学转化。因为ALD使用发生在衬底表面并且通常受吸附的反应物的量限制的反应,所以该方法可以提供具有极佳台阶覆盖率的薄保形层。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
先前尚未开发通过ALD沉积碳层的方法。因此,具有对沉积厚度的高度控制和良好台阶覆盖的碳层沉积提出了挑战性的问题。本文提供了用于以表面受控的方式沉积碳层的方法和设备。可以通过提供的方法沉积具有优异的台阶覆盖率的保形碳膜,并且可以用于多种应用中,包括间隙填充(例如3D NAND结构中的间隙填充)以及自对准双图案化(SADP)中的间隔物形成期间。
在一方面,提供了一种在处理室中的半导体衬底的表面上形成碳层的方法,其中该方法包括:(a)将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键(例如,其中反应物是烷基取代的铝,例如三烷基铝);(b)将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物(例如,其中所述含碳反应物是四卤化碳);(c)在所述含铝反应物和所述含碳反应物中的一者或两者在所述半导体衬底的所述表面上形成吸附受限层的条件下,使所述含铝反应物和所述含碳反应物中的至少一者吸附到所述半导体衬底的所述表面上;以及(d)在所述含铝反应物和所述含碳反应物中的至少一者已在所述半导体衬底的所述表面上形成吸附受限层之后,使所述含铝反应物与所述含碳反应物反应,以在所述半导体衬底的所述表面上形成碳层。可以根据需要将操作(a)-(d)重复多次,以沉积具有预定厚度的碳层。
合适的含铝反应物包括三烷基铝。在一示例中,含铝反应物是三甲基铝。具有碳-卤素键的合适的含碳反应物的示例包括CX4、CHX3、CH2X2和CH3X,其中X是卤素。在一些实施方案中,含碳反应物是四卤化碳。在一些实施方案中,含碳反应物包括碳-氟键。
在一实现方案中,含铝反应物是三烷基铝,并且含碳反应物是CX4、CHX3、CH2X2和CH3X中的一种或多种,其中X是卤素。在一些实施方案中,卤素是氟。在其他实施方案中,卤素是氯和/或溴。在一具体示例中,含铝反应物是三烷基铝(例如,三甲基铝或三乙基铝),而含碳反应物是CF4、CCl4或CBr4
含铝反应物和含碳反应物之间的反应导致形成含铝副产物,该副产物可以在反应完成后除去。该副产物通常包含铝-卤素键。例如,如果含碳反应物包含碳-氟键,则副产物将包含氟化铝。
将反应物引入到处理室中是按顺序进行的,并且在引入第一反应物之后且在引入第二反应物之前,清扫和/或排空处理室。反应物可以以任何顺序引入。在一实施方案中,首先将具有铝-碳键的含铝反应物引入处理室中,并使其在衬底的表面上形成吸附受限层。接下来,将未吸附的含铝反应物(例如,通过清扫和/或抽排)从处理室中去除,然后将含碳反应物引入处理室中,并使其与吸附的含铝反应物层反应,以形成碳层。接下来,可以去除含铝的副产物,并且可以根据需要将该工艺重复多次,以沉积预定厚度的碳层。
在另一实施方案中,该工艺通过首先将含碳反应物引入处理室并在衬底上形成吸附受限的含碳反应物层而开始。通过清扫和/或抽排将未吸附的含碳反应物从处理室中除去。接下来,将含铝反应物引入到处理室中,并与吸附的含碳反应物层反应以在衬底的表面上形成碳层。
在一些实施方案中,含铝反应物和含碳反应物之间的反应在不激活的情况下热发生。在其他实施方案中,例如通过对上面形成有含铝反应物层和含碳反应物层的衬底进行等离子体处理来激活反应。在一实现方案中,该方法的碳沉积方法包括将含铝反应物和含碳反应物两者都吸附到衬底的表面上,以及通过将衬底暴露于形成于工艺气体中的等离子体来激活衬底上吸附的反应物之间的反应,该工艺气体包括选自由氦气(He)、氩气(Ar)、氢气(H2)、和氮气(N2)组成的群组的气体。
在一示例性实现方案中,一种在处理室中的半导体衬底的表面上形成碳层的等离子体激活方法包括:(a)将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键并且在所述半导体衬底的所述表面上形成成层的所述含铝反应物;(b)在(a)之后从所述处理室中除去所述含铝反应物;(c)将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物,并在所述半导体衬底的所述表面上形成成层的所述含碳反应物;(d)在(c)之后从所述处理室中除去所述含碳反应物;以及(d)使具有所述成层的所述含碳反应物和所述成层的所述含铝反应物的所述半导体衬底与等离子体接触以激活所述含铝反应物和所述含碳反应物之间的反应,从而在所述半导体衬底的表面上形成碳层。可以根据需要多次重复操作(a)-(d),以沉积所需厚度的碳层。在一示例中,所述含铝反应物是三烷基铝,所述含碳反应物是CF4,并且通过使所述半导体衬底与在包含选自由氦气(He)、氩气(Ar)、氢气(H2)和氮气(N2)组成的群组的气体的工艺气体中形成的等离子体接触来激活所述三烷基铝和CF4之间的反应。
在一些实施方案中,半导体衬底的上面形成有碳层的表面具有图案化的三维特征。在一些实现方案中,在间隙填充操作中沉积碳层。例如,可以在间隙填充操作中在部分制造的3D NAND结构中沉积碳层。
在一些实现方案中,碳层保形地沉积在具有多个突出特征的半导体衬底上。在一实施方案中,该方法还包括:从突出特征的水平表面上完全去除碳层,而没有在突出特征的侧壁上完全去除碳层;然后去除突出特征而不完全去除驻留在突出特征的侧壁上的碳层,从而在半导体衬底上形成碳间隔物。
在一些实施方案中,提供的方法还包括:将光致抗蚀剂施加到衬底上;使光致抗蚀剂曝光;图案化光致抗蚀剂并将图案转移到衬底上;并且选择性地从衬底上去除光致抗蚀剂。
在另一方面,提供了一种部分制造的半导体衬底,其中该半导体衬底包括多个碳间隔物。
在另一方面,提供了一种用于处理半导体衬底的***。所述***包括:处理室,其具有衬底保持器和用于将反应物引入所述处理室的一个或多个入口;和***控制器,其包括用于执行本文所述的方法中的任一种的程序指令。在一个实现方案中,所述程序指令包括用于以下操作的程序指令:(i)致使将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键;(ii)致使将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物;(iii)致使在所述含铝反应物和所述含碳反应物中的一者或两者在所述半导体衬底的所述表面上形成吸附受限层的条件下,将所述含铝反应物和所述含碳反应物中的至少一者吸附到所述半导体衬底的所述表面上;以及(iv)致使在所述含铝反应物和所述含碳反应物中的至少一者已在所述半导体衬底的所述表面上形成吸附受限层之后,使所述含铝反应物与所述含碳反应物反应,以在所述半导体衬底的所述表面上形成碳层。
本说明书中描述的主题的实现方案的这些和其他方面在附图和以下描述中阐述。
附图说明
图1是根据本文提供的实施方案的碳沉积方法的工艺流程图。
图2A是根据本文提供的实施方案的碳沉积方法的工艺流程图。
图2B是根据本文提供的另一实施方案的碳沉积方法的工艺流程图。
图3A-3F示出了根据本文提供的实施方案的经受处理的半导体衬底的示意性截面图。
图4是根据本文提供的实施方案的形成碳间隔物的方法的工艺流程图。
图5-6示出了根据本文提供的实施方案的经受处理的半导体衬底的示意性截面图。
图7是根据本文提供的实施方案的适合于沉积碳膜的装置的示意图。
图8示出了根据本文提供的实施方案的多站式处理***的示意图。
图9示出了根据本文提供的实施方案的多站式处理***的示意图。
具体实施方式
提供了使用ALD沉积碳膜的方法。这些方法可用于例如在表面上具有三维结构的半导体衬底上(例如在具有一个或多个凹陷特征或一个或多个突出的衬底上)沉积保形碳膜。在一些实施方案中,该方法包括具有Al-C键的含铝反应物(例如三烷基铝)与具有碳-卤素键的含碳反应物(例如CF4)之间的反应。
如本文所用,术语“ALD”通常是指依赖于受吸附在衬底表面上的反应物(吸附受限的反应物层)的量所限制的反应的沉积方法。吸附受限的反应物层可以包括吸附受限的含铝反应物层、吸附受限的含碳反应物层或吸附受限的两种反应物层。在一些实施方案中,ALD方法包括将反应物顺序地引入到处理室中,使得不允许反应物在处理室的主体中混合。
在一些实施方案中,在间隙填充应用中沉积碳膜。例如,在一种实现方案中,可以在3D NAND制造期间在间隙填充中沉积碳膜。在一些实现方案中,碳膜用作自对准双图案化(SADP)中的间隔物。然而,提供的方法不限于在具有凹陷特征的表面上沉积碳膜,并且还可以用于在平坦表面上沉积覆盖(blanket)碳膜。该方法依赖于表面受控的反应,并且可以用于沉积对膜厚度具有高度控制的膜。膜可以沉积在允许将反应物顺序地引入到处理室中的多种装置中。例如,可以在可从Lam Research Corporation获得的
Figure BDA0002703643060000061
沉积***中沉积碳膜。
如本文所使用的,碳是指基本上由碳(C)和任选的氢(H)组成的材料。在一些实施方案中,如本文所使用,碳膜可包含C-H键。包含碳氢化合物的材料在碳膜范围内。其他元素也可作为掺杂剂以少量存在于碳膜中,少于掺杂剂总量的约10%(原子),其中计算中不包括氢。
如本文所使用的,术语“半导体衬底”是指在半导体设备制造的任何阶段的衬底,该衬底在其结构内的任何地方包含半导体材料。应理解,不需要暴露半导体衬底中的半导体材料。具有覆盖半导体材料的多层其他材料(例如,电介质)的半导体晶片是半导体衬底的示例。以下详细描述假定所公开的实现方案在晶片上实施。然而,所公开的实现方案不受限于此。工件可以具有各种形状、尺寸和材料。除了半导体晶片之外,可以利用所公开的实现方案的其他工件还包括各种制品,例如印刷电路板等。
沉积碳膜的工艺由图1所示的工艺流程图说明。在操作101中,将含铝反应物引入容纳半导体衬底的处理室。含铝反应物包含至少一个铝-碳键。在一些实施方案中,铝-碳键的碳是烷基取代基的一部分,例如甲基、乙基、丙基(例如,正丙基或异丙基)、丁基、戊基等。在一些实施方案中,含铝反应物是三烷基铝。合适的反应物的示例包括三甲基铝、三乙基铝等。在一些实施方案中,含铝反应物是挥发性的,并且以气相引入到处理室中。可以将反应物与载气混合引入,其中载气通常是惰性气体,例如N2、He、Ar、Ne或Kr。当含铝反应物不挥发时,其可以使用直接液体注入(DLI)蒸发器,例如可从Kemstream获得的Vapbox DLI蒸发器进行蒸发。
在操作103中,将含碳反应物引入容纳衬底的处理室中。含碳反应物具有碳-卤素键,例如碳-氟、碳-氯和碳-溴键中的至少一种。合适的反应物的示例包括CX4、CHX3、CH2X2和CH3X,其中X是卤素。例如,在一些实施方案中,使用诸如CF4、CHF3、CH2F2或CH3F的含氟反应物。在其他实施方案中,可以使用含氯反应物,例如CCl4、CHCl3、CH2Cl2或CH3Cl。
通常将含铝反应物和含碳反应物顺序地引入到处理室中,而不在处理室的主体中混合。引入的顺序可以根据实施方案而变化。在一些实施方案中,首先引入含铝反应物,然后引入含碳反应物。在其他实施方案中,首先引入含碳反应物,然后引入含铝反应物。反应物中的至少一种(例如,含铝反应物、含碳反应物或两者)在衬底上形成吸附受限层。在一些实施方案中,引入的第一反应物在衬底上形成吸附受限层,并且引入的第二反应物在第二引入的反应物与该第一反应物的该吸附受限层接触之后与该吸附受限层反应。在其他实施方案中,引入的第一反应物形成吸附受限层,引入的第二反应物也形成吸附受限层,并且两种反应物然后例如在热激活或等离子体激活之后在衬底的表面上反应。在一些实施方案中,通过使衬底与在诸如氦气(He)、氩气(Ar)、氢气(H2)和氮气(N2)或它们的任何混合物之类的气体中形成的等离子体接触来激活反应。为了允许在相对较低的温度下形成碳,可以使用用等离子体激活反应。在一些实施方案中,在小于300℃,例如小于200℃的温度下使用等离子体激活的反应形成碳膜。
不管引入的顺序如何,在一些实施方案中,在引入第一反应物之后并且在引入第二反应物之前清扫和/或抽排处理室,以便从处理室中去除未吸附的引入的第一反应物。
在操作105中,使含铝反应物与含碳反应物反应以在衬底的表面上形成碳层,其中形成的碳的量被吸附受限的反应物(例如,含铝反应物和/或含碳反应物)层限制。在该反应中,含铝反应物的铝-碳键和含碳反应物的碳-卤素键断裂以形成碳(其可包括C-H键),并且副产物含有铝-卤素键。例如,当含碳反应物中的卤素为氟时,将形成含有铝-氟键的副产物。在一些实施方案中,反应在使反应物接触之后自发发生。在其他实施方案中,反应在反应物已经接触之后被(例如,通过热方式)激活。
在一些实施方案中,在形成碳的同时,从衬底表面除去卤化铝副产物。在其他实施方案中,如操作107所示,在单独的步骤中从衬底去除含铝副产物。例如,可以加热衬底以除去挥发性卤化铝(例如氟化铝)副产物。
在一些实施方案中,包括操作101-105的一个沉积循环,沉积平均厚度介于0.5埃
Figure BDA0002703643060000081
Figure BDA0002703643060000082
之间的碳膜。可以根据需要将循环重复多次,以沉积所需厚度的碳膜。例如,在一些实施方案中,沉积厚度介于
Figure BDA0002703643060000083
Figure BDA0002703643060000084
之间的碳膜。
碳沉积方法的一个实施方案由图2A所示的工艺流程图说明。该工艺于201通过将含铝反应物吸附在半导体衬底上开始。含铝的前体,例如三烷基铝可以与载气一起流到处理室,并且可允许被吸附到衬底的表面。选择该步骤的工艺条件,使得形成吸附受限的含铝反应物层。接下来,在操作203中,通过清扫和/或抽排处理室,将未吸附的含铝反应物从处理室中去除。例如,可以用惰性气体(例如N2、He、Ar、Ne等)清扫处理室。在此步骤之后,处理室的主体中没有含铝的前体,并且所有后续反应都受到衬底上吸附的含铝反应物的量限制。接下来,在操作205中,将含碳反应物引入到处理室中,并且使其与吸附的含铝反应物反应以在半导体衬底的表面上形成碳层。在一些实施方案中,反应在引入含碳反应物之后自发发生。
接下来,在操作207中,从处理室中去除含铝副产物。该步骤是任选的,因为在一些实施方案中,副产物在形成碳的同时被除去。当副产物没有在碳形成反应的同时除去时,它可以在单独的步骤中例如通过加热除去。
接下来,在操作209中,根据需要重复多次碳沉积(步骤201-207)以形成预定厚度的碳层。例如,在一些实施方案中,执行至少5或至少10个循环,其中每个循环包括操作201-205。控制处理期间的温度和压力以使得能在衬底上形成一种或两种反应物的吸附受限层。在一些实施方案中,在整个沉积序列期间的温度维持在小于约400℃,并且压强维持在低于大气压的水平。使用所述反应物来沉积碳膜可以在不存在等离子体的情况下进行。在一些实施方案中,可以使用等离子体处理,例如以提高沉积后沉积的碳层的质量和/或活化衬底表面上的一种或多种反应物。
图2B提供了使用等离子体激活反应而形成碳层的方法的工艺流程图。参照图2B,该工艺在211中通过在衬底上形成含铝反应物层来开始。例如,可以在衬底上形成吸附受限的含铝反应物层。接下来,在操作213中,清扫和/或抽排处理室以从处理室中去除含铝反应物。例如,可以使用惰性气体作为清扫气体以去除未吸附的含铝反应物。接下来,在操作215中,在衬底上形成含碳材料层。例如,含碳材料可以被引入到处理室中,并且可以使含碳材料在衬底上形成吸附受限层。在操作217中,清扫和/或抽排处理室以从处理室去除含碳材料。在该操作之后,在衬底的表面上存在含铝材料层和含碳材料层。接下来,在操作219中,用等离子体处理衬底以激活衬底上的含铝反应物和含碳反应物之间的反应并形成碳层。在一些实施方案中,等离子体在氦气(He)、氩气(Ar)、氢气(H2)、氮气(N2)或这些气体的任何混合物中形成。反应副产物可以与等离子体处理同时除去或在随后的步骤中除去。在等离子体处理之后,可以清扫和/或排空处理室,并且可以在操作221中将步骤211-219的处理顺序重复多次,直到沉积具有预定厚度的碳层为止。在一个示例性实施方案中,含铝反应物是三烷基铝(例如,三甲基铝或三乙基铝),而含碳反应物是CF4
通过本文提供的方法沉积的碳膜可用于半导体设备制造中的多种应用。它们在需要在具有三维特征(例如,突出特征或凹陷特征)的衬底上保形沉积膜时特别有用。在一些实施方案中,碳膜在图案化应用中用作间隔物。在图3A-3F中提供了形成碳间隔物的示例,其中显示了在不同处理阶段的半导体衬底的示意性剖视图。图4提供了涉及碳心轴形成的半导体加工的方法的示例性工艺流程图。
参照图4,所描绘的工艺在401中通过提供具有多个突出特征(也称为心轴)的衬底而开始。在图3A中示出了示例性衬底,其示出了位于蚀刻停止层(ESL)303上的两个心轴301。在一些实施方案中,相邻心轴之间的距离d1在约10-100nm之间。在一些实施方案中,使用约40-100nm的相对较大的距离。在其他应用中,最接近的心轴之间的距离介于约10-30nm之间。在某些实施方案中,最接近心轴的中心之间的距离d2(其也称为节距)介于约30-130nm之间。在一些实施方案中,节距介于约80-130nm之间。在其他实施方案中,节距介于约30-40nm之间。心轴d3的高度通常介于约20-200nm之间,例如介于约50-100nm之间。
选择心轴和ESL的材料,以使得随后可以在暴露的碳存在下选择性地蚀刻心轴材料,并且在暴露的碳存在下选择性地蚀刻ESL材料。因此,对于第一蚀刻化学过程,ESL材料的蚀刻速率与碳的蚀刻速率之比大于1,更优选地大于约1.5,例如大于约2。在一些实施方案中,ESL材料是含硅材料(例如,诸如氮化硅之类的含硅化合物),并且第一蚀刻化学过程是基于氟的等离子体蚀刻(例如,在包含碳氟化合物的气体中形成的等离子体)。在一些实施方案中,ESL材料是金属氧化物或金属氮化物,并且第一蚀刻化学过程是基于卤素的等离子体蚀刻(例如,在包含卤素的工艺气体中形成的等离子体)。类似地,对于第二蚀刻化学过程,心轴材料的蚀刻速率与碳的蚀刻速率之比大于1,更优选地大于约1.5,例如大于约2。在一些实施方案中,心轴材料是含硅材料(例如,含硅化合物),并且第一蚀刻化学过程是基于氟的等离子体蚀刻(例如,在包含碳氟化合物的气体中形成的等离子体)。在一些实施方案中,心轴材料是金属氧化物或金属氮化物,并且第一蚀刻化学过程是基于卤素的等离子体蚀刻(例如,在包含卤素的工艺气体中形成的等离子体)。
在一些实施方案中,ESL材料是含硅化合物(例如,SiO2)或金属氧化物(例如,氧化钛、氧化锆、氧化钨)。心轴材料可包括含硅化合物(例如,SiO2、SiN或SiC),非晶硅(掺杂或未掺杂)或金属氧化物(TaO、TiO、WO、ZrO、HfO)。在一些实施方案中,心轴的外部材料可以与心轴芯不同。例如,在一些实施方案中,心轴由覆盖有氧化硅(例如,有自发形成的热氧化物层)的非晶硅制成。ESL层和心轴可以通过物理气相沉积(PVD)、化学气相沉积(CVD)、ALD(无等离子体或通过PEALD)或等离子体增强化学气相沉积(PECVD)中的一种或多种形成,并且可以使用光刻技术定义心轴的图案。合适的ESL/心轴组合的示例包括:(i)氧化硅ESL和氧化硅覆盖的硅心轴;(ii)氧化硅ESL和金属氧化物心轴;(iii)金属氧化物ESL和氧化硅覆盖的硅芯轴。
再次参考图3A所示的衬底,ESL层303位于目标层305上方并与其接触。目标层305是需要被图案化的层。目标层305可以是半导体层、介电层或其他层,并且可以由例如硅(Si)、氧化硅(SiO2)、氮化硅(SiN)或氮化钛(TiN)制成。在一些实施方案中,目标层被称为硬掩模层,并且包含金属氮化物,例如氮化钛。可以通过ALD(没有等离子体或通过PEALD),CVD或其他合适的沉积技术来沉积目标层305。
目标层305位于层307上方并与其接触,在一些实施方案中,该层307是BEOL层,其包括嵌入到介电材料层中的多个金属线。
参照图4,在403中通过在水平表面和突出特征的侧壁两者上沉积碳层来继续对衬底进行处理。碳层优选使用本文提供的ALD方法保形地沉积。参照图3B所示的结构,碳层309沉积在ESL303上方以及心轴301上方,包括在心轴的侧壁上方。在所示的实施方案中,通过本文开发的ALD方法沉积碳层。在一些实施方案中,碳层保形地沉积至介于约5-30nm之间的厚度,例如介于约10-20nm之间的厚度。
在保形地沉积了碳层之后,在405中,通过从水平表面上完全去除碳层而没有从突出特征的侧壁上完全去除碳层来继续工艺。可以使用基于氧的等离子体蚀刻(例如,使用在包含氧气的气体中形成的等离子体)来执行该蚀刻。在其他实施方案中,可以使用基于氢的蚀刻(例如,使用在包含氢的工艺气体中形成的等离子体)。如果心轴具有作为外层的含硅化合物或金属氧化物,则可以使用基于氢或基于氧的蚀刻。在该步骤中使用的蚀刻化学物质应该优选对ESL材料和心轴外层的材料均具有选择性,即,对于该蚀刻化学物质,碳的蚀刻速率应大于外部心轴材料的蚀刻速率,并且大于ESL材料的蚀刻速率。图3C示出了从水平表面去除碳层。碳层309从ESL303上方和心轴301上方的水平表面被蚀刻掉,而没有从附着在心轴301侧壁上的位置完全蚀刻。除了靠近心轴301的侧壁附近的位置,该蚀刻层使碳层303在各处暴露。此外,该蚀刻暴露出心轴的顶部。所得的结构如图3C所示。优选地,在该蚀刻之后,保留侧壁处的碳层的初始高度的至少50%,例如至少80%或至少90%。在一个示例中,通过基于氢的蚀刻(例如,H2等离子体蚀刻)从氧化硅覆盖的心轴上选择性地蚀刻碳,使得心轴的外部材料(SiO2)被暴露。基于氢的蚀刻对SiO2具有选择性。在另一示例中,通过基于氢的蚀刻(例如,H2等离子蚀刻)或基于氧的蚀刻(例如,O2等离子蚀刻)从金属氧化物(例如,氧化钛)心轴选择性地蚀刻碳,使得心轴材料(金属氧化物)暴露。这些蚀刻化学过程对不形成挥发性氢化物的金属氧化物(例如氧化钛)具有选择性。
下一步骤407涉及完全去除突出特征而不完全去除位于突出特征的侧壁处的碳层,从而形成碳间隔物。如图3D所示,从衬底上去除心轴301,从而留下暴露的碳间隔物301和暴露层ESL 303。通过将衬底暴露于选择性地蚀刻心轴材料的蚀刻化学物质来执行心轴的去除。因此,在该步骤中,心轴材料的蚀刻速率与碳的蚀刻速率之比大于1,并且更优选地大于1.5。此外,在一些实施方案中,在该步骤中使用的蚀刻化学物质应当相对于ESL材料选择性地蚀刻心轴材料。可以使用多种蚀刻方法,并且化学物质的具体选择取决于心轴的材料和ESL层的材料。当心轴由覆盖有氧化硅的非晶硅制成时,可以使用基于氟的化学物质(例如,NF3)将硅心轴301与覆盖它们的SiO2层一起去除。该化学物质对碳具有选择性。
当心轴是金属氧化物(例如,氧化钛、氧化钨、氧化锆、氧化铪、氧化钽)时,可以用基于氯的蚀刻化学物质(例如,等离子体中的BCl3/Cl2)处理衬底,以相对于碳选择性地去除心轴。可以在含有含硅化合物(例如SiO2、SiN、SiC)的ESL存在下使用该化学物质。
接下来,蚀刻暴露的ESL膜303以在不受碳间隔物309保护的所有位置处暴露下伏的目标层305。在图3E中示出了所得的结构。此步骤中使用的蚀刻化学物质在碳存在下选择性蚀刻ESL材料。换句话说,ESL材料的蚀刻速率与碳的蚀刻速率之比大于1,并且更优选地大于1.5。此步骤中使用的特定类型的化学物质将取决于ESL材料的类型。当使用含硅化合物(例如,氧化硅和基于氧化硅的材料)时,可以通过将衬底暴露于在包含碳氟化合物的工艺气体中形成的等离子体中来完成选择性蚀刻。例如,可以通过在包括CF4、C2F6和C3F8中的一种或多种的工艺气体中形成的等离子体蚀刻ESL膜。当ESL是金属氧化物层(例如,氧化钛、氧化钨或氧化锆)时,可以使用基于氯的蚀刻化学物质(例如,等离子体中的BCl3/Cl2)在碳存在下选择性地对其进行蚀刻。
在下一步骤中,在不受ESL膜303保护的所有位置上蚀刻目标层305,以暴露下伏层307。在该蚀刻步骤中还去除了碳间隔层309,从而提供了图3F所示的图案化结构。在一些实施方案中,选择该步骤中使用的蚀刻化学物质以去除目标材料和碳间隔物材料两者。在其他实施方案中,可以使用利用不同化学物质的两个不同的蚀刻步骤来分别图案化目标层305以及去除碳间隔物309。取决于目标层的化学性质,可以使用多种蚀刻化学物质。在一实施方案中,目标层305是金属氮化物层(例如,TiN)层。在该实施方案中,可以通过将衬底暴露于在包含Cl2和碳氢化合物(例如,CH4)的工艺气体中形成的等离子体中来蚀刻该金属氮化物层,然后使用基于氧的等离子体蚀刻化学过程或基于氢的等离子体蚀刻化学过程来去除碳间隔物。
在一些实施方案中,所提供的碳沉积方法用于间隙填充应用中。在间隙填充中,将包含一个或多个凹陷特征的衬底提供至处理室,并使用所提供的方法沉积碳以覆盖凹陷特征的底部和侧壁。根据需要,执行沉积循环多次以用碳填充凹陷特征。由于沉积的高度保形性质,因此在一些实施方案中,可以实现无缝的间隙填充。提供的方法对于在高深宽比特征中沉积碳特别有效。在一些实施方案中,凹陷特征的深宽比为至少5:1,例如至少10:1。
在一示例中,碳被用于3D NAND制造工艺中的间隙填充。在一个实现方案中,具有至少一个凹陷特征的部分制造的3D NAND结构被提供至处理室,并且使用本文提供的方法将碳沉积到至少一个凹陷特征中以填充该凹陷特征。图5和图6提供了该应用的图示,图5和图6图示了部分制造的3D NAND结构的示意性截面图。
图5示出了示例性衬底1100,其具有以阶梯图案沉积在衬底1100上的多个交替层1111和1140。在一些实施方案中,层1111是介电层(例如,氧化硅),并且层1140是导电层(例如,钨层)。替代地,层1111和1140可以是不同类型的电介质,例如氧化硅层1111和氮化硅层1140。硬掩模层1110驻留在顶层1140上,并且封装层1139横向地封装交替层1111的阶梯图案。在电介质1122中(例如,在氧化硅中)蚀刻多个通孔1137,例如层1140的材料在通孔1137的底部1139处暴露。通孔1137具有不同的深度,因为材料1140如图5所示。在下一步骤中,使用本文提供的沉积方法,在间隙填充操作中将碳沉积到通孔1137中。所得的结构在图6中示出,其中碳层1173填充所有通孔(沟道),并且其中碳在填充的通孔的底部与材料1140接触。碳可以用作沟道中的牺牲材料,并且在随后的制造期间,可以例如使用基于氧的等离子体蚀刻或基于氢的等离子体蚀刻从沟道中去除碳,并且可以用导电材料填充通孔。
装置
本文描述的碳沉积方法可以在多种装置中进行。一种合适的装置包括:处理室,其具有用于引入反应物的一个或多个入口;在处理室中的衬底保持器,其配置成在沉积期间将衬底保持在适当的位置;以及任选地,等离子体产生机构,其配置成在工艺气体中产生等离子体。该装置可以包括具有程序指令的控制器,该程序指令用于致使本文描述的任何方法步骤执行。合适的装置的示例是可从Lam Research Corporation获得的
Figure BDA0002703643060000141
沉积装置。
例如,在一些实施方案中,所述装置包括具有程序指令的控制器,所述程序指令包括用于以下操作的指令:(i)致使将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键;(ii)致使将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物;(iii)致使在所述含铝反应物和所述含碳反应物中的一者或两者在所述半导体衬底的所述表面上形成吸附受限层的条件下,将所述含铝反应物和所述含碳反应物中的至少一者吸附到所述半导体衬底的所述表面上;以及(iv)致使在所述含铝反应物和所述含碳反应物中的至少一者已在所述半导体衬底的所述表面上形成吸附受限层之后,使所述含铝反应物与所述含碳反应物反应,以在所述半导体衬底的所述表面上形成碳层。
图7示出了适用于使用所提供的方法来沉积碳的沉积装置的示例。图7示意性地示出了可以用于使用原子层沉积(ALD)和/或化学气相沉积(CVD)(其中任何一个都可以是等离子体增强的)来沉积材料的处理站700的实施方案。为简单起见,处理站700被描绘为具有用于维持低压环境的处理室主体702的独立处理站。然而,应当理解,多个处理站700可以包括在共同的处理工具环境中。此外,应当理解,在一些实施方案中,可以由一个或多个计算机控制器以编程方式调整处理站700的一个或多个硬件参数,包括下面详细讨论的那些硬件参数。
处理站700与反应物输送***701流体连通,以将工艺气体输送至分配喷头706。反应物输送***701包含混合容器704,混合容器704用于共混和/或调节工艺气体以输送至喷头706。一个或多个混合容器入口阀720可以对工艺气体导入至混合容器704进行控制。类似地,喷头入口阀705可对工艺气体导入至喷头706进行控制。
一些反应物(例如三甲基铝)可以在处理站汽化之前以及随后输送到处理站之前以液体形式储存。例如,图7的实施方案包含汽化点703,汽化点703用于汽化将供应至混合容器704的液体反应物。在一些实施方案中,汽化点703可以是加热的蒸发器。从这样的蒸发器产生的反应物蒸气会在下游输送管道凝结。不兼容气体暴露于凝结的反应物会产生小颗粒。这些小颗粒可能阻塞管道、阻碍阀操作、污染衬底等。处理这些问题的一些方法涉及清扫和/或抽空输送管道以去除残留反应物。然而,清扫输送管道会增加处理站循环时间,降低处理站吞吐量。因此,在一些实施方案中,汽化点703下游的输送管道可以被热追踪。在一些示例中,混合容器704也可以被热追踪。在一个非限制性示例中,汽化点703下游的管道具有从约100℃升高至在混合容器704处的约150℃的升高的温度分布。
在一些实施方案中,反应物液体可以在液体喷射器处汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器上游的载气流中。在一种情况中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一情况中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。应当理解,较小的液滴可比较大的液滴更快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点703下游的管道长度。在一种情况中,液体喷射器可以直接装载到混合容器704。在另一情况中,液体喷射器可以直接装载到喷头706。
在一些实施方案中,可以在汽化点703上游设置液体流控制器来控制用于汽化并输送至处理站700的液体的质量流量。例如,液体流控制器(LFC)可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,其可以采取一秒或更长时间来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,LFC可以通过禁用LFC的感测管道和PID控制器来从反馈控制模式动态切换到直接控制模式。
喷头706朝衬底712分配工艺气体。在图7所示的实施方案中,衬底712位于喷头706下方,并且示出为安置在基座708上。应当理解,喷头706可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将工艺气体分配至衬底712。
在一些实施方案中,微体积707位于喷头706下方。在微体积中而不是在处理站的整个体积中执行ALD和/或CVD工艺可以减少反应物暴露和清扫时间,可以减少改变工艺条件(例如,压力、温度等)的时间,可以限制处理站机械手对工艺气体的暴露等。示例性的微体积大小包括但不限于0.1升和2升之间的容积。这个微量体积也影响生产力吞吐量。当每个循环的沉积速率下降时,循环时间也同时减少。在某些情况下,对于给定的目标膜厚度,循环时间减少的效果足够显著以提高模块的总体产量。
在一些实施方案中,可以升高或降低基座708以使衬底712暴露于微体积707和/或改变微体积707的体积。例如,在衬底传送阶段中,可以降低基座708以使得衬底712能被加载在基座708上。在沉积工艺阶段期间,可以升高基座708以将衬底712定位在微体积707内。在一些实施方案中,微体积707可以完全包围衬底712以及基座708的一部分以在沉积工艺期间形成高流阻抗的区域。
任选地,基座708可以在沉积工艺的部分期间降低和/或升高,以调节微体积707内的工艺压力、反应物浓度等。在一种使处理室主体702在沉积工艺期间保持在基础压力下的情况下,降低基座708可以使得微体积707能被抽空。微量体积与处理室体积的示例性比率包括但不限于1:700和1:10之间的体积比。应当理解,在一些实施方案中,可以通过合适的计算机控制器以编程方式调整基座高度。
尽管这里描述的示例性微体积变化涉及高度可调节的基座,但是应当理解,在一些实施方案中,喷头706的位置可以相对于基座708调节以改变微体积707的体积。此外,应当理解的是,基座708和/或喷头706的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座708可包括用于使衬底712的方位旋转的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器以编程方式执行。
回到图7所示的实施方案,喷头706和基座708与RF功率源714和匹配网络716电通信以用于对等离子体供电。在其他实施方案中,使用不具有等离子体产生器的装置,以使用提供的方法来沉积碳。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源714和匹配网络716可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适的功率的实施例被包含在上文中。类似地,RF功率源714可以提供任何适当频率的RF功率。在一些实施方案中,RF功率源714可以被配置为控制彼此独立的高频RF功率源和低频RF功率源。示例性的低频RF频率可包括但不限于,介于50kHz和700kHz之间的频率。示例性的高频RF频率可包括但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。在一个非限制性示例中,相对于被连续供电的等离子体,可对等离子体功率间歇地施以脉冲,以减少对衬底表面的离子轰击。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情况中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或工艺气体的浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包括但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体处理阶段的等离子体条件的指令可被包含在沉积工艺配方的相应的等离子体激活配方阶段中。在一些情况下,工艺配方阶段可按顺序排列,使得用于沉积工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设置一个或多个等离子体参数的指令可以包括在等离子体处理阶段之前的配方阶段中。例如,第一配方阶段可包括用于设定惰性气体和/或反应物气体的流速的指令,用于将等离子体产生器设定到功率设定点的指令,以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包括用于启用等离子体产生器的指令和用于第二配方阶段的时间延迟指令。第三配方阶段可以包括用于禁用等离子体产生器的指令和用于第三配方阶段的时间延迟指令。应当理解,可以在本公开的范围内以任何合适的方式进一步细分和/或迭代这些配方阶段。
在一些实施方案中,基座708可以通过加热器710进行温度控制。此外,在一些实施方案中,沉积处理站700的压力控制可以由蝶形阀718提供。如图7的实施方案所示,蝶形阀718节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,还可以通过改变引入处理站700的一种或多种气体的流速来调节处理站700的压力控制。
图8示出了多站式处理工具800的实施方案的示意图,其具有入站装载锁802和出站装载锁804,其一者或者两者可以包含远程等离子体源。可以使用这种工具,以使用本文提供的方法来处理衬底。在大气压下,机械手806被配置为将晶片从通过晶舟808装载的盒经由大气端口810移动至入站装载锁802内。晶片由机械手806放置在入站装载锁802中的基座812上,关闭大气端口810,且抽空装载锁。当入站装载锁802包含远程等离子体源时,晶片在被引入处理室814之前,可以暴露于装载锁中的远程等离子体处理。此外,晶片另外也可以在入站装载锁802中加热,例如以移除湿气和吸附的气体。接下来,通向处理室814的室传输端口816被打开,且另一个机械手(未示出)将晶片放置到反应器中在所述反应器中所示的第一站的基座上以用于处理。尽管图8所示的实施方案包括装载锁,但是应当理解,在一些实施方案中,可以使晶片直接进入处理站。
绘出的处理室814包含4个处理站,图8所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为818)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。虽然绘出的处理室814包含4个站,但应理解,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或更多个站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图8还绘出了用于在处理室814内传输晶片的晶片搬运***890的实施方案。在一些实施方案中,晶片搬运***890可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运***。非限制性示例包含晶片转盘和搬运晶片的机械手。图8还绘出了用于控制处理工具800的工艺条件和硬件状态的***控制器850的实施方案。***控制器850可以包含一个或多个存储器设备856、一个或多个海量存储设备854和一个或多个处理器852。处理器852可以包含CPU或者计算机、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,***控制器850控制处理工具800的所有活动。***控制器850执行存储在海量存储设备854、载入存储器设备856、并在处理器852上执行的***控制软件858。***控制软件858可以包含用于控制时序、气体的混合、室和/或站压强、室和/或站温度、吹扫条件和时序、晶片温度、RF功率等级、RF频率、衬底、基座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其它参数的指令。***控制软件858可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制根据所公开的方法执行各种处理工具处理所必需的处理工具组件的操作。***控制软件858可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,***控制软件858可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。例如,ALD工艺的每个阶段可以包括用于由***控制器850执行的一个或多个指令。用于设置ALD工艺阶段的工艺条件的指令可以包括在相应的ALD配方阶段中。在一些实施方案中,可以顺序地布置ALD配方阶段,使得ALD工艺阶段的所有指令与该工艺阶段同时执行。
在一些实施方案中可以采用存储在与***控制器850关联的海量存储设备854和/或存储器设备856上的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、工艺气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座818,并控制衬底和处理工具800的其它部分之间的间隔。
工艺气体控制程序可以包括用于控制气体组分和流速以及可选地用于在沉积之前使气体流入一个或多个处理站以便稳定处理站中的压力的代码。工艺气体控制程序可包括用于控制任何公开范围内的气体组成和流速的代码。压力控制程序可以包含用于通过调节例如在处理站的排放***中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。压力控制程序可以包括用于将处理站中的压力保持在任何所公开的压力范围内的代码。
加热器控制程序可以包括用于控制流到加热单元的电流的代码,该加热单元用于加热衬底。替代地,加热器控制程序可以控制传热气体(例如氦气)向衬底的传送。加热器控制程序可以包括将衬底的温度保持在任何公开范围内的指令。
等离子体控制程序可以包括用于设置施加到一个或多个处理站中的处理电极的RF功率等级和频率的代码,例如使用本文公开的任何RF功率等级。等离子体控制程序还可以包括用于控制每次等离子体暴露的持续时间的代码。
在一些实施方案中,可以存在与***控制器850相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方案中,由***控制器850调节的参数会涉及工艺条件。非限制性示例包含工艺气体组成和流率、温度、压强、等离子体条件(例如,RF功率等级、频率和暴露时间)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由***控制器850的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具800的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性示例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
可以使用任何合适的室来实施所公开的实施方案。示例性沉积设备包括但不限于来自
Figure BDA0002703643060000211
产品系列的装置,或者各种其他可商购获得的处理***中的任一种,其中
Figure BDA0002703643060000212
产品系列可从加利福尼亚州弗里蒙特的Lam Research Corp.获得。两个或更多个站可以执行相同的功能。类似地,两个或更多个站可以执行不同的功能。可以根据需要设计/配置每个站以执行特定的功能/方法。
图9是根据某些实施方案的适用于进行薄膜沉积工艺的处理***的框图。***900包括传送模块903。传送模块903提供清洁、加压的环境,以最小化当正被处理的衬底在各个反应器模块之间移动时被污染的风险。根据某些实施方案,安装在传送模块903上的是两个多站式反应器909和910,每个反应器能够执行原子层沉积(ALD)和/或化学气相沉积(CVD)。反应器909和910可以包括多个站911、913、915和917,其可以根据所公开的实施方案顺序地或非顺序地执行操作。这些站可包括加热的基座或衬底支撑件、一个或多个气体入口或喷头或分散板。
安装在传送模块903上的还可以是一个或多个单站或多站式模块907,其能够执行等离子体或化学(非等离子体)预清洁,或者与所公开的方法有关的任何其他工艺。在一些情况下,模块907可以用于各种处理,以例如制备用于沉积工艺的衬底。模块907还可以被设计/配置为执行各种其他工艺,例如蚀刻或抛光。***900还包括一个或多个晶片源模块901,其中在处理之前和之后存储晶片。大气传送室919中的大气机械手(未示出)可以首先将晶片从源模块901移动到装载锁921。传送模块903中的晶片传送装置(通常是机械臂单元)将晶片从装载锁921移动到安装在传送模块903上的模块中以及在安装在传送模块903上的模块中。
在多种实施方案中,***控制器929用于控制沉积过程中的工艺条件。控制器929通常将包括一或多个存储器设备和一或多个处理器。处理器可包括CPU或计算器、模拟和/或数字输入/输出连接部、步进马达控制器板,等等。
控制器929可控制沉积装置的活动中的全部。***控制器929执行***控制软件,***控制软件包括用于控制定时、气体的混合物、室压、室温、晶片温度、射频(RF)功率等级、晶片卡盘或基座位置以及特殊工艺的其他参数的成组的指令。存储在与控制器929相关联的存储器设备的其他计算机程序可在一些实施方案中被采用。
通常会有与控制器929相关联的用户界面。用户界面可包括显示屏、该装置和/或工艺条件的图形软件显示器以及诸如指点设备、键盘、触摸屏、话筒等用户输入设备。
***控制逻辑可以用任何合适的方式来配置。一般而言,该逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件被提供。指令可通过“编程”提供。这种编程被理解为包括任何形式的逻辑,包括数字信号处理器、专用集成电路以及具有实现为硬件的具体算法的其他器件中的硬编码逻辑。编程还被理解为包括可在通用处理器上执行的软件或固件指令。***控制软件可以任何合适的计算机可读编程语言进行编码。
用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及工艺序列中的其他工艺的计算机程序代码可以任何常用计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其他编写。编译的目标代码或脚本由处理器执行以完成程序中所识别的任务。同样如所指示的,程序代码可以是硬编码的。
控制器参数与工艺条件有关,诸如,例如工艺气体组分和流率、温度、压强、冷却气压、衬底温度以及室壁温度。这些参数以配方的形式被提供给用户,且可利用用户界面输入。用于监控工艺的信号可通过***控制器929的模拟和/或数字输入连接被提供。用于控制工艺的信号通过沉积装置900的模拟和数字输出连接被输出。
可以以许多不同方式设计或配置***软件。例如,根据所公开的实施方案,可以编写各种室部件子程序或控制对象以控制执行沉积工艺(以及在一些情况下的其他工艺)所必需的室部件的操作。用于该目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码和加热器控制代码。
在一些实现方式中,控制器929是***的一部分,该***可以是上述实施例的一部分。这种***可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流***等)。这些***可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件集成。电子器件可以称为“控制器”,该控制器可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***的类型,控制器929可以被编程以控制本文公开的任何工艺,包含控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些***中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体***连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或***上或针对半导体晶片或***执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与***集成、耦合或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机***的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到***。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的***可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与下列中的一者或多者通信:其它工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具。
进一步的实现方案
本文所述的装置和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必然地,这些装置和工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包含以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上施加光致抗蚀剂;(2)使用热板或加热炉或UV固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。

Claims (21)

1.一种在处理室中的半导体衬底的表面上形成碳层的方法,该方法包括:
(a)将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键;
(b)将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物;
(c)在所述含铝反应物和所述含碳反应物中的一者或两者在所述半导体衬底的所述表面上形成吸附受限层的条件下,使所述含铝反应物和所述含碳反应物中的至少一者吸附到所述半导体衬底的所述表面上;以及
(d)在所述含铝反应物和所述含碳反应物中的至少一者已在所述半导体衬底的所述表面上形成吸附受限层之后,使所述含铝反应物与所述含碳反应物反应,以在所述半导体衬底的所述表面上形成碳层。
2.根据权利要求1所述的方法,其中具有至少一个铝-碳键的所述含铝反应物是三烷基铝。
3.根据权利要求1所述的方法,其中具有至少一个铝-碳键的所述含铝反应物是三甲基铝。
4.根据权利要求1所述的方法,其中具有至少一个碳-卤素键的所述含碳反应物是四卤化碳。
5.根据权利要求1所述的方法,其中所述至少一个碳-卤素键是碳-氟键。
6.根据权利要求1所述的方法,其中,所述含铝反应物是三烷基铝,并且所述含碳反应物选自由CX4、CHX3、CH2X2和CH3X组成的群组,其中X是卤素。
7.根据权利要求5所述的方法,其中X是氟。
8.根据权利要求5所述的方法,其中X是氯和/或溴。
9.根据权利要求1所述的方法,其中使所述含铝反应物与所述含碳反应物反应包括形成含铝副产物,其中所述方法还包括在(d)之后除去所述含铝副产物。
10.根据权利要求8的方法,其中所述含铝副产物包含铝-卤素键。
11.根据权利要求1所述的方法,其中,所述半导体衬底的在上面形成有所述碳层的所述表面具有图案化的三维特征。
12.根据权利要求1所述的方法,其中,所述含铝反应物在引入所述含碳反应物之前形成吸附受限层。
13.根据权利要求1所述的方法,其中,所述含碳反应物在引入所述含铝反应物之前形成吸附受限层。
14.根据权利要求1所述的方法,其还包括在步骤(a)和(b)之间,清扫和/或抽排所述处理室以从所述处理室中去除所述含铝反应物或所述含碳反应物。
15.根据权利要求1所述的方法,其还包括重复操作(a)-(d)以将所述碳层沉积到预定厚度。
16.根据权利要求1所述的方法,其中,在间隙填充操作中沉积所述碳层。
17.根据权利要求1所述的方法,其中在间隙制造操作中在部分制造的3D NAND结构中沉积所述碳层。
18.一种在处理室中的半导体衬底的表面上形成碳层的方法,该方法包括:
(a)将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键并且在所述半导体衬底的所述表面上形成成层的所述含铝反应物;
(b)在(a)之后从所述处理室中除去所述含铝反应物;
(c)将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物,并在所述半导体衬底的所述表面上形成成层的所述含碳反应物;
(d)在(c)之后从所述处理室中除去所述含碳反应物;以及
(e)使具有所述成层的所述含碳反应物和所述成层的所述含铝反应物的所述半导体衬底与等离子体接触以激活所述含铝反应物和所述含碳反应物之间的反应,从而在所述半导体衬底的表面上形成碳层。
19.根据权利要求17所述的方法,其中,所述含铝反应物是三烷基铝,所述含碳反应物是CF4,并且其中通过使所述半导体衬底与在包含选自由氦气(He)、氩气(Ar)、氢气(H2)和氮气(N2)组成的群组中的气体的工艺气体中形成的等离子体接触来激活所述三烷基铝和CF4之间的反应。
20.一种部分制造的半导体衬底,其中所述半导体衬底包含多个碳间隔物。
21.一种用于处理半导体衬底的***,所述***包括:
(a)处理室,其具有衬底保持器和用于将反应物引入所述处理室的一个或多个入口;和
(b)***控制器,其包括用于以下操作的程序指令:
(i)致使将含铝反应物引入所述处理室,其中所述含铝反应物具有至少一个铝-碳键;
(ii)致使将含碳反应物引入所述处理室,其中所述含碳反应物具有至少一个碳-卤素键,并且其中所述含碳反应物不同于所述含铝反应物;
(iii)致使在所述含铝反应物和所述含碳反应物中的一者或两者在所述半导体衬底的所述表面上形成吸附受限层的条件下,将所述含铝反应物和所述含碳反应物中的至少一者吸附到所述半导体衬底的所述表面上;以及
(iv)致使在所述含铝反应物和所述含碳反应物中的至少一者已在所述半导体衬底的所述表面上形成吸附受限层之后,使所述含铝反应物与所述含碳反应物反应,以在所述半导体衬底的所述表面上形成碳层。
CN201980022653.0A 2018-03-26 2019-03-15 碳膜的原子层沉积 Pending CN112005339A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862647993P 2018-03-26 2018-03-26
US62/647,993 2018-03-26
PCT/US2019/022568 WO2019190783A1 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Publications (1)

Publication Number Publication Date
CN112005339A true CN112005339A (zh) 2020-11-27

Family

ID=68060319

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980022653.0A Pending CN112005339A (zh) 2018-03-26 2019-03-15 碳膜的原子层沉积

Country Status (4)

Country Link
JP (1) JP7396998B2 (zh)
KR (1) KR20200127261A (zh)
CN (1) CN112005339A (zh)
WO (1) WO2019190783A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04143921A (ja) * 1990-10-05 1992-05-18 Mitsubishi Electric Corp 磁気ディスクおよびその製造方法
TW548239B (en) * 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
WO2008108754A1 (en) 2007-03-06 2008-09-12 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
JP2010041038A (ja) 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
WO2014097280A1 (en) 2012-12-21 2014-06-26 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Also Published As

Publication number Publication date
WO2019190783A1 (en) 2019-10-03
JP2021519514A (ja) 2021-08-10
JP7396998B2 (ja) 2023-12-12
KR20200127261A (ko) 2020-11-10

Similar Documents

Publication Publication Date Title
JP7414891B2 (ja) 半導体基板を処理するための装置および方法
CN108133880B (zh) 氧化铝蚀刻停止层的沉积
JP2017034245A (ja) 感受性材料上にハロゲン化物含有ald膜を統合する方法
CN109791914B (zh) 用于互连结构的复合介电界面层
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
JP7396998B2 (ja) 炭素膜の原子層堆積
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
WO2022132642A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination