CN112005339A - Atomic layer deposition of carbon films - Google Patents

Atomic layer deposition of carbon films Download PDF

Info

Publication number
CN112005339A
CN112005339A CN201980022653.0A CN201980022653A CN112005339A CN 112005339 A CN112005339 A CN 112005339A CN 201980022653 A CN201980022653 A CN 201980022653A CN 112005339 A CN112005339 A CN 112005339A
Authority
CN
China
Prior art keywords
carbon
containing reactant
aluminum
layer
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980022653.0A
Other languages
Chinese (zh)
Inventor
阿德里安·拉沃伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112005339A publication Critical patent/CN112005339A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput

Abstract

Using an aluminium-containing reactant having an aluminium-carbon bond (e.g. a trialkylaluminium) with a carbon-containing reactant having a carbon-halogen bond (e.g. a fluorocarbon, e.g. CF)4Or CH2F2) By atomic layer deposition, a carbon film is deposited on the semiconductor substrate. The method includes sequentially introducing reactants into a process chamber, forming an adsorption limiting layer of one or both reactants on a surface of a semiconductor substrate, and reacting an aluminum-containing reactant with a carbon-containing reactant to formA carbon layer in an amount limited by the adsorption limited reactant layer. Aluminum-containing by-products are removed from the process chamber. Such carbon layers may be used in gap fill applications, for example in 3D NAND fabrication, and as spacers in self-aligned double patterning processes.

Description

Atomic layer deposition of carbon films
Is incorporated by reference
The PCT application form is filed concurrently with this specification as part of this application. Each of the applications for which this application claims benefit or priority as determined in the concurrently filed PCT application form is incorporated by reference herein in its entirety and for all purposes.
Technical Field
The present invention relates to a method for manufacturing a semiconductor device. In particular, embodiments of the present invention relate to methods of depositing carbon films in semiconductor processing.
Background
In Integrated Circuit (IC) fabrication, deposition and etching techniques are used to pattern materials, such as to form metal lines embedded in dielectric layers. Some patterning schemes require conformal deposition of materials, where the deposited layer should extend along the contours of raised and/or recessed features on the substrate surface (folow). Atomic Layer Deposition (ALD) is generally the preferred method of forming conformal films on substrates because ALD relies on the adsorption of one or more reactants to the substrate surface and the subsequent chemical conversion of the adsorbed layer to the desired material. Because ALD uses reactions that occur at the substrate surface and are generally limited by the amount of adsorbed reactants, the method can provide a thin conformal layer with excellent step coverage.
The background description provided herein is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
Disclosure of Invention
A method of depositing a carbon layer by ALD has not been previously developed. Thus, carbon layer deposition with high control of the deposition thickness and good step coverage presents a challenging problem. Methods and apparatus for depositing a carbon layer in a surface controlled manner are provided herein. Conformal carbon films with excellent step coverage can be deposited by the provided methods and can be used in a variety of applications, including gap filling (e.g., gap filling in 3D NAND structures) and during spacer formation in self-aligned double patterning (SADP).
In one aspect, a method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber is provided, wherein the method comprises: (a) introducing an aluminum-containing reactant into the process chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond (e.g., wherein the reactant is an alkyl-substituted aluminum, such as a trialkylaluminum); (b) introducing a carbon-containing reactant into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant (e.g., wherein the carbon-containing reactant is carbon tetrahalide); (c) adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant onto the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate; and (d) reacting the aluminum-containing reactant with the carbon-containing reactant to form a carbon layer on the surface of the semiconductor substrate after at least one of the aluminum-containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate. Operations (a) - (d) may be repeated as many times as necessary to deposit a carbon layer having a predetermined thickness.
Suitable aluminum-containing reactants include trialkylaluminums. In one example, the aluminum-containing reactant is trimethylaluminum. Examples of suitable carbon-containing reactants having a carbon-halogen bond include CX4、CHX3、CH2X2And CH3X, wherein X is halogen. In some embodiments, the carbon-containing reactant is a carbon tetrahalide. In some embodiments, the carbon-containing reactant includes a carbon-fluorine bond.
In one embodiment, the aluminum-containing reactant is a trialkylaluminum and the carbon-containing reactant is CX4、CHX3、CH2X2And CH3One or more of X, wherein X is halogen. In some embodiments, the halogen is fluorine. In other embodiments, the halogen is chlorine and/or bromine. In one particular example, the aluminum-containing reactant is a trialkylaluminum (e.g., trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF4、CCl4Or CBr4
The reaction between the aluminum-containing reactant and the carbon-containing reactant results in the formation of an aluminum-containing by-product, which can be removed after the reaction is complete. The by-products typically include aluminum-halogen bonds. For example, if the carbon-containing reactant comprises a carbon-fluorine bond, the by-product will comprise aluminum fluoride.
The introduction of the reactants into the process chamber is sequential and the process chamber is purged and/or evacuated after the introduction of the first reactant and before the introduction of the second reactant. The reactants may be introduced in any order. In one embodiment, an aluminum-containing reactant having aluminum-carbon bonds is first introduced into the process chamber and allowed to form an adsorption-limited layer on the surface of the substrate. Next, unadsorbed aluminum-containing reactant is removed from the process chamber (e.g., by purging and/or pumping), and then a carbon-containing reactant is introduced into the process chamber and reacted with the adsorbed aluminum-containing reactant layer to form a carbon layer. Next, the aluminum-containing by-products may be removed, and the process may be repeated as many times as necessary to deposit a carbon layer of a predetermined thickness.
In another embodiment, the process begins by first introducing a carbon-containing reactant into a process chamber and forming a layer of adsorption-limited carbon-containing reactant on a substrate. Unadsorbed carbon-containing reactant is removed from the process chamber by purging and/or pumping. Next, an aluminum-containing reactant is introduced into the process chamber and reacts with the adsorbed carbon-containing reactant layer to form a carbon layer on the surface of the substrate.
In some embodiments, the reaction between the aluminum-containing reactant and the carbon-containing reactant occurs thermally without activation. In other embodiments, the reaction is activated, for example, by plasma treating the substrate having the aluminum-containing reactant layer and the carbon-containing reactant layer formed thereon. At one endIn an implementation, a carbon deposition method of the method includes adsorbing both an aluminum-containing reactant and a carbon-containing reactant onto a surface of a substrate, and activating a reaction between the reactants adsorbed on the substrate by exposing the substrate to a plasma formed in a process gas including a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (H), and combinations thereof2) And nitrogen (N)2) A group of gases.
In an exemplary implementation, a plasma activated method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber includes: (a) introducing an aluminum-containing reactant into the processing chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond and forms a layer of the aluminum-containing reactant on the surface of the semiconductor substrate; (b) removing the aluminum-containing reactant from the process chamber after (a); (c) introducing a carbon-containing reactant into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant and forms a layer of the carbon-containing reactant on the surface of the semiconductor substrate; (d) removing the carbon-containing reactant from the process chamber after (c); and (d) contacting the semiconductor substrate with the layered carbon-containing reactant and the layered aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant to form a carbon layer on a surface of the semiconductor substrate. Operations (a) - (d) may be repeated as many times as necessary to deposit a carbon layer of a desired thickness. In one example, the aluminum-containing reactant is a trialkylaluminum and the carbon-containing reactant is CF4And the semiconductor substrate is made of a material selected from the group consisting of helium (He), argon (Ar) and hydrogen (H)2) And nitrogen (N)2) Activating the trialkylaluminum and CF by plasma contact formed in a process gas of the group of gases4The reaction between them.
In some embodiments, the surface of the semiconductor substrate on which the carbon layer is formed has patterned three-dimensional features. In some implementations, the carbon layer is deposited in a gap-filling operation. For example, a carbon layer may be deposited in a gap-fill operation in a partially fabricated 3D NAND structure.
In some implementations, the carbon layer is conformally deposited on a semiconductor substrate having a plurality of protruding features. In one embodiment, the method further comprises: completely removing the carbon layer from horizontal surfaces of the protruding features without completely removing the carbon layer on sidewalls of the protruding features; the protruding features are then removed without completely removing the carbon layer residing on the sidewalls of the protruding features, thereby forming carbon spacers on the semiconductor substrate.
In some embodiments, provided methods further comprise: applying a photoresist to a substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the substrate; and selectively removing the photoresist from the substrate.
In another aspect, a partially fabricated semiconductor substrate is provided, wherein the semiconductor substrate comprises a plurality of carbon spacers.
In another aspect, a system for processing a semiconductor substrate is provided. The system comprises: a process chamber having a substrate holder and one or more inlets for introducing reactants into the process chamber; and a system controller comprising program instructions for performing any of the methods described herein. In one implementation, the program instructions include program instructions to: (i) causing an aluminum-containing reactant to be introduced into the process chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond; (ii) causing a carbon-containing reactant to be introduced into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant; (iii) causing adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant onto the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate; and (iv) causing the aluminum-containing reactant to react with the carbon-containing reactant after at least one of the aluminum-containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate to form a carbon layer on the surface of the semiconductor substrate.
These and other aspects of implementations of the subject matter described in this specification are set forth in the accompanying drawings and the description below.
Drawings
Fig. 1 is a process flow diagram of a carbon deposition method according to embodiments provided herein.
Fig. 2A is a process flow diagram of a carbon deposition method according to embodiments provided herein.
Fig. 2B is a process flow diagram of a carbon deposition method according to another embodiment provided herein.
Fig. 3A-3F show schematic cross-sectional views of a semiconductor substrate undergoing processing according to embodiments provided herein.
Fig. 4 is a process flow diagram of a method of forming carbon spacers according to embodiments provided herein.
Fig. 5-6 show schematic cross-sectional views of a semiconductor substrate undergoing processing according to embodiments provided herein.
Fig. 7 is a schematic diagram of an apparatus suitable for depositing a carbon film according to embodiments provided herein.
Fig. 8 shows a schematic diagram of a multi-station processing system according to embodiments provided herein.
Fig. 9 shows a schematic diagram of a multi-station processing system according to embodiments provided herein.
Detailed Description
Methods of depositing carbon films using ALD are provided. These methods can be used, for example, to deposit conformal carbon films on semiconductor substrates having three-dimensional structures on the surface (e.g., on substrates having one or more recessed features or one or more protrusions). In some embodiments, the process includes an aluminum-containing reactant having an Al-C bond (e.g., a trialkylaluminum) and a carbon-containing reactant having a carbon-halogen bond (e.g., CF)4) The reaction between them.
As used herein, the term "ALD" generally refers to deposition methods that rely on reactions limited by the amount of reactants adsorbed on the substrate surface (an adsorption-limited reactant layer). The adsorption-limited reactant layer may include an adsorption-limited aluminum-containing reactant layer, an adsorption-limited carbon-containing reactant layer, or an adsorption-limited two-reactant layer. In some embodiments, an ALD process includes sequentially introducing reactants into a process chamber such that the reactants are not allowed to mix in the bulk of the process chamber.
In some embodiments, the carbon film is deposited in a gap-fill application. For example, in one implementation, a carbon film may be deposited in the gap fill during 3D NAND manufacturing. In some implementations, the carbon film serves as a spacer in self-aligned double patterning (SADP). However, the provided methods are not limited to depositing carbon films on surfaces with recessed features, and may also be used to deposit blanket carbon films on planar surfaces. The method relies on surface controlled reactions and can be used to deposit films with a high degree of control over film thickness. The film may be deposited in a variety of arrangements that allow for the sequential introduction of reactants into the process chamber. For example, those available from Lam Research Corporation
Figure BDA0002703643060000061
A carbon film is deposited in the deposition system.
As used herein, carbon refers to a material consisting essentially of carbon (C) and optionally hydrogen (H). In some embodiments, as used herein, the carbon film may comprise C-H bonds. The hydrocarbon containing material is within the carbon film. Other elements may also be present as dopants in the carbon film in small amounts, less than about 10 atomic percent of the total amount of dopants, excluding hydrogen in the calculation.
As used herein, the term "semiconductor substrate" refers to a substrate at any stage of semiconductor device fabrication that contains semiconductor material anywhere within its structure. It should be understood that the semiconductor material in the semiconductor substrate need not be exposed. A semiconductor wafer having multiple layers of other materials (e.g., dielectrics) overlying the semiconductor material is an example of a semiconductor substrate. The following detailed description assumes that the disclosed implementations are implemented on a wafer. However, the disclosed implementations are not so limited. The workpiece may have various shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may utilize the disclosed implementations include various articles, such as printed circuit boards and the like.
The process of depositing the carbon film is illustrated by the process flow diagram shown in fig. 1. In operation 101, an aluminum-containing reactant is introduced into a process chamber housing a semiconductor substrate. The aluminum-containing reactant comprises at least one aluminum-carbon bond. In some embodiments, the carbon of the aluminum-carbon bond is part of an alkyl substituent, such as methyl, ethyl, propyl (e.g., n-propyl or isopropyl), butyl, pentyl, and the like. In some embodiments, the aluminum-containing reactant is a trialkylaluminum. Examples of suitable reactants include trimethylaluminum, triethylaluminum, and the like. In some embodiments, the aluminum-containing reactant is volatile and is introduced into the process chamber in a vapor phase. The reactants may be introduced in admixture with a carrier gas, typically an inert gas such as N2He, Ar, Ne or Kr. When the aluminum-containing reactant is not volatile, it can be evaporated using a Direct Liquid Injection (DLI) evaporator, such as the Vapbox DLI evaporator available from Kemstream.
In operation 103, a carbon-containing reactant is introduced into a process chamber containing a substrate. The carbon-containing reactant has a carbon-halogen bond, such as at least one of a carbon-fluorine, carbon-chlorine, and carbon-bromine bond. Examples of suitable reactants include CX4、CHX3、CH2X2And CH3X, wherein X is halogen. For example, in some embodiments, a material such as CF is used4、CHF3、CH2F2Or CH3F, a fluorine-containing reactant. In other embodiments, chlorine-containing reactants may be used, such as CCl4、CHCl3、CH2Cl2Or CH3Cl。
The aluminum-containing reactant and the carbon-containing reactant are generally introduced into the process chamber sequentially without mixing in the body of the process chamber. The order of introduction may vary depending on the embodiment. In some embodiments, the aluminum-containing reactant is introduced first, followed by the carbon-containing reactant. In other placesIn embodiments, the carbon-containing reactant is introduced first, followed by the aluminum-containing reactant. At least one of the reactants (e.g., the aluminum-containing reactant, the carbon-containing reactant, or both) forms an adsorption-limited layer on the substrate. In some embodiments, the introduced first reactant forms an adsorption limited layer on the substrate, and the introduced second reactant reacts with the adsorption limited layer after the second introduced reactant comes into contact with the adsorption limited layer of the first reactant. In other embodiments, the introduced first reactant forms an adsorption limited layer, the introduced second reactant also forms an adsorption limited layer, and the two reactants then react on the surface of the substrate, e.g., after thermal activation or plasma activation. In some embodiments, the substrate is formed by contacting the substrate with a gas such as helium (He), argon (Ar), hydrogen (H)2) And nitrogen (N)2) Or any mixture thereof, to activate the reaction. To allow carbon formation at relatively low temperatures, plasma activated reactions may be used. In some embodiments, the carbon film is formed using a plasma-activated reaction at a temperature of less than 300 ℃, e.g., less than 200 ℃.
Regardless of the order of introduction, in some embodiments, the process chamber is purged and/or pumped after introduction of the first reactant and before introduction of the second reactant to remove non-adsorbed introduced first reactant from the process chamber.
In operation 105, an aluminum-containing reactant is reacted with a carbon-containing reactant to form a carbon layer on a surface of a substrate, wherein an amount of carbon formed is limited by the layer of adsorption-limited reactant (e.g., the aluminum-containing reactant and/or the carbon-containing reactant). In this reaction, the aluminum-carbon bonds of the aluminum-containing reactant and the carbon-halogen bonds of the carbon-containing reactant are cleaved to form carbon (which may include C-H bonds), and the byproduct contains aluminum-halogen bonds. For example, when the halogen in the carbon-containing reactant is fluorine, a by-product containing aluminum-fluorine bonds will be formed. In some embodiments, the reaction occurs spontaneously after contacting the reactants. In other embodiments, the reaction is activated (e.g., thermally) after the reactants have been contacted.
In some embodiments, the aluminum halide by-product is removed from the substrate surface while the carbon is being formed. In other embodiments, the aluminum-containing byproducts are removed from the substrate in a separate step, as shown in operation 107. For example, the substrate can be heated to remove volatile aluminum halide (e.g., aluminum fluoride) byproducts.
In some embodiments, the average thickness of the deposition is between 0.5 angstroms for one deposition cycle comprising operations 101-105
Figure BDA0002703643060000081
To
Figure BDA0002703643060000082
In between the carbon film. The cycle may be repeated as many times as necessary to deposit a carbon film of a desired thickness. For example, in some embodiments, the deposition thickness is between
Figure BDA0002703643060000083
To
Figure BDA0002703643060000084
In between the carbon film.
One embodiment of a carbon deposition method is illustrated by the process flow diagram shown in fig. 2A. The process begins at 201 by adsorbing an aluminum-containing reactant onto a semiconductor substrate. An aluminum-containing precursor, such as a trialkylaluminum, may be flowed to the process chamber with a carrier gas and allowed to adsorb to the surface of the substrate. The process conditions of this step are selected such that an adsorption-limited aluminum-containing reactant layer is formed. Next, in operation 203, unadsorbed aluminum-containing reactant is removed from the process chamber by purging and/or pumping the process chamber. For example, an inert gas (e.g., N) may be used2He, Ar, Ne, etc.) to clean the process chamber. After this step, the body of the chamber is free of aluminum-containing precursors and all subsequent reactions are limited by the amount of aluminum-containing reactant adsorbed on the substrate. Next, in operation 205, a carbon-containing reactant is introduced into the process chamber and reacted with the adsorbed aluminum-containing reactant to form a carbon layer on the surface of the semiconductor substrate. In some embodiments, the reaction is in situThis occurs spontaneously upon entry of the carbon-containing reactant.
Next, in operation 207, aluminum-containing byproducts are removed from the process chamber. This step is optional because in some embodiments, the by-products are removed at the same time as the carbon is formed. When the by-product is not removed at the same time as the carbon formation reaction, it may be removed in a separate step, for example by heating.
Next, in operation 209, the carbon deposition (step 201-207) is repeated as many times as necessary to form a carbon layer with a predetermined thickness. For example, in some embodiments, at least 5 or at least 10 cycles are performed, wherein each cycle comprises operation 201 and 205. The temperature and pressure during processing are controlled to enable the formation of an adsorption limited layer of one or both reactants on the substrate. In some embodiments, the temperature is maintained at less than about 400 ℃ throughout the deposition sequence, and the pressure is maintained at a level below atmospheric pressure. The deposition of the carbon film using the reactants may be performed in the absence of plasma. In some embodiments, plasma treatment may be used, for example, to improve the quality of the deposited carbon layer after deposition and/or to activate one or more reactants on the substrate surface.
Fig. 2B provides a process flow diagram of a method of forming a carbon layer using a plasma-activated reaction. Referring to fig. 2B, the process begins in 211 by forming an aluminum-containing reactant layer on a substrate. For example, a layer of an aluminum-containing reactant that is limited in adsorption may be formed on the substrate. Next, in operation 213, the process chamber is purged and/or pumped down to remove the aluminum-containing reactant from the process chamber. For example, an inert gas may be used as a purge gas to remove unadsorbed aluminum-containing reactants. Next, in operation 215, a carbon-containing material layer is formed on the substrate. For example, a carbonaceous material may be introduced into the process chamber and the carbonaceous material may be caused to form an adsorption limited layer on the substrate. In operation 217, the process chamber is purged and/or pumped down to remove the carbonaceous material from the process chamber. After this operation, a layer of aluminum-containing material and a layer of carbon-containing material are present on the surface of the substrate. Next, in operation 219, the substrate is treated with a plasma to activate an aluminum-containing reactant and a carbon-containing reactant on the substrateAnd a carbon layer is formed. In some embodiments, the plasma is in the presence of helium (He), argon (Ar), hydrogen (H)2) Nitrogen (N)2) Or any mixture of these gases. The reaction by-products may be removed simultaneously with the plasma treatment or in a subsequent step. After the plasma treatment, the process chamber may be purged and/or evacuated, and the process sequence of step 211 and 219 may be repeated a plurality of times in operation 221 until a carbon layer having a predetermined thickness is deposited. In an exemplary embodiment, the aluminum-containing reactant is a trialkylaluminum (e.g., trimethylaluminum or triethylaluminum) and the carbon-containing reactant is CF4
Carbon films deposited by the methods provided herein can be used in a variety of applications in the manufacture of semiconductor devices. They are particularly useful when conformal deposition of films on substrates having three-dimensional features (e.g., protruding features or recessed features) is desired. In some embodiments, the carbon film is used as a spacer in patterning applications. An example of forming carbon spacers is provided in fig. 3A-3F, which show schematic cross-sectional views of a semiconductor substrate at various stages of processing. Fig. 4 provides an exemplary process flow diagram of a method of semiconductor processing involving carbon mandrel formation.
Referring to fig. 4, the depicted process begins in 401 by providing a substrate having a plurality of protruding features (also referred to as mandrels). An exemplary substrate is shown in fig. 3A, which shows two mandrels 301 located on an Etch Stop Layer (ESL) 303. In some embodiments, the distance d1 between adjacent mandrels is between about 10-100 nm. In some embodiments, relatively large distances of about 40-100nm are used. In other applications, the distance between the nearest mandrels is between about 10-30 nm. In certain embodiments, the distance d2 (which is also referred to as the pitch) between the centers of the nearest mandrels is between about 30-130 nm. In some embodiments, the pitch is between about 80-130 nm. In other embodiments, the pitch is between about 30-40 nm. The height of the mandrel d3 is typically between about 20-200nm, for example between about 50-100 nm.
The materials of the mandrel and the ESL are selected such that the mandrel material can be subsequently selectively etched in the presence of exposed carbon, and the ESL material selectively etched in the presence of exposed carbon. Thus, for the first etch chemistry, the ratio of the etch rate of the ESL material to the etch rate of the carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2. In some embodiments, the ESL material is a silicon-containing material (e.g., a silicon-containing compound such as silicon nitride), and the first etch chemistry is a fluorine-based plasma etch (e.g., a plasma formed in a fluorocarbon-containing gas). In some embodiments, the ESL material is a metal oxide or metal nitride and the first etch chemistry is a halogen-based plasma etch (e.g., a plasma formed in a halogen-containing process gas). Similarly, for the second etch chemistry, the ratio of the etch rate of the mandrel material to the etch rate of the carbon is greater than 1, more preferably greater than about 1.5, such as greater than about 2. In some embodiments, the mandrel material is a silicon-containing material (e.g., a silicon-containing compound) and the first etch chemistry is a fluorine-based plasma etch (e.g., a plasma formed in a fluorocarbon-containing gas). In some embodiments, the mandrel material is a metal oxide or metal nitride and the first etch chemistry is a halogen-based plasma etch (e.g., a plasma formed in a halogen-containing process gas).
In some embodiments, the ESL material is a silicon-containing compound (e.g., SiO)2) Or metal oxides (e.g., titanium oxide, zirconium oxide, tungsten oxide). The mandrel material may include a silicon-containing compound (e.g., SiO)2SiN or SiC), amorphous silicon (doped or undoped) or metal oxides (TaO, TiO, WO, ZrO, HfO). In some embodiments, the outer material of the mandrel may be different from the mandrel core. For example, in some embodiments, the mandrels are made of amorphous silicon covered with silicon oxide (e.g., with a spontaneously formed thermal oxide layer). The ESL layer and mandrel may be formed by one or more of Physical Vapor Deposition (PVD), Chemical Vapor Deposition (CVD), ALD (plasma-free or by PEALD), or plasma-enhanced chemical vapor deposition (PECVD), and photolithography techniques may be used to define the mandrelPattern of axes. Examples of suitable ESL/mandrel combinations include: (i) silicon oxide ESL and silicon oxide covered silicon mandrels; (ii) silicon oxide ESL and metal oxide mandrels; (iii) metal oxide ESL and silicon oxide coated silicon mandrels.
Referring again to the substrate shown in fig. 3A, the ESL layer 303 is located over and in contact with the target layer 305. The target layer 305 is a layer that needs to be patterned. The target layer 305 may be a semiconductor layer, a dielectric layer, or other layer, and may be made of, for example, silicon (Si), silicon oxide (SiO)2) Silicon nitride (SiN) or titanium nitride (TiN). In some embodiments, the target layer is referred to as a hard mask layer and comprises a metal nitride, such as titanium nitride. The target layer 305 may be deposited by ALD (without plasma or by PEALD), CVD, or other suitable deposition techniques.
The target layer 305 is located above and in contact with the layer 307, and in some embodiments, the layer 307 is a BEOL layer that includes a plurality of metal lines embedded in a layer of dielectric material.
Referring to fig. 4, processing of the substrate continues by depositing a carbon layer on both the horizontal surfaces and the sidewalls of the protruding features in 403. The carbon layer is preferably conformally deposited using the ALD process provided herein. Referring to the structure shown in fig. 3B, a carbon layer 309 is deposited over the ESL303 and over the mandrels 301, including over the sidewalls of the mandrels. In the embodiment shown, the carbon layer is deposited by the ALD process developed herein. In some embodiments, the carbon layer is conformally deposited to a thickness of between about 5-30nm, for example between about 10-20 nm.
After conformally depositing the carbon layer, the process continues by completely removing the carbon layer from horizontal surfaces without completely removing the carbon layer from the sidewalls of the protruding features in 405. The etching may be performed using an oxygen-based plasma etch (e.g., using a plasma formed in an oxygen-containing gas). In other embodiments, a hydrogen-based etch may be used (e.g., using a plasma formed in a process gas containing hydrogen). If the mandrels have a silicon-containing compound or metal oxide as an outer layer, a hydrogen-based or oxygen-based etch may be used. At the stepThe etch chemistry used in the step should preferably be selective to both the ESL material and the material of the mandrel outer layer, i.e., the etch rate of carbon should be greater than the etch rate of the outer mandrel material and greater than the etch rate of the ESL material for that etch chemistry. Fig. 3C shows the removal of the carbon layer from horizontal surfaces. The carbon layer 309 is etched away from horizontal surfaces above the ESL303 and above the mandrels 301 without completely etching from locations attached to the sidewalls of the mandrels 301. The etch layer exposes the carbon layer 303 everywhere except near the sidewalls of the mandrels 301. In addition, the etching exposes the top of the mandrels. The resulting structure is shown in fig. 3C. Preferably, at least 50%, such as at least 80% or at least 90%, of the initial height of the carbon layer at the sidewalls remains after this etching. In one example, by a hydrogen-based etch (e.g., H)2Plasma etch) selectively etches carbon from the silicon oxide covered mandrels so that the outer material of the mandrels (SiO) is2) Is exposed. Hydrogen based etching of SiO2Has selectivity. In another example, by a hydrogen-based etch (e.g., H)2Plasma etching) or oxygen-based etching (e.g., O)2Plasma etching) selectively etches carbon from the metal oxide (e.g., titanium oxide) mandrels, leaving the mandrel material (metal oxide) exposed. These etch chemistries are selective to metal oxides (e.g., titanium oxide) that do not form volatile hydrides.
A next step 407 involves completely removing the protruding features without completely removing the carbon layer located at the sidewalls of the protruding features, thereby forming carbon spacers. As shown in fig. 3D, the mandrels 301 are removed from the substrate, leaving the exposed carbon spacers 301 and exposed layer ESL 303. The removal of the mandrels is performed by exposing the substrate to an etch chemistry that selectively etches the mandrel material. Thus, in this step, the ratio of the etch rate of the mandrel material to the etch rate of the carbon is greater than 1, and more preferably greater than 1.5. Furthermore, in some embodiments, the etching chemistry used in this step should etch the mandrel material selectively to the ESL material. A variety of etching methods may be used, and the particular choice of chemistry depends on the material of the mandrel and EThe material of the SL layer. When the mandrels are made of amorphous silicon covered with silicon oxide, fluorine-based chemistries (e.g., NF) can be used3) Silicon mandrels 301 and SiO covering them2The layers are removed together. The chemical species is selective for carbon.
When the mandrel is a metal oxide (e.g., titanium oxide, tungsten oxide, zirconium oxide, hafnium oxide, tantalum oxide), a chlorine-based etch chemistry (e.g., BCl in a plasma) may be used3/Cl2) The substrate is processed to remove the mandrels selectively with respect to the carbon. May be in the presence of a silicon-containing compound (e.g. SiO)2SiN, SiC) in the presence of ESL.
Next, the exposed ESL film 303 is etched to expose the underlying target layer 305 at all locations not protected by the carbon spacers 309. The resulting structure is shown in fig. 3E. The etch chemistry used in this step selectively etches the ESL material in the presence of carbon. In other words, the ratio of the etch rate of the ESL material to the etch rate of the carbon is greater than 1, and more preferably greater than 1.5. The particular type of chemistry used in this step will depend on the type of ESL material. When using silicon-containing compounds (e.g., silicon oxide and silicon oxide-based materials), selective etching may be accomplished by exposing the substrate to a plasma formed in a process gas containing a fluorocarbon compound. For example, by including CF4、C2F6And C3F8The plasma formed in the process gas of one or more of etches the ESL film. When the ESL is a metal oxide layer (e.g., titanium oxide, tungsten oxide, or zirconium oxide), a chlorine-based etch chemistry (e.g., BCl in a plasma) may be used3/Cl2) It is selectively etched in the presence of carbon.
In the next step, the target layer 305 is etched in all locations not protected by the ESL film 303 to expose the underlying layer 307. The carbon spacer layer 309 is also removed in this etching step, providing the patterned structure shown in fig. 3F. In some embodiments, the etch chemistry used in this step is selected to remove the target material and the carbon spacer materialAnd (4) preparing the two materials. In other embodiments, two different etching steps with different chemistries may be used to pattern the target layer 305 and remove the carbon spacers 309, respectively. Depending on the chemistry of the target layer, a variety of etch chemistries may be used. In an implementation, the target layer 305 is a metal nitride layer (e.g., TiN) layer. In this embodiment, the substrate may be exposed to a solution containing Cl2And hydrocarbons (e.g. CH)4) The metal nitride layer is etched in a plasma formed in the process gas and then the carbon spacers are removed using an oxygen-based plasma etch chemistry or a hydrogen-based plasma etch chemistry.
In some embodiments, the provided carbon deposition methods are used in gap fill applications. In gap filling, a substrate containing one or more recessed features is provided to a process chamber and carbon is deposited using the provided methods to cover the bottom and sidewalls of the recessed features. A deposition cycle is performed as many times as necessary to fill the recessed features with carbon. Due to the highly conformal nature of the deposition, seamless gap filling may be achieved in some embodiments. The provided methods are particularly effective for depositing carbon in high aspect ratio features. In some embodiments, the recessed features have an aspect ratio of at least 5: 1, e.g. at least 10: 1.
in one example, carbon is used for gap filling in a 3D NAND fabrication process. In one implementation, a partially fabricated 3D NAND structure having at least one recessed feature is provided to a processing chamber and carbon is deposited into the at least one recessed feature using the methods provided herein to fill the recessed feature. Fig. 5 and 6 provide illustrations of this application, and fig. 5 and 6 illustrate schematic cross-sectional views of partially fabricated 3D NAND structures.
Fig. 5 shows an exemplary substrate 1100 having a plurality of alternating layers 1111 and 1140 deposited in a stair step pattern on the substrate 1100. In some embodiments, layer 1111 is a dielectric layer (e.g., silicon oxide) and layer 1140 is a conductive layer (e.g., a tungsten layer). Alternatively, layers 1111 and 1140 may be different types of dielectrics, such as silicon oxide layer 1111 and silicon nitride layer 1140. The hard mask layer 1110 resides on the top layer 1140, and the encapsulation layer 1139 laterally encapsulates the stair step pattern of the alternating layers 1111. A plurality of vias 1137 are etched in dielectric 1122 (e.g., in silicon oxide), e.g., the material of layer 1140 is exposed at the bottom 1139 of vias 1137. Vias 1137 have different depths because material 1140 is as shown in fig. 5. In the next step, carbon is deposited into vias 1137 in a gap fill operation using the deposition methods provided herein. The resulting structure is shown in fig. 6, where the carbon layer 1173 fills all vias (channels), and where the carbon contacts the material 1140 at the bottom of the filled vias. Carbon may be used as a sacrificial material in the trench, and during subsequent fabrication, the carbon may be removed from the trench, for example using an oxygen-based plasma etch or a hydrogen-based plasma etch, and the via may be filled with a conductive material.
Device for measuring the position of a moving object
The carbon deposition methods described herein can be performed in a variety of apparatuses. One suitable apparatus comprises: a process chamber having one or more inlets for introducing reactants; a substrate holder in the process chamber configured to hold a substrate in place during deposition; and optionally, a plasma generating mechanism configured to generate a plasma in the process gas. The apparatus may include a controller having program instructions for causing performance of any of the method steps described herein. Examples of suitable devices are available from Lam Research Corporation
Figure BDA0002703643060000141
And (4) a deposition device.
For example, in some embodiments, the apparatus includes a controller having program instructions including instructions for: (i) causing an aluminum-containing reactant to be introduced into the process chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond; (ii) causing a carbon-containing reactant to be introduced into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant; (iii) causing adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant onto the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate; and (iv) causing the aluminum-containing reactant to react with the carbon-containing reactant after at least one of the aluminum-containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate to form a carbon layer on the surface of the semiconductor substrate.
Fig. 7 shows an example of a deposition apparatus suitable for depositing carbon using the provided method. Fig. 7 schematically illustrates an embodiment of a processing station 700 that may be used to deposit materials using Atomic Layer Deposition (ALD) and/or Chemical Vapor Deposition (CVD), any of which may be plasma-enhanced. For simplicity, the processing station 700 is depicted as a stand-alone processing station having a chamber body 702 for maintaining a low pressure environment. However, it should be understood that multiple processing stations 700 may be included in a common processing tool environment. Further, it should be understood that in some embodiments, one or more hardware parameters of the processing station 700, including those discussed in detail below, may be programmatically adjusted by one or more computer controllers.
The processing station 700 is in fluid communication with a reactant delivery system 701 to deliver a process gas to a distribution showerhead 706. The reactant delivery system 701 includes a mixing vessel 704, the mixing vessel 704 configured to blend and/or condition process gases for delivery to a showerhead 706. One or more mixing vessel inlet valves 720 may control the introduction of process gas into the mixing vessel 704. Similarly, showerhead inlet valve 705 may control the introduction of process gas into showerhead 706.
Some of the reactants (e.g., trimethylaluminum) may be stored in liquid form prior to vaporization at the process station and prior to subsequent delivery to the process station. For example, the embodiment of fig. 7 comprises a vaporization point 703, the vaporization point 703 being used to vaporize the liquid reactants to be supplied to the mixing vessel 704. In some embodiments, vaporization point 703 may be a heated evaporator. Reactant vapors generated from such evaporators can condense in downstream delivery conduits. Exposure of incompatible gases to condensed reactants produces small particles. These small particles can clog pipes, obstruct valve operation, contaminate substrates, and the like. Some methods of dealing with these problems involve sweeping and/or evacuating the delivery lines to remove residual reactants. However, cleaning the transfer piping increases the processing station cycle time and decreases the processing station throughput. Thus, in some embodiments, the delivery conduit downstream of vaporization point 703 may be heat traced. In some examples, the mixing vessel 704 may also be thermally traced. In one non-limiting example, the piping downstream of vaporization point 703 has an elevated temperature profile that increases from about 100 ℃ to about 150 ℃ at mixing vessel 704.
In some embodiments, the reactant liquid may be vaporized at the liquid injector. For example, the liquid injector may inject pulses of liquid reactant into the carrier gas stream upstream of the mixing vessel. In one case, the liquid injector may vaporize the reactants by flashing the liquid from a higher pressure to a lower pressure. In another case, the liquid ejector may atomize the liquid into discrete droplets that are then vaporized in a heated delivery tube. It will be appreciated that smaller droplets may vaporize faster than larger droplets, thereby reducing the delay between liquid injection and complete vaporization. Faster vaporization may reduce the length of the conduit downstream of vaporization point 703. In one case, the liquid sprayer may be loaded directly into the mixing vessel 704. In another case, the liquid ejectors may be loaded directly into spray head 706.
In some embodiments, a liquid flow controller may be provided upstream of vaporization point 703 to control the mass flow of liquid for vaporization and delivery to the processing station 700. For example, the Liquid Flow Controller (LFC) may comprise a thermal Mass Flow Meter (MFM) located downstream of the LFC. The plunger valve of the LFC may then be adjusted in response to a feedback control signal provided by a Proportional Integral Derivative (PID) controller in electrical communication with the MFM. However, it may take one second or more to use feedback control to stabilize the liquid flow. This may extend the time to dose the liquid reactant. Thus, in some embodiments, the LFC may dynamically switch between a feedback control mode and a direct control mode. In some embodiments, the LFC may dynamically switch from the feedback control mode to the direct control mode by disabling the sensing pipeline and PID controller of the LFC.
The showerhead 706 dispenses process gas toward the substrate 712. In the embodiment shown in FIG. 7, the substrate 712 is located below the showerhead 706 and is shown as resting on the pedestal 708. It should be appreciated that the showerhead 706 may have any suitable shape and may have any suitable number and arrangement of ports for distributing process gases to the substrate 712.
In some embodiments, the micro-volume 707 is located below the showerhead 706. Performing ALD and/or CVD processes in micro-volumes, rather than in the entire volume of a processing station, may reduce reactant exposure and purge times, may reduce time to change process conditions (e.g., pressure, temperature, etc.), may limit exposure of processing station robots to process gases, and the like. Exemplary micro-volume sizes include, but are not limited to, volumes between 0.1 and 2 liters. This minute volume also affects productivity throughput. As the deposition rate per cycle decreases, the cycle time also decreases. In some cases, the effect of cycle time reduction is significant enough to increase the overall yield of the module for a given target film thickness.
In some embodiments, the base 708 may be raised or lowered to expose the substrate 712 to the micro-volume 707 and/or to change the volume of the micro-volume 707. For example, during the substrate transfer stage, the susceptor 708 may be lowered to enable the substrate 712 to be loaded on the susceptor 708. During a deposition process stage, the pedestal 708 may be raised to position the substrate 712 within the micro-volume 707. In some embodiments, the micro-volume 707 can completely surround the substrate 712 and a portion of the pedestal 708 to form a region of high flow resistance during the deposition process.
Optionally, the pedestal 708 may be lowered and/or raised during portions of the deposition process to adjust process pressure, reactant concentration, etc. within the micro-volume 707. Lowering the pedestal 708 may enable the micro-volume 707 to be evacuated in one case, keeping the chamber body 702 at a base pressure during the deposition process. Exemplary ratios of micro volume to process chamber volume include, but are not limited to, 1: a volume ratio between 700 and 1: 10. It should be understood that in some embodiments, the base height may be programmatically adjusted by a suitable computer controller.
Although the exemplary micro-volume changes described herein relate to a height adjustable pedestal, it should be understood that in some embodiments, the position of the showerhead 706 may be adjusted relative to the pedestal 708 to change the volume of the micro-volume 707. Further, it should be understood that the vertical position of the base 708 and/or spray head 706 may be varied by any suitable mechanism within the scope of the present disclosure. In some embodiments, the base 708 may include an axis of rotation for rotating the orientation of the substrate 712. It should be understood that in some embodiments, one or more of these exemplary adjustments may be programmatically performed by one or more suitable computer controllers.
Returning to the embodiment shown in FIG. 7, the showerhead 706 and pedestal 708 are in electrical communication with an RF power source 714 and a matching network 716 for powering the plasma. In other embodiments, an apparatus without a plasma generator is used to deposit carbon using the provided methods. In some embodiments, the energy of the plasma may be controlled by controlling one or more of the pressure of the processing station, the concentration of the gas, the RF source power, the RF source frequency, and the plasma power pulse timing. For example, the RF power source 714 and matching network 716 may be operated at any suitable power to form a plasma having a desired composition of radical species. Examples of suitable powers are included above. Similarly, the RF power source 714 may provide RF power at any suitable frequency. In some embodiments, the RF power source 714 may be configured to control the high frequency RF power source and the low frequency RF power source independently of each other. Exemplary low frequency RF frequencies may include, but are not limited to, frequencies between 50kHz and 700 kHz. Exemplary high frequency RF frequencies may include, but are not limited to, frequencies between 1.8MHz and 2.45 GHz. It should be appreciated that any suitable parameter may be discretely or continuously adjusted to provide plasma energy for surface reactions. In one non-limiting example, the plasma power can be pulsed intermittently with respect to a continuously powered plasma to reduce ion bombardment of the substrate surface.
In some embodiments, the plasma may be monitored in situ by one or more plasma monitors. In one case, the plasma power may be monitored by one or more voltage, current sensors (e.g., VI probes). In another case, the plasma density and/or the concentration of the process gas may be measured by one or more optical emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmatically adjusted based on measurements from such in situ plasma monitors. For example, an OES sensor can be used in a feedback loop to provide programmed control of plasma power. It should be understood that in some embodiments, other monitors may be used to monitor plasma and other process characteristics. Such monitors may include, but are not limited to, Infrared (IR) monitors, acoustic monitors, and pressure sensors.
In some embodiments, the plasma may be controlled via input/output control (IOC) sequencing instructions. In one example, instructions for setting plasma conditions for a plasma processing phase may be included in a corresponding plasma activation recipe phase of a deposition process recipe. In some cases, the process recipe phases may be arranged in a sequence such that all instructions for a deposition process phase are executed concurrently with the process phase. In some embodiments, the instructions for setting one or more plasma parameters may be included in a recipe phase prior to a plasma processing phase. For example, the first recipe phase can include instructions for setting the flow rate of the inert gas and/or the reactant gas, instructions for setting the plasma generator to a power set point, and time delay instructions for the first recipe phase. The second subsequent recipe phase can include instructions for enabling the plasma generator and time delay instructions for the second recipe phase. The third recipe phase can include instructions for disabling the plasma generator and time delay instructions for the third recipe phase. It should be understood that these recipe phases may be further subdivided and/or iterated in any suitable manner within the scope of this disclosure.
In some embodiments, the susceptor 708 may be temperature controlled by a heater 710. Further, in some embodiments, pressure control of the deposition processing station 700 may be provided by a butterfly valve 718. As shown in the embodiment of fig. 7, butterfly valve 718 throttles the vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, the pressure control of the processing station 700 may also be adjusted by varying the flow rate of one or more gases introduced into the processing station 700.
Fig. 8 shows a schematic diagram of an embodiment of a multi-station processing tool 800 having an inbound load lock 802 and an outbound load lock 804, one or both of which may contain a remote plasma source. Such tools can be used to process substrates using the methods provided herein. At atmospheric pressure, the robot 806 is configured to move wafers from cassettes loaded by the boat 808 into the inbound load lock 802 via an atmospheric port 810. The wafer is placed on the pedestal 812 in the inbound load lock 802 by the robot 806, the atmospheric port 810 is closed, and the load lock is evacuated. When the inbound load lock 802 contains a remote plasma source, the wafer may be exposed to remote plasma processing in the load lock before being introduced into the process chamber 814. Additionally, the wafer may additionally be heated in the inbound load lock 802, for example, to remove moisture and adsorbed gases. Next, the chamber transfer port 816 leading to the process chamber 814 is opened and another robot (not shown) places the wafer onto the susceptor of the first station shown in the reactor for processing in the reactor. Although the embodiment shown in fig. 8 includes a load lock, it should be understood that in some embodiments, the wafer may be directed into the processing station.
The depicted processing chamber 814 contains 4 processing stations, numbered 1 through 4 in the embodiment shown in FIG. 8. Each station has a heated pedestal (shown as 818 for station 1) and a gas line inlet. It should be understood that in some embodiments, each processing station may have a different or multiple uses. Although the depicted processing chamber 814 contains 4 stations, it is understood that a processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, the process chamber may have 5 or more stations, while in other embodiments, the process chamber may have 3 or fewer stations.
Fig. 8 also depicts an embodiment of a wafer handling system 890 for transporting wafers within the processing chamber 814. In some embodiments, the wafer handling system 890 may transport wafers between various processing stations and/or between a processing station and a load lock. It should be understood that any suitable wafer handling system may be employed. Non-limiting examples include wafer turntables and robots that handle wafers. Fig. 8 also depicts an embodiment of a system controller 850 for controlling the process conditions and hardware states of the processing tool 800. The system controller 850 may include one or more memory devices 856, one or more mass storage devices 854, and one or more processors 852. Processor 852 may include a CPU or computer, analog and/or digital input/output connections, stepper motor controller board, and the like.
In some embodiments, the system controller 850 controls all activities of the processing tool 800. The system controller 850 executes system control software 858 that is stored on the mass storage device 854, loaded into the memory device 856, and executed on the processor 852. The system control software 858 may contain instructions for controlling the timing, mixing of gases, chamber and/or station pressures, chamber and/or station temperatures, purge conditions and timing, wafer temperature, RF power levels, RF frequencies, substrates, pedestals, chuck and/or pedestal positions, and other parameters of a particular process performed by the process tool 800. The system control software 858 may be configured in any suitable manner. For example, various process tool component subroutines or control objects can be written to control the operation of the process tool components necessary to perform the various process tool processes in accordance with the disclosed methods. The system control software 858 may be encoded in any suitable computer readable programming language.
In some embodiments, the system control software 858 may contain input/output control (IOC) sequencing instructions for controlling the various parameters described above. For example, each stage of an ALD process may include one or more instructions for execution by system controller 850. Instructions for setting process conditions for ALD process stages may be included in the respective ALD recipe stages. In some embodiments, ALD recipe phases may be arranged sequentially such that all instructions for an ALD process phase are executed concurrently with that process phase.
Other computer software and/or programs stored on the mass storage device 854 and/or the memory device 856 associated with the system controller 850 may be employed in some embodiments. Examples of programs or program segments for this purpose include a substrate positioning program, a process gas control program, a pressure control program, a heater control program, and a plasma control program.
The substrate positioning program may contain program code for a processing tool assembly that is used to load the substrate onto the pedestal 818 and control the spacing between the substrate and the rest of the processing tool 800.
The process gas control program may include code for controlling the gas composition and flow rate and optionally for flowing the gas into one or more processing stations prior to deposition in order to stabilize the pressure in the processing stations. The process gas control program may include code for controlling the gas composition and flow rate within any of the disclosed ranges. The pressure control program may contain code for controlling the pressure in the processing station by adjusting, for example, a throttle valve in the exhaust system of the processing station, the gas flow into the processing station, etc. The pressure control program may include code for maintaining the pressure in the processing station within any of the disclosed pressure ranges.
The heater control program may include code for controlling current to a heating unit for heating the substrate. Alternatively, the heater control program may control the delivery of a heat transfer gas (e.g., helium) to the substrate. The heater control program may include instructions to maintain the temperature of the substrate within any of the disclosed ranges.
The plasma control program may include code for setting the RF power level and frequency applied to the processing electrodes in one or more processing stations, for example using any of the RF power levels disclosed herein. The plasma control program may also include code for controlling the duration of each plasma exposure.
In some embodiments, there may be a user interface associated with the system controller 850. The user interface may include a display screen, a graphical software display of the apparatus and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.
In some embodiments, the parameters adjusted by the system controller 850 may relate to process conditions. Non-limiting examples include process gas composition and flow rate, temperature, pressure, plasma conditions (e.g., RF power level, frequency, and exposure time), and the like. These parameters may be provided to the user in the form of a recipe, which may be entered using the user interface.
Signals for monitoring the process may be provided from various process tool sensors by analog and/or digital input connections of the system controller 850. The signals used to control the process can be output through analog and/or digital output connections of the processing tool 800. Non-limiting examples of process tool sensors that may be monitored include mass flow controllers, pressure sensors (e.g., pressure gauges), thermocouples, and the like. Suitably programmed feedback and control algorithms can be used with the data from these sensors to maintain process conditions.
Any suitable chamber may be used to implement the disclosed embodiments. Exemplary deposition apparatus include, but are not limited to, those from
Figure BDA0002703643060000211
Product line of devices, or any of a variety of other commercially available processing systems, wherein
Figure BDA0002703643060000212
The product line is available from Lam Research Corp. of Fremont, Calif. Two or more stations may perform the same function. Similarly, two or more stationsDifferent functions may be performed. Each station may be designed/configured as desired to perform a particular function/method.
Fig. 9 is a block diagram of a processing system suitable for performing a thin film deposition process according to certain embodiments. The system 900 includes a transfer module 903. The transfer module 903 provides a clean, pressurized environment to minimize the risk of contamination as the substrate being processed moves between the various reactor modules. Mounted on the transfer module 903 are two multi-station reactors 909 and 910, each capable of performing Atomic Layer Deposition (ALD) and/or Chemical Vapor Deposition (CVD), according to certain embodiments. The reactors 909 and 910 may include a plurality of stations 911, 913, 915, and 917 that may perform operations sequentially or non-sequentially in accordance with the disclosed embodiments. These stations may include a heated susceptor or substrate support, one or more gas inlets or showerheads or dispersion plates.
Mounted on the transfer module 903 may also be one or more single or multi-station modules 907 capable of performing plasma or chemical (non-plasma) pre-cleaning, or any other process related to the disclosed method. In some cases, module 907 may be used for various processes, for example, to prepare a substrate for a deposition process. The module 907 may also be designed/configured to perform various other processes, such as etching or polishing. The system 900 also includes one or more wafer source modules 901 in which wafers are stored before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 919 can first move the wafer from the source module 901 to the load lock 921. A wafer transfer device (typically a robotic arm unit) in the transfer module 903 moves wafers from the load lock 921 into modules mounted on the transfer module 903 and into modules mounted on the transfer module 903.
In various embodiments, a system controller 929 is used to control the process conditions during deposition. The controller 929 will typically include one or more memory devices and one or more processors. The processor may include a CPU or calculator, analog and/or digital input/output connections, stepper motor controller board, and the like.
The controller 929 may control all of the activities of the deposition apparatus. The system controller 929 executes system control software that includes sets of instructions for controlling timing, mixture of gases, chamber pressure, chamber temperature, wafer temperature, Radio Frequency (RF) power levels, wafer chuck or pedestal position, and other parameters of a particular process. Other computer programs stored in a memory device associated with the controller 929 may be employed in some embodiments.
There will typically be a user interface associated with the controller 929. The user interface may include a display screen, a graphical software display of the apparatus and/or process conditions, and user input devices such as a pointing device, keyboard, touch screen, microphone, and the like.
The system control logic may be configured in any suitable manner. In general, the logic may be designed or configured in hardware and/or software. The instructions for controlling the drive circuitry may be hard coded or provided as software. The instructions may be provided by "programming". Such programming is understood to include any form of logic, including hard-coded logic in digital signal processors, application specific integrated circuits, and other devices having specific algorithms implemented in hardware. Programming is also understood to include software or firmware instructions executable on a general purpose processor. The system control software may be encoded in any suitable computer readable programming language.
The computer program code for controlling the pulses of the germanium-containing reducing agent, the hydrogen gas stream, and the tungsten-containing precursor, as well as other processes in the process sequence, may be in any of the usual computer readable programming languages: for example, assembly language, C, C + +, Pascal, Fortran, or other. The compiled object code or script is executed by the processor to perform the tasks identified in the program. Also as indicated, the program code may be hard coded.
The controller parameters are related to process conditions such as, for example, process gas composition and flow rate, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe and may be entered using a user interface. Signals for monitoring the process can be provided through analog and/or digital input connections of the system controller 929. Signals for controlling the process are output through the analog and digital output connections of the deposition apparatus 900.
The system software may be designed or configured in many different ways. For example, in accordance with the disclosed embodiments, various chamber component subroutines or control objects may be written to control the operation of the chamber components necessary to perform the deposition process (and in some cases other processes). Examples of programs or program segments for this purpose include substrate positioning code, process gas control code, pressure control code, and heater control code.
In some implementations, the controller 929 is part of a system that can be part of the embodiments described above. Such a system may comprise a semiconductor processing apparatus comprising one or more processing tools, one or more processing chambers, one or more platforms for processing, and/or specific processing components (wafer susceptors, gas flow systems, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of semiconductor wafers or substrates. The electronic device may be referred to as a "controller," which may control various components or subcomponents of one or more systems. Depending on the process requirements and/or type of system, the controller 929 may be programmed to control any of the processes disclosed herein, including controlling process gas delivery, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, Radio Frequency (RF) generator settings in some systems, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, position and operation settings, wafer transfer in and out tools, and other transfer tools, and/or load locks connected or interfaced with specific systems.
Broadly, a controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software to receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and so forth. An integrated circuit may include a chip in firmware that stores program instructions, a Digital Signal Processor (DSP), a chip defined as an Application Specific Integrated Circuit (ASIC), and/or one or more microprocessors or microcontrollers that execute program instructions (e.g., software). The program instructions may be in the form of instructions (or program files) that are transmitted to the controller in various separate settings that define the operating parameters for performing specific processes on or for a semiconductor wafer or system. In some embodiments, the operating parameter may be part of a recipe defined by a process engineer for completing one or more process steps during the preparation of one or more layer(s), material, metal, oxide, silicon dioxide, surface, circuit, and/or die of a wafer.
In some implementations, the controller may be part of or coupled to a computer that is integrated with, coupled to, or otherwise connected to the system via a network, or a combination thereof. For example, the controller may be in the "cloud" or be all or part of a fab (fab) host system, which may allow remote access to the wafer process. The computer may enable remote access to the system to monitor the current progress of the manufacturing operation, check the history of past manufacturing operations, check trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set processing steps to follow the current process or begin a new process. In some embodiments, a remote computer (e.g., a server) may provide the process recipe to the system over a network, which may include a local network or the Internet. The remote computer may contain a user interface that allows parameters and/or settings to be entered or programmed, which are then communicated from the remote computer to the system. In some embodiments, the controller receives instructions in the form of data specifying parameters for each process step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controllers may be distributed, for example, by including one or more discrete controllers that are connected together by a network and work toward a common goal (e.g., the processes and controls described herein). Embodiments of a distributed controller for these purposes may be one or more integrated circuits on a room that communicate with one or more remote integrated circuits (e.g., at the platform level or as part of a remote computer) that are incorporated to control the processes within the room.
Exemplary systems may include, but are not limited to, plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etch chambers or modules, Physical Vapor Deposition (PVD) chambers or modules, Chemical Vapor Deposition (CVD) chambers or modules, Atomic Layer Deposition (ALD) chambers or modules, Atomic Layer Etch (ALE) chambers or modules, ion implantation chambers or modules, track chambers or modules, and any other semiconductor processing system that may be associated with or used in the preparation and/or fabrication of semiconductor wafers.
As described above, depending on the process step or steps to be performed by the tool, the controller may communicate with one or more of: other tool circuits or modules, other tool assemblies, cluster tools, other tool interfaces, adjacent tools, tools located throughout a factory, a mainframe, another controller, or tools used in material handling to handle containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing facility.
Further implementation scheme
The apparatus and processes described herein may be used in conjunction with lithographic patterning tools or processes, for example, for the preparation or manufacture of semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, although not necessarily, these devices and processes will be used or operated together in a common manufacturing facility. Photolithographic patterning of films typically involves some or all of the following steps, each step enabling multiple viable tools: (1) applying a photoresist on a workpiece, i.e., a substrate, using a spin-coating or spray-coating tool; (2) curing the photoresist using a hot plate or oven or a UV curing tool; (3) exposing the photoresist to visible or ultraviolet light or x-rays using a tool such as a wafer stepper; (4) developing the resist to selectively remove the resist and thereby pattern it using a tool such as a wet bench; (5) transferring the resist pattern to an underlying film or workpiece by using a dry or plasma assisted etch tool; and (6) removing the resist using a tool such as a radio frequency or microwave plasma resist stripper.

Claims (21)

1. A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant into the process chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond;
(b) introducing a carbon-containing reactant into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant;
(c) adsorbing at least one of the aluminum-containing reactant and the carbon-containing reactant onto the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate; and
(d) reacting the aluminum-containing reactant with the carbon-containing reactant to form a carbon layer on the surface of the semiconductor substrate after at least one of the aluminum-containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate.
2. The method of claim 1, wherein the aluminum-containing reactant having at least one aluminum-carbon bond is a trialkylaluminum.
3. The method of claim 1, wherein the aluminum-containing reactant having at least one aluminum-carbon bond is trimethylaluminum.
4. The process of claim 1, wherein the carbon-containing reactant having at least one carbon-halogen bond is a carbon tetrahalide.
5. The method of claim 1, wherein the at least one carbon-halogen bond is a carbon-fluorine bond.
6. The process of claim 1, wherein the aluminum-containing reactant is a trialkylaluminum and the carbon-containing reactant is selected from the group consisting of CX4、CHX3、CH2X2And CH3X, wherein X is halogen.
7. The method of claim 5, wherein X is fluorine.
8. The process according to claim 5, wherein X is chlorine and/or bromine.
9. The process of claim 1, wherein reacting the aluminum-containing reactant with the carbon-containing reactant comprises forming an aluminum-containing byproduct, wherein the process further comprises removing the aluminum-containing byproduct after (d).
10. The method of claim 8, wherein the aluminum-containing byproduct comprises an aluminum-halogen bond.
11. The method of claim 1, wherein the surface of the semiconductor substrate on which the carbon layer is formed has patterned three-dimensional features.
12. The method of claim 1, wherein the aluminum-containing reactant forms an adsorption-limited layer prior to introducing the carbon-containing reactant.
13. The method of claim 1, wherein the carbon-containing reactant forms an adsorption-limited layer prior to introducing the aluminum-containing reactant.
14. The method of claim 1, further comprising purging and/or evacuating the process chamber between steps (a) and (b) to remove the aluminum-containing reactant or the carbon-containing reactant from the process chamber.
15. The method of claim 1, further comprising repeating operations (a) - (d) to deposit the carbon layer to a predetermined thickness.
16. The method of claim 1, wherein the carbon layer is deposited in a gap-fill operation.
17. The method of claim 1, wherein the carbon layer is deposited in a gap fabrication operation in a partially fabricated 3D NAND structure.
18. A method of forming a carbon layer on a surface of a semiconductor substrate in a processing chamber, the method comprising:
(a) introducing an aluminum-containing reactant into the processing chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond and forms a layer of the aluminum-containing reactant on the surface of the semiconductor substrate;
(b) removing the aluminum-containing reactant from the process chamber after (a);
(c) introducing a carbon-containing reactant into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant and forms a layer of the carbon-containing reactant on the surface of the semiconductor substrate;
(d) removing the carbon-containing reactant from the process chamber after (c); and
(e) contacting the semiconductor substrate with the layered carbon-containing reactant and the layered aluminum-containing reactant with a plasma to activate a reaction between the aluminum-containing reactant and the carbon-containing reactant to form a carbon layer on a surface of the semiconductor substrate.
19. The method of claim 17, wherein the aluminum-containing reactant is trisAn aluminum alkyl, the carbon-containing reactant being CF4And wherein the semiconductor substrate is formed by contacting the semiconductor substrate with a solution containing a gas selected from the group consisting of helium (He), argon (Ar), hydrogen (H)2) And nitrogen (N)2) Activating the trialkylaluminum and CF by plasma contact formed in a process gas of a gas of the group4The reaction between them.
20. A partially fabricated semiconductor substrate, wherein the semiconductor substrate comprises a plurality of carbon spacers.
21. A system for processing a semiconductor substrate, the system comprising:
(a) a process chamber having a substrate holder and one or more inlets for introducing reactants into the process chamber; and
(b) a system controller comprising program instructions for:
(i) causing an aluminum-containing reactant to be introduced into the process chamber, wherein the aluminum-containing reactant has at least one aluminum-carbon bond;
(ii) causing a carbon-containing reactant to be introduced into the process chamber, wherein the carbon-containing reactant has at least one carbon-halogen bond, and wherein the carbon-containing reactant is different from the aluminum-containing reactant;
(iii) causing adsorption of at least one of the aluminum-containing reactant and the carbon-containing reactant onto the surface of the semiconductor substrate under conditions in which one or both of the aluminum-containing reactant and the carbon-containing reactant forms an adsorption-limited layer on the surface of the semiconductor substrate; and
(iv) causing the aluminum-containing reactant to react with the carbon-containing reactant after at least one of the aluminum-containing reactant and the carbon-containing reactant has formed an adsorption-limited layer on the surface of the semiconductor substrate to form a carbon layer on the surface of the semiconductor substrate.
CN201980022653.0A 2018-03-26 2019-03-15 Atomic layer deposition of carbon films Pending CN112005339A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862647993P 2018-03-26 2018-03-26
US62/647,993 2018-03-26
PCT/US2019/022568 WO2019190783A1 (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Publications (1)

Publication Number Publication Date
CN112005339A true CN112005339A (en) 2020-11-27

Family

ID=68060319

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980022653.0A Pending CN112005339A (en) 2018-03-26 2019-03-15 Atomic layer deposition of carbon films

Country Status (4)

Country Link
JP (1) JP7396998B2 (en)
KR (1) KR20200127261A (en)
CN (1) CN112005339A (en)
WO (1) WO2019190783A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10607852B2 (en) * 2017-09-13 2020-03-31 Tokyo Electron Limited Selective nitride etching method for self-aligned multiple patterning

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04143921A (en) * 1990-10-05 1992-05-18 Mitsubishi Electric Corp Magnetic disk and its production
FI118014B (en) * 2000-10-23 2007-05-31 Asm Int Process for making alumina thin films at low temperatures
CN101680087A (en) 2007-03-06 2010-03-24 瓦里安半导体设备公司 Technique for atomic layer deposition
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
JP2010041038A (en) 2008-06-27 2010-02-18 Asm America Inc Ald of silicon dioxide at low temperature for important applications
JP4638550B2 (en) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
EP2935646A4 (en) 2012-12-21 2016-10-12 Prasad Narhar Gadgil Methods of low temperature deposition of ceramic thin films
WO2014149281A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
US9605343B2 (en) * 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9624577B2 (en) 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer

Also Published As

Publication number Publication date
JP7396998B2 (en) 2023-12-12
JP2021519514A (en) 2021-08-10
KR20200127261A (en) 2020-11-10
WO2019190783A1 (en) 2019-10-03

Similar Documents

Publication Publication Date Title
JP7414891B2 (en) Apparatus and method for processing semiconductor substrates
CN108133880B (en) Deposition of alumina etch stop layer
JP2017034245A (en) Method for integrating halide-containing ald film on sensitive materials
CN109791914B (en) Composite dielectric interfacial layer for interconnect structures
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
CN113891954A (en) High selectivity, low stress, and low hydrogen diamond-like carbon hard mask generated by high power pulsed low frequency RF
JP7396998B2 (en) Atomic layer deposition of carbon films
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
WO2022132642A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination