CN111630469A - 处理模块子***的主动反馈控制 - Google Patents

处理模块子***的主动反馈控制 Download PDF

Info

Publication number
CN111630469A
CN111630469A CN201880087152.6A CN201880087152A CN111630469A CN 111630469 A CN111630469 A CN 111630469A CN 201880087152 A CN201880087152 A CN 201880087152A CN 111630469 A CN111630469 A CN 111630469A
Authority
CN
China
Prior art keywords
clock
subsystems
feedback
subsystem
communication system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880087152.6A
Other languages
English (en)
Inventor
斯科特·里格斯
赖安·拜斯
约翰·瓦尔考
埃里克·赫德森
拉纳迪普·博米克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111630469A publication Critical patent/CN111630469A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/12Synchronisation of different clock signals provided by a plurality of clock generators

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于使在耦合到用于处理衬底的处理模块的子***之间的控制信号同步的通信***。耦合到所述子***的分布式控制器被配置为启动处理步骤,每一个处理步骤具有步骤时段。分布式时钟模块包括主时钟,该主时钟具有包括时钟周期的时钟速度,每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环中,通过所述分布式时钟模块将同步的控制信号传送到所述子***以及从所述子***接收所述同步的控制信号。由所述分布式时钟模块分配预定数量的时钟周期,以执行相应数量的反馈循环,从而在处理步骤之间过渡。所述预定数量的时钟周期被限制为所述步骤时段的片段。

Description

处理模块子***的主动反馈控制
技术领域
本发明实施方案涉及半导体衬底处理方法和设备工具,更具体地,涉及同步等离子体处理模块的子***(例如,以相同的时间和速率控制和操作子***)和/或允许子***的时间延迟或相位控制以在不使用自限反应的情况下执行准原子层沉积(ALD)或准原子层蚀刻(ALE)时实现原子层精度。
背景技术
在等离子体***中,实现了不同的工具子***以在衬底上执行材料的沉积或蚀刻。通过用于将控制信号传送到工具子***的通信协议来实现对这些工具子***的控制。不幸的是,工具子***之间的当前通信协议导致延迟和变化时间。对于在复杂的配方调整算法中使用的较短(例如,不到一秒至五秒)的步骤时间所需的工具子***的精确相位和同步控制来说,这些延迟和变化时间通常太大(例如,大于配方步骤时间的百分之一)。也就是说,当执行准ALD或准ALE而不使用自限制反应时,当前的通信协议不足以实现原子层精度。
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
就是在该背景下提出了本公开。
发明内容
本发明实施方案涉及解决相关技术所存在的一或更多问题,尤其涉及通过使用主时钟来执行准ALD或准ALE而不使用自限反应时实现原子层精度,所述主时钟用于使得向等离子处理模块(PM)的工具子***通信同步,并在主时钟的一个时钟周期内提供对工具子***的反馈控制。
本公开的实施方案包括用于使等离子体处理模块的子***之间的控制信号同步的通信***。等离子体处理模块用于(例如在执行ALD或ALE处理时)在位于等离子体处理模块中的衬底上方沉积材料。该***包括耦合到等离子体处理模块的多个子***。多个子***中的每个子***包括相应的子***响应时间。该***包括耦合到多个子***中的每个子***的分布式控制器,其中该分布式控制器包括耦合到每个子***的主时钟和从时钟。分布式控制器被配置为启动多个处理步骤,其中每个处理步骤具有步骤时段。该***包括分布式时钟模块,该分布式时钟模块包括主时钟,该主时钟具有包括多个时钟周期的时钟速度。时钟周期中的每一个具有与反馈循环预相关的持续时间,在反馈循环中,通过分布式时钟模块将同步的控制信号传送到多个子***以及从多个子***接收同步的控制信号。特别地,分布式时钟模块被配置为分配预定数量的时钟周期,以执行相应数量的反馈循环,从而在处理步骤之间过渡。预定数量的时钟周期被限制为步骤时段的片段(fraction),其中步骤时段包括一百个片段。
本公开的实施方案包括用于使等离子体处理模块的子***之间的控制信号同步的另一通信***。等离子体处理模块用于(例如在执行ALD或ALE处理时)在位于等离子体处理模块中的衬底上方沉积材料。该***包括耦合到等离子体处理模块的多个子***。每个子***具有相应的子***响应时间。该***包括耦合到多个子***中的每个子***的分布式控制器,其中该分布式控制器包括耦合到每个子***的主时钟和从时钟。该分布式控制器被配置为启动多个处理步骤,其中每个处理步骤具有步骤时段。此外,该分布式控制器包括主时钟,该主时钟具有包括多个时钟周期的时钟速度。每一时钟周期具有与反馈循环预相关的持续时间,在反馈循环中,通过分布式控制器将同步的控制信号传送到多个子***以及从多个子***接收同步的控制信号。分布式控制器被配置为分配预定数量的时钟周期,以执行相应数量的反馈循环,从而在处理步骤之间过渡。预定数量的时钟周期被限制为步骤时段的片段,并且步骤时段包括一百个片段。
在另一个实施方案中,公开了一种用于使等离子体处理模块的子***之间的控制信号同步的方法。该方法包括由分布式控制器启动多个处理步骤,其中每个处理步骤具有一个步骤时段。处理步骤由耦合到等离子体处理模块的多个子***执行。子***由同步控制信号控制,其中多个子***中的每个子***具有相应的子***响应时间。该方法包括配置主时钟,该主时钟具有包括多个时钟周期的时钟速度。每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环内,分布式控制器将同步的控制信号传送到多个子***以及从多个子***接收同步的控制信号。该方法包括分配预定数量的时钟周期,以执行相应数量的反馈循环以在处理步骤之间进行过渡。该方法包括将预定数量的时钟周期限制为步骤时段的片段,其中步骤时段包括一百个片段。
本领域技术人员通过阅读整个说明书及权利要求,将可理解这些以及其他优点。
附图说明
通过参照下文结合附图进行的描述,可最适当地理解实施方案。
图1示出了衬底处理***,其用于处理晶片,例如以在其上形成膜。
图2A根据一实施方案示出了一种通信***,该通信***被配置为用于使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步,其中,分布式控制器包括用于使控制信号同步的主时钟,该控制信号由分布式控制器生成。
图2B根据一实施方案示出了一种通信***,该通信***被配置为用于使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步,其中,分布式时钟模块包括用于使控制信号同步的主时钟,该控制信号由分布式控制器生成。
图3根据本公开的一个实施方案示出了对通信***中的子***响应的反馈控制,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步。
图4A根据本公开的一个实施方案示出了通信***中的主时钟的一个或多个时钟周期,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步,其中,在处理步骤之间的过渡期间,在一个时钟周期内执行反馈循环,反馈循环包括通过图4A的通信***的分布式时钟模块或分布式控制器从多个子***传送和接收同步的控制信号。
图4B根据本公开的一个实施方案示出了与通信***中的主时钟的时钟周期对准的处理步骤,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步,其中,在处理步骤之间的过渡期间,在预定数量的时钟周期期间执行相应数量的反馈循环。
图5是根据本公开的一个实施方案示出用于使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步的方法的流程图。
图6A-6F根据本公开实施方案示出了在通信***中以高分辨率同步对RF功率和气体传送的控制的能力,该通信***被配置为同步传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号。
图7A示出了两种水平的RF功率和气体传送以低分辨率在通信***中的应用,该通信***用于将控制信号传送至等离子体处理模块的子***。
图7B根据本公开的一个实施方案示出了在控制等离子体处理模块的子***的通信***中,关于所需气体混合物的等待时间和延迟时段的影响。
图7C根据本发明的一个实施方案示出了RF功率和气体传送在通信***中的应用,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步,其中,可以考虑由第二子***控制的气体化学物质比来施加由第一子***控制的RF功率,并且其中控制信号是使用具有时钟周期(在该时钟周期中执行反馈循环)的主时钟来同步的,从而允许RF功率的线性和非线性应用。
图8根据本公开的一个实施方案示出了在一段时间内的不同气体化学物质比的应用,其中,在通信***内控制气体化学物质,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步。
图9示出了用于控制上述***的控制模块。
具体实施方式
虽然以下详细描述为了说明目的包含许多具体细节,但本领域技术人员应理解,以下细节的许多变化和修改都在本公开的范围内。因此,下文所述的本公开方面是在不对随后的权利要求的一般性造成任何损失且不施加限制的情况下加以阐述。
总体而言,本公开的各个实施方案描述了当通过使用配置为往来于工具子***传送和接收同步的控制信号的通信***将控制信号传送到等离子体处理模块(PM)的工具子***时,使得能减少延迟和变化时间的***和方法。延迟和变化时间减少到一定程度(例如,使子***延迟时间低于配方步骤时间的3-5%,例如占配方步骤时间的1%),从而可以在较短的步骤时间内(例如,不到一秒钟)对工具子***进行精确的相位和同步控制以实现更大的处理能力(例如在为ALD和ALE处理实现复杂的配方调整算法时)。以这种方式,本公开的实施方案能够以更短的步骤时间执行准ALD和准ALE处理,从而导致原子层精度并且不使用自限反应。特别地,这允许增加沉积和激活层的均匀性,以用于更精确的准ALD和准ALE处理。这样可以使处理达到激活曲线的峰值,以便在使用最少反应物的同时最大程度地提高产量。此外,这导致能够应用高级配方处理控制,并增加了可重复性。特别地,本公开的实施方案使得气体交换时间减少,并且允许针对RF同步和可重复性进行与时间有关的调谐。另外,本公开的实施方案使得能从等离子体PM的工具子***去除延迟时间和抖动(jitter)。此外,本公开的实施方案提供了对工具子***的微调控制,例如对幅值和相位的微调控制(例如,子***的定时开始/停止)。例如,可以控制从低功率发生器施加的RF功率的幅值(例如,瓦特),并且RF功率的相位(例如相对于气体化学物质的施加,何时打开或关闭RF功率)可被控制和设计成遵循定义的函数形式。此外,可以基于工具输入传感器数据来修改函数(例如,配方调整算法)。此外,本公开的实施方案允许对工具子***进行微调控制以创建用于准ALD和准ALE处理的复杂配方算法。例如,实施方案在微秒级的时间范围内提供对多个子***的配方控制和同步,以在准ALD和准ALE处理期间将子***延迟时间减少到配方步骤时间的3-5%以下(例如1%)(例如,允许实现先进的配方调整算法,从而可进行不到一秒钟的处理步骤,例如激活和沉积)。
在对于多种实施方案有上述一般性理解的情况下,现将参考各种附图描述实施方案的示例性细节。一或更多附图中有类似编号的元件和/或部件意指通常具有相同配置和/或功能。此外,附图可能不是按比例绘制,而是意在说明及强调新的构思。显然,本发明的实施方案可在不具有这些具体细节中的一些或全部的情况下实施。在其他情况下,没有详细说明众所周知的处理操作,以便不必要地使本发明的实施方案难以理解。
本公开的实施方案涉及用于对耦合到等离子体处理***的等离子体PM的工具子***执行精确的相位和同步控制以将子***延迟时间减少到配方步骤时间的百分之一以下(例如,允许较短的步骤时间-不到一秒)的方法和设备,以实现更大的处理能力(例如在为ALD和ALE处理实现复杂的配方调整算法时)。本公开的实施方案可在各种处理模块配置中实施。此外,本发明的实施方案不限于本文所提供的实例,且可在采用不同配置、几何构型及等离子体产生技术(如电感耦合***、电容耦合***、电子回旋共振***、微波***等)的不同等离子体处理***中实施。等离子体处理***和等离子体处理模块的实例公开于共同拥有的美国专利No.8,862,855、No.8,847,495以及No.8,485,128与美国专利申请序列No.15/369,110中,其中的每一者在前文被介绍并通过引用并入。
图1示出了等离子体处理***100,其用于处理晶片,例如在衬底上形成膜,如在具有原子层精度且不使用自限反应的情况下在准ALD和准ALE处理中所形成的那些膜。***100被配置成用于处理晶片,以例如生产半导体装置。前开式晶片传送盒(FOUP)(未图示)被配置成用于保持一或更多晶片,并将晶片移入及移出***100以及在***100中移动。尤其是,在处理期间,通过输送模块190,晶片可在FOUP内在设备前端模块(EFEM)150与相应处理模块110之间输送。装载端口160被配置成用于在前处理和后处理期间将晶片移入和移出EFEM150。
EFEM150被配置成用于在大气与真空(PM110的处理环境)之间移动晶片。EFEM150被配置成用于在FOUP与装载锁(load lock)170之间移动晶片。输送机械手131(如机械手臂及其类似物)沿着轨道152,在装载端口160与适当的装载锁170之间传送晶片。与装载锁170结合的各种闸阀180可用于保持或产生适当压力(如大气压、真空及在这两者之间的过渡状态)。闸阀180被配置成,在晶片移动和/或处理期间用来隔离部件,尤其是当晶片暴露于处理***100中的各种压力下时。例如,闸阀180可隔离EFEM 150、装载锁170、输送模块190和处理模块110。装载锁170包括将衬底(如FOUP中的晶片)从EFEM 150传递至输送模块190的输送装置。装载锁170可在进入由输送模块190所维持的真空环境之前,在压力下抽真空,或可在进入EFEM 150之前朝大气排放。举例而言,装载锁170可耦合至真空源(未图示),使得当关上闸阀180时,可对装载锁170进行抽排。据此,装载锁170可被配置成用于保持所需压力,例如在真空压力下在装载锁170与输送模块190之间传递晶片时,或者在大气压力下于装载锁170与EFEM 150之间传递晶片时。
输送模块190被配置成,通过闸阀180,将衬底(如装载锁170中的晶片)移入及移出处理模块110。在一配置中,该闸阀180包括可控开口(如进出门),以使得能进入邻近模块(如输送模块190、EFEM150、处理模块110等)。在输送模块190内,输送机械手132(如机械手臂及其类似物)被配置成在真空环境中移动晶片,例如在处理模块110之间传递晶片,或将晶片移入及移出装载锁170。该输送模块190及处理模块110通常在真空下操作,且可与一或更多真空源(未图示)耦合,以维持适当的真空压力。
中央处理单元(CPU)120(例如,处理器)被配置为经由处理输入和控制信号108管理晶片在整个***100到达***100中的每个部件的移动。例如,CPU 120管理晶片在装载端口160、EFEM 150、装载锁170、输送模块190和处理模块110之间的移动。未显示在CPU 120和***100中的每个部件之间的所有通信连接。此外,CPU 120配置为通过处理输入和控制信号108向每个处理模块110提供总处理指令。例如,这些指令可以是执行准ALD处理、准ALE处理、沉积处理、激活处理等。处理输入和控制信号108可以包括更详细的处理配方,例如功率电平、定时参数、处理气体、晶片的机械运动等,诸如以在晶片上沉积或形成膜。一旦被指示,处理模块110就被配置为管理由各种子***实现并由分布式控制器控制的在室内进行的处理,这将在下面进一步描述。举例来说,处理模块110各自包含可用于处理晶片的室(例如,在衬底上方沉积膜,诸如在ALD过程中形成的那些膜)。加热器可用于控制室内的温度,以实现均匀性或自定义(custom)设置。可使用真空泵在不同时间将室置于真空(例如,低压)下。该室可以包括基座,该基座电耦合至电源,该基座由执行处理输入和控制的控制器或控制模块控制。特别地,基座被配置为支撑晶片。用于从基座接收晶片和从其移除晶片的装置可以包括升降销、升降垫等,以允许末端执行器从基座拾取晶片和/或将晶片降低至基座。气体供应歧管连接到处理气体,处理气体可以通过由控制模块控制的气体供应歧管输送到室。气体经由喷头(例如,喷头位于基座支撑的晶片上方)通过室分配,并且通过真空泵和出口从室中排出。可以采用适当的阀门和质量流量控制机制来确保在处理的沉积和等离子体处理阶段中传送正确的气体。即,由控制模块实现的在室内的处理输入和控制可以包括处理配方,诸如功率电平、定时参数、处理气体、晶片的机械运动等,诸如用于在晶片上沉积或形成膜的那些处理配方。
一或更多处理模块110可耦合至输送模块190。每一处理模块110被配置成用于处理晶片,或者任何需要在真空或其他受控环境下进行处理的合适标的物。举例而言,该处理模块110可配置成用于实施一或更多半导体制造处理。在一配置中,该处理模块110包括等离子体处理室。一般而言,该处理模块110可依赖于各种机构,以产生等离子体,如电感耦合(变压器耦合)、螺旋波、电子回旋共振、电容耦合(平行板)。例如,可在变压器耦合式等离子体(TCPTM)处理室中或电子回旋共振(ECR)处理室中,产生高密度等离子体。可提供高密度等离子体的高流量等离子体处理室或处理模块的示例公开于共同拥有的美国专利No.5,948,704(其公开内容通过引用并入此处)中。为了说明位于处理模块中的室,已在共同拥有的美国专利No.4,340,462、No.4,948,458、No.5,200,232以及No.5,820,723(这些专利的公开内容通过引用并入此处)中公开了平行板等离子体处理室、电子回旋共振(ECR)等离子体处理室以及变压器耦合式等离子体(TCPTM)处理室。
一个或多个工具子***被耦合到相应的处理模块110。这些工具子***被配置为执行特定功能,如下文进一步所述的,并共同实现在处理模块110内执行的用于处理晶片的处理,例如半导体制造处理。该处理包括等离子体增强化学气相沉积(PECVD)、溅射沉积、抗蚀剂剥离、等离子体蚀刻、等离子体沉积、等离子体处理等。特别地,通过使处理模块110中的合适的处理气体经受射频(RF)功率来产生等离子体。处理模块110中的RF功率和/或电流的流动影响处理。工具子***可以包括气体供应歧管112、RF发生器104、压力控制器118、温度控制器119等。在图9中提供了子***的更详细描述。
图2A根据本公开的一个实施方案示出了通信***200A,该通信***200A被配置为使传送到等离子体处理模块110的子***和从等离子体处理模块110的子***接收的控制信号同步,其中,同步的控制信号在分布式控制器220-A内生成和同步。通信***200A通过在主时钟260的受控时钟速度下使控制信号同步来提供用于更精确的准ALD和准ALE处理的沉积和激活层的改善的膜均匀性,其中,主时钟260控制所有从时钟261a-261z,每个从时钟都与特定子***连接。主时钟260的受控时钟速度与从时钟261a-261z的组合提供了减少的延迟和变化时间,以将同步控制信号传送至子***以及从子***传送同步的控制信号以执行具有更短步骤时间的准ALD和准ALE过程,从而在不使用自限反应的情况下实现原子层精度。主时钟260和从时钟261a-261z的受控时钟速度提供了气体交换时间的减少,允许对RF同步和可重复性进行与时间有关的调整,提供对工具子***的微调和/或反馈控制(例如,控制幅值和相位),在执行准ALD和准ALE处理时提供复杂的配方算法,并在微秒级的时间范围内使多个子***同步,以将子***的延迟时间降低到配方步骤时间的3-5%以下(例如,百分之一),从而实现高级配方调整算法(例如,不到一秒的处理步骤)。
如前所述,处理模块110被配置用于在真空或受控环境中处理晶片。例如,处理模块110可以被配置为实现一个或多个半导体制造处理。特别地,处理模块110包括等离子体处理室,该等离子体处理室用于产生在诸如准ALD和准ALE处理之类的处理期间使用的等离子体。例如,处理模块110被配置用于在位于处理模块110中的衬底上方沉积材料,其中例如可以在沉积处理或蚀刻处理期间沉积材料。
如图所示,多个子***210(例如,子***210-A、210-B…210N)耦合至处理模块110。特别地,多个子***210包括气体供应歧管子***112、和RF发生器子***104(每个RF发生器耦合到相应的匹配网络106,以使处理模块110和/或RF发生器免受不希望有的RF功率的影响),如将在图3中进一步描述的。多个子***210中的每个子***具有相应的子***响应时间,在该子***响应时间内实现对各自子***的控制。子***响应时间可以是预定义的。例如,在相应的子***响应时间内实现了特定电平的RF功率的施加,其中子***包括一个或多个RF发生器104。
分布式控制器220-A被配置为接收处理输入和控制信号108(例如,来自CPU 120)。输入和控制信号108被配置为向通信***200A提供总处理操作指令。例如,输入和控制信号108可以提供用于在处理模块110内实现的总处理指令,例如配方信息。例如,由分布式控制器220-A接收的指令可以包括用于在处理模块110内执行准ALD或准ALE处理的指令。在其他情况下,输入和控制信号108可以更详细并且包括处理配方,诸如功率电平、定时参数、处理气体、晶片的机械运动等,诸如以在晶片上沉积或形成膜。分布式控制器220-A被配置用于响应于输入和控制信号108来启动多个处理步骤。在一个实施方案中,分布式控制器220-A是符合通用模块欧罗巴(Versa Module Europa:VME)标准或其衍生品的VME控制器。例如,VME标准可以定义计算机总线***、设备和网络。
此外,分布式控制器220-A耦合到多个子***210中的每个子***(包括气体供应歧管子***112和一个或多个RF发生器子***104),并被配置为启动多个处理步骤。每个处理步骤都有一个步骤时段。在一个实施方案中,用于处理步骤的步骤时段是均匀的(例如1秒、几分之一秒等)。在另一个实施方案中,每个处理步骤可以具有唯一的步骤时段。即,分布式控制器220-A被配置为响应于通过处理输入和控制信号108提供的指令,基于多个子***210中的每个子***的(例如,实现处理步骤)输入传感器数据(例如,其作为反馈来传送)来管理详细操作并实时优化这些操作。例如,当执行各种处理步骤(例如,激活、沉积等)时,分布式控制器220-A在各个时间将控制信号290-A传送到子***210中的一个或多个,并接收反馈信号290-C。
在一个实施方案中,例如在准ALD处理中,多个处理步骤共同以原子层精度执行沉积处理而没有自限反应。准ALD处理包括:激活步骤,其中使用等离子体对衬底的表面进行化学激活;以及用于沉积化学品的原子层的沉积步骤,例如其在沉积材料时执行。在另一个实施方案中,例如在准ALE处理中,多个处理步骤共同以原子层精度执行蚀刻处理而没有自限反应。准ALE处理包括:激活步骤,其中使用等离子体对衬底的表面进行化学激活;以及用于去除材料的原子层的蚀刻步骤,例如其在沉积蚀刻材料时执行。
具体地,分布式控制器220-A被配置为使用主时钟260使与每个子***的通信同步。主时钟260同时控制多个从时钟261a-261z,其中每个从时钟与一个特定的子***连接。例如,从时钟261-a可以与子***-A(210-A)连接,从时钟261-b可以与子***-B(210-B)连接,依此类推。以这种方式,被导向经由其相应的从时钟(例如,从时钟261-a)定时的特定子***(例如,子***A)的控制信号与被导向经由其相应的从时钟(例如,从时钟261-B定时)定时的另一个子***(例如,子***-B)的控制信号同步。以这种方式,被导向到所有子***的所有控制信号是同步的。分布式控制器220-A还包括主时钟260,该主时钟260的时钟速度包括多个时钟周期。每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环内,通过分布式控制器220-A将同步的控制信号传送到多个子***210以及从多个子***210接收同步的控制信号。这样,可以至少在主时钟260的一个时钟周期内执行一个反馈循环。以这种方式,分布式控制器220-A提供主时钟260,该主时钟260具有足够的速度以增加用于RF控制、ALD阀门控制和对每个子***210的控制的时间分辨率。对于每个子***210,主时钟260减少了定时延迟,并降低了抖动。这样,主时钟260使得能:通过基于匹配的模型(该模型具有改善的时间响应)实现RF调谐算法;同步子***以及改善RF控制。
分布式控制器220-A还被配置为分配主时钟260的预定数量的时钟周期,以执行用于在处理步骤之间进行过渡的相应数量的反馈循环。以这种方式,通过在预定数量的时钟周期和相应数量的反馈循环内完成过渡,改善向下一处理步骤的过渡。预定数量的时钟周期使得通信***200A的子***210和处理模块110能够实现子***响应时间中的每一个。这是可能的,因为通向每个子***210的控制信号290-A都与主时钟260同步。例如,预定数量的时钟周期使得RF发生器子***104能达到其期望的在处理模块110内应用的RF功率。也就是说,当启动处理步骤或从先前的处理步骤过渡到处理步骤时,可以执行多个反馈循环。在一个实施方案中,多个反馈循环对应于(例如,所有子***的)***响应时间,从而确保针对相应的处理步骤或相应的处理步骤的一部分实现对每个子***210的控制。在一实施方案中,***响应时间大于最慢的子***响应时间。
在一个实施方案中,时钟周期的预定数量被限制为步骤时段的片段。在一种情况下,步骤时段包括一百个片段。在另一情况下,步骤时段包括不同数量的片段。这样,步骤时段的片段可以定义主时钟260的时钟周期的预定数量,并且相应地定义主时钟260的时钟速度。即,时钟速度基于将在步骤时段的片段内执行的时钟周期的预定数量。在一个实施方案中,通过执行一个反馈循环所需的时间的倒数来确定或计算时钟速度。在另一个实施方案中,执行(与时钟周期的预定数量相关联的)相应数量的反馈循环的步骤时段的片段是步骤时段的百分之一或更少。在其他实施方案中,步骤时段的片段是步骤时段的百分之十或更少。
图2B根据本公开的一个实施方案示出了通信***200B,该通信***200B被配置为用于使传送至处理模块110的子***和从处理模块110的子***接收的控制信号同步,其中,一个或多个控制信号295-C以及相应的反馈控制信号295-D在与分布式控制器220-B结合的分布式时钟模块250中被控制和同步。通信***200A通过在主时钟260的受控时钟速度下以受控时钟速度使控制信号同步来提供用于更精确的准ALD和准ALE处理的沉积和激活层的改善的膜均匀性,其中,主时钟260控制所有从时钟261a-261z,每个从时钟都与特定子***连接。主时钟260的受控时钟速度与从时钟261a-261z的组合提供了减少的延迟和变化时间,以将同步控制信号传送至子***以及从子***传送同步的控制信号以执行具有更短步骤时段的准ALD和准ALE处理,从而在不使用自限反应的情况下实现原子层精度。主时钟260和从时钟261a-261z的受控时钟速度提供了气体交换时间的减少,允许对RF同步和可重复性进行与时间有关的调整,提供对工具子***的微调和/或反馈控制(例如,控制幅值和相位),在执行准ALD和准ALE处理时提供复杂的配方算法,并在微秒级的时间范围内使多个子***同步以将子***的延迟时间降低至配方步骤时段的3-5%以下(例如,百分之一),从而实现高级配方调整算法(例如,不到一秒的处理步骤)。
如先前在图1和图2A中介绍的,处理模块110被配置用于在真空或受控环境中处理晶片。例如,处理模块110可以被配置为实现一个或多个半导体制造处理。例如,处理模块110包括等离子体处理室,该等离子体处理室用于产生等离子体以促进各种处理,包括在诸如准ALD和准ALE处理之类的沉积或蚀刻处理期间沉积材料。
如图2B所示,多个子***耦合到处理模块110。子***包括子***250A-250N、子***260a-260n、气体供应歧管子***112和RF发生器子***104(每个RF发生器耦合到相应的匹配网络106)。多个子***中的每个子***具有相应的子***响应时间,在该子***响应时间内,实现对相应子***的控制,其中响应时间可以是预先定义的。
VME-CPU 270和分布式控制器220-B各自被配置为(例如,从CPU 120)接收处理输入和控制信号108。输入和控制信号108被配置为向通信***200B提供总处理操作指令。例如,输入和控制信号108可以提供用于在处理模块110内实现的总处理指令,例如配方信息。例如,由VME-CPU270和分布式控制器220-B接收的指令可以包括用于在处理模块110内执行准ALD或准ALE处理的指令。处理输入和控制信号108可以更详细并且包括处理配方,诸如功率电平、定时参数、处理气体、晶片的机械运动等,诸如以在晶片上沉积或形成膜。VME-CPU270和分布式控制器220-B被配置用于响应于输入和控制信号108来启动多个处理步骤。在一个实施方案中,分布式控制器220-B是符合VME标准或其衍生品的VME控制器。
VME-CPU 270耦合到子***250A-250N。这样,VME-CPU270响应于接收到的输入和控制信号108来启动这些子***250A-250N的处理步骤(例如,温度控制、压力控制等)。在一个实施方案中,VME-CPU 270的时钟速度足以执行对子***250A-250N的相位和同步控制。如图所示,VME-CPU 270向子***250A-250N中的一个或多个发出控制信号295-A,并接收反馈信号295-B以实时地优化控制信号295-A。
在一个实施方案中,可以在传统等离子体处理***内配置分布式控制器220-B,其中VME-CPU 270的时钟速度不足以对耦合到处理模块110的某些工具子***执行精确的相位和同步控制,以实现较短步骤时段(例如,不到一秒)。例如,RF发生器子***104、气体供应歧管子***112和其他子***(例如,子***260-a至260-n)可能需要比VME-CPU 270提供的时钟速度更可控的时钟速度。这样,通信***200-B包括耦合到与VME-CPU270耦合的分布式控制器220-B的分布式时钟模块250。分布式控制器220-B被配置用于启动子***260a到子***260n、RF发生器子***104和气体供应歧管子***112的处理步骤。分布式时钟模块250包括用于同步控制信号295-C的主时钟260,其中主时钟260控制所有从时钟261a-261z,从时钟261a-261z用于在分布式控制器220-B与需要更精确的同步和相位控制的子***之间的通信。现在,主时钟260的新时钟速度足以对所连接的子***260a-260n、RF发生器子***104和气体供应歧管子***112执行精确的相位和同步控制,以例如在实现用于准ALD和准ALE处理的复杂的配方调整算法时,实现更大的处理能力。如图所示,通过相应的从时钟,分布式控制器220-B通过分布式时钟模块250的相应的从时钟261a-261z耦合到子***260a-260n、气体供应歧管子***112和RF发生器子***104中的每一个。即,分布式控制器220-B可以连接到所有子***(例如,如图2A所示),或者连接到所选择的子***(例如,如图2B所示)。以这种方式,配置分布式控制器220-B通过相应的从时钟以启动和实施这些子***的每个处理步骤。在一个实施方案中,耦合到分布式控制器220-B并由其控制的子***的数量可以通过设计来选择。另外,分布式控制器220-B被配置为与VME-CPU 270一起运行,以启动并实施所有的处理步骤。每个处理步骤都有一个步骤时段。在一个实施方案中,处理步骤的步骤时段是均匀的(例如1秒,几分之一秒等)。在另一个实施方案中,每个处理步骤可以具有唯一的步骤时段。
也就是说,分布式控制器220-B启动并实施包括建立反馈循环以确保向处理步骤的过渡在预定的过渡时段内完成的处理步骤。特别地,分布式控制器220-B被配置为响应于由分布式控制器220-B提供的处理启动(其又响应于通过处理输入和控制信号108提供的总指令),基于子***260a-260n、气体供应歧管子***112和RF发生器子***104中的每一个的(例如,实现处理步骤的)输入传感器数据(例如,其作为反馈295-D来传送)来管理详细操作并实时优化这些操作。例如,当执行各种处理步骤(例如,激活、沉积等)时,分布式控制器220-B通过分布式时钟模块250在各个时间将同步控制信号290-C传送到子***210中的一个或多个,并接收反馈信号290-D。
在一个实施方案中,例如在准ALD处理中,多个处理步骤以原子层精度执行沉积处理而没有自限反应。准ALD处理包括:激活步骤,其中使用等离子体对衬底的表面进行化学激活;以及用于沉积化学品的原子层的沉积步骤,例如其在沉积材料时执行。在另一个实施方案中,例如在准ALE处理中,多个处理步骤以原子层精度执行蚀刻处理而没有自限反应。准ALE处理包括:激活步骤,其中使用等离子体对衬底的表面进行化学激活;以及用于去除材料的原子层的蚀刻步骤,例如其在沉积蚀刻材料时执行。
配置分布式控制器220-B通过分布式时钟模块250以使用主时钟260与每个子***同步通信。主时钟260同时控制多个从时钟261a-261z,其中每个从时钟连接到特定子***(例如,子***260a-260n、供气歧管子***112和RF发生器子***104中的一个)。例如,从时钟261-a可以连接到子***260a,从时钟2610b可以连接到子***260b上,等等。以这种方式,被导向经由其相应的从时钟(例如,从时钟261-a)定时的特定子***(例如,子***260a)的控制信号与被导向经由其相应的从时钟(例如,从时钟261-b定时)定时的另一个子***(例如,子***260b)的控制信号295C同步。这样,被导向子***260a-260n、气体供应歧管子***112以及RF发生器子***104的所有控制信号295-C是同步的。此外,分布式控制器220-B包括分布式时钟模块250,其包括主时钟260,该主时钟260的时钟速度包括多个时钟周期。每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环内,通过分布式控制器220-B将同步的控制信号传送到多个子***以及从多个子***接收同步的控制信号。这样,可以至少在主时钟260的一个时钟周期内执行一个反馈循环。以这种方式,分布式控制器220-B利用主时钟260,该主时钟260具有足够的速度来提高通过相应的从时钟耦合的任何附接子***的时间分辨率。例如,在先前描述的相应子***范围内进行RF控制和ALD阀控制是可能的。对于这些子***中的每一个,主时钟260减少时序延迟,并减少抖动。这样,主时钟260使得子***能同步以及改进RF控制。
分布式控制器220-B还被配置为分配主时钟260的预定数量的时钟周期,以执行用于在处理步骤之间进行过渡的相应数量的反馈循环。以这种方式,通过在预定数量的时钟周期和相应数量的反馈循环内完成过渡,改善向下一处理步骤的过渡。即,由于通向各子***的控制信号通过主时钟260经由相应的从时钟261a-261z而同步,所以在相应数量的反馈循环内实现了对每个子***的控制。
如前所述,时钟周期的预定数量被限制为步骤时段的片段,其中,在一实施方案中,步骤时段包括一百个片段。在另一实施方案中,步骤时段包括不同数量的片段。这样,步骤时段的片段可以定义主时钟260的时钟周期的预定数量,并且相应地定义主时钟260的时钟速度。即,时钟速度基于将在步骤时段的片段内执行的时钟周期的预定数量。在一个实施方案中,通过执行一个反馈循环所需的时间的倒数来确定或计算时钟速度。在另一个实施方案中,执行(与时钟周期的预定数量相关联的)相应数量的反馈循环的步骤时段的片段是步骤时段的百分之一或更少。在另一实施方案中,步骤时段的片段是步骤时段的百分之五或更少。在其他实施方案中,步骤时段的片段是步骤时段的百分之十或更少。
图3根据本公开的一个实施方案示出了通信***300中的子***响应的反馈控制,该通信***300被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步。可以在图2A的通信***200A内或图2B的通信***200B内实现图3。一个或多个子***301耦合到处理模块110,并且可以由分布式控制器220控制。为了简洁和清楚起见,示出子***301和RF发生器子***104耦合到处理模块110。为了说明的目的,气体供应歧管子***112被示为子***301内的代表性子***。如前所述,子***301可用于控制在处理模块110内实施的处理。通信***300被配置用于对耦合到处理模块110的子***301执行精确的相位和同步控制,以实现较短的步骤时段,从而实现更大的处理能力,例如用于实现用于准ALD和准ALE处理的复杂配方调整算法。
如先前在图1和图2A中所述,图3的处理模块110被配置成在真空或受控环境下处理晶片。例如,该处理模块110可配置成用于执行一或更多半导体制造处理。例如,处理模块110包括用于产生等离子体的等离子体处理室,以利于包括在沉积或蚀刻处理期间沉积材料在内的各种处理,例如准ALD和准ALE处理。该室可包括一或多个电极、衬底支撑件、衬底支撑件中的静电卡盘(其被配置成包含施加高电压偏置的电极,以产生使晶片保持在合适位置的静电保持力)、一或多个气体喷头、间隙控制机构,以控制衬底支撑件与喷头间的间距。为了简洁和清楚起见,不提供对本领域技术人员所公知的室和/或处理模块110的各种其他部件的详细描述,但其可被预期且获得完全支持。
为了简洁和清楚起见,示例性通信***300包括两个子***,以说明在先前介绍的处理步骤之间过渡时反馈控制的实现。子***包括RF发生器104和气体供应歧管112(代表子***301中的任一个)。分布式控制器220被配置为(例如,响应于输入和控制信号108)启动多个处理步骤。在一个实施方案中,分布式控制器220可以被实现为如图2A中的包括用于同步控制信号的主时钟260的独立控制器220-A。在另一实施方式中,分布式控制器220可以以如图2B所示的包括分布式控制器220-B和分布式时钟模块250的配置来实现,其中分布式时钟模块250包括用于同步控制信号的主时钟260。在一些实施方案中,控制信号可以包括处理配方,例如功率电平、定时参数、处理气体、晶片的机械运动等,诸如以在晶片上沉积或形成膜。
例如,RF发生器子***104经由匹配网络106耦合到处理模块110,其中,匹配网络106被配置用于控制整个通信***300的RF反射。RF功率被施加以在处理模块110内处理衬底。特别地,RF功率被施加以点燃用于蚀刻和/或沉积处理的在处理模块110内的等离子体,其中,处理模块110内的一种或多种处理气体受到来自RF发生器子***104的RF功率的作用。
另外,气体供应歧管子***112耦合到处理模块110。气体供应歧管子***112连接到处理气体320(例如,气体1、气体2…气体N),例如,从设施提供的气体化学物质。
根据所执行的处理,分布式控制器220经由气体供应歧管子***112控制处理气体320的传送。然后,使选定的气体流入喷头中,并且在介于喷头和放置在晶片支撑***上的晶片之间的空间体积中分配。例如,在准ALD或准ALE处理中,气体可以是被选择用于执行激活或沉积处理步骤的反应物。此外,气体可以预混合或不预混合。可以在气体供应歧管子***112内采用适当的阀门和质量流量控制机构,以确保在沉积和激活处理步骤中传送正确的气体。处理气体通过出口(未显示)离开室。真空泵(例如,一级或两级机械干泵和/或涡轮分子泵)抽出处理气体并通过诸如气体供应歧管子***112中的节流阀或摆阀之类的闭环控制流量限制装置在处理模块110内维持适当的低压。
分布式控制器220被配置为当在由RF发生器子***104、气体供应歧管子***112和处理模块110实现的处理步骤之间过渡时实现一个或多个反馈循环。特别是,分布式控制器220被配置为使用主时钟260与包括RF发生器子***104和气体供应歧管子***112在内的子***同步通信。主时钟260的每个时钟周期与反馈循环的实现预相关,在该反馈循环中,控制信号被传送到相应的子***并从相应的子***中接收,相应的子***包括RF发生器子***104和气体供应歧管子***112。这样,至少可以在主时钟260的一个时钟周期内执行一个反馈循环。
如图3所示,响应信号由分布式控制器220接收。例如,响应RF信号310从RF发生器子***104接收,并且可以包括是否施加RF功率以及在特定的时间点以什么电平施加RF功率。另外,还从气体供应歧管子***112接收响应气体信号315,并且该响应气体信号315可以包括阀是否打开以用于传送相应的气体。在一实施方案中,响应信号310和315以1ms(毫秒)的精度被传送。另外,测量值317可从处理模块110传送到分布式控制器220。这些测量值317可从附接到处理模块110或位于处理模块110内的传感器收集。在一个实施方案中,测量值317可被配置用于测量一种或多种气体化学物质(例如体积、量、混合物等)。在其他实施方案中,测量值317可以被配置用于测量其他参数,包括温度、压力等。
在一个实施方案中,用于特定子***的反馈循环包括多个步骤,该多个步骤当在处理步骤之间过渡时实现。即,反馈循环确定是否执行用于相应子***的控制信号的执行。特别地,反馈循环包括测量由相应子***控制的参数的第一步骤。例如,关于RF发生器子***104,参数可以包括幅值、相位(施加控制信号时的感应时间)、功率等中的一种。例如,关于气体歧管子***112,参数可以表示相应的阀是打开还是关闭。反馈循环包括处理测得的参数的第二步骤。反馈循环包括基于处理后的测量值确定变化的第三步骤。例如,如果响应于初始控制信号而需要参数的期望水平,并且尚未达到期望水平,则可以生成反馈控制信号以帮助通信***300实现相应参数的适当水平。这样,反馈控制信号可以与初始控制信号不同。反馈循环包括施加变化的第四步骤。可以通过在主时钟260的时钟速度的一个周期内向相应的子***传送对应的控制信号(例如,反馈控制信号)来执行改变的应用。也就是说,在一个周期时段内执行反馈循环。
在一个实施方案中,反馈循环包括比例-积分-微分(PID)控制循环。仅出于说明的目的,PID控制循环实现一个或多个步骤以提供反馈。PID控制循环可包括读取传感器(例如,确定参数的测量值),然后通过计算对传感器读数的比例、积分和微分响应来计算输出。累积响应以生成输出。该输出可以用于确定致动器响应。
在一个实施方案中,传送到第一子***的反馈控制信号基于由第二子***控制的至少一个参数的控制信号和/或测量值。例如,控制信号可以是传送到作为第一子***的RF发生器的RF功率控制信号。在另一示例中,控制信号基于等离子体处理模块内的第一气体化学物质和第二气体化学物质的测量值,其中,当执行处理步骤中的至少一个时,使用第一气体化学物质和第二气体化学物质。另外,第一气体化学物质和第二气体化学物质由作为第二子***的一个或多个气体供应歧管控制。在一些实施方案中,控制信号可以是初始控制信号。
图4A根据本公开的一个实施方案示出了通信***中的主时钟的一个或多个时钟周期,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步,其中在处理步骤之间的过渡期间,在一个时钟周期内执行反馈循环,反馈循环包括由图4A的通信***的分布式时钟模块或分布式控制器从多个子***传送和接收同步控制信号。在实施方案中,在处理步骤之间过渡时执行的如图4A所示的反馈循环可以在图2A、2B和3的通信***200A、200B和300内实现。
特别地,在通信***内执行多个处理步骤450。例如,处理步骤450可以包括处理步骤1(451)和处理步骤2(452),其中每个处理步骤都包括步骤时段。仅出于说明目的,示例性步骤时段可以是1秒。可以重复处理步骤1(451)和处理步骤2(452),直到完成制造处理为止。例如,处理步骤1可以是激活步骤,而处理步骤2可以是沉积步骤,两者均在准ALD处理期间执行。
显示了向处理步骤1(451)和处理步骤2(452)中的每一个的过渡460。如先前所介绍的,本公开的实施方案在步骤时段的片段内提供向处理步骤的过渡。这样,对于每个过渡460,在步骤时段的片段内实现了向处理步骤1或处理步骤2的过渡,以使得通信***的每个子***已经实现了其相应的响应时间。在一实施方案中,步骤时段包括100个片段。在其他实施方案中,步骤时段包括超过100个的片段,或不到100个的片段。在一个实施方案中,对于100个片段的步骤时段,单个片段为或小于步骤时段的百分之一,从而在步骤时段的百分之一以内实现过渡。通过改变步骤时段中的片段数量,可以实现用于执行处理步骤之间的过渡的其他百分比。在一个实施方案中,该片段是步骤时段的百分之五或更少。在其他实施方案中,该片段是步骤时段的百分之十或更少。在其他实施方案中,通过改变步骤时段来实现控制。
在图4A中示出了向处理步骤2(452)的过渡460中的一个的详细图示。特别地,基于控制要求确定时钟周期的预定数量。例如,控制要求可以包括要在一个步骤时段的片段470内执行的10个反馈循环,其中该步骤时段包括100个片段。在其他示例中,控制要求可以包括较少数量的反馈循环(例如6个),或者较大数量的反馈循环。如图4A所示,需要在处理步骤2的步骤时段的片段470内执行十个反馈循环480。特别地,处理步骤2的片段470的持续时间为10ms,或一秒的步骤时段的百分之一。与时间线490相比示出了片段470,其中图4A中的时间线490示出了处理步骤2(452)的启动,以及在过渡期间执行的10个反馈循环480。
因为每个时钟周期具有与反馈循环预相关的持续时间,并且步骤时段的片段的时间段是已知的,所以主时钟455的时钟速度是已知的。如图4A所示,反馈循环与时钟周期预相关,并且反馈循环具有1ms的持续时间(例如,在一秒的步骤时段的10ms片段470内的10个反馈循环)。在时钟周期为1ms的情况下,时钟速度为1kHz(每秒一千个周期)。
图4B是根据本公开的一个实施方案的在图4A中介绍的处理步骤之间的过渡460的另一图示,其相对于由RF功率信号420表示的RF功率的施加而示出,其中RF功率信号(例如,参数)由RF发生器子***控制。处理步骤2(452)被示出,并位于第一处理步骤1(451)之后且在第二处理步骤1之前。
处理步骤2(452)与在通信***中存在的主时钟的时钟周期对准,该通信***被配置为用于使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步。处理步骤2具有1秒的步骤时段。因为步骤时段有100个片段,所以有一百个片段,每个片段具有10ms的持续时间。
另外,在处理步骤之间的过渡460(例如,过渡到处理步骤2)期间,在预定数量的时钟周期内执行了相应数量的反馈循环。而且,时钟周期的预定数量被限制为步骤时段的片段。这样,对于相应数量的反馈循环和预定数量的时钟周期(均等于10),在主时钟455的1ms的时钟周期内执行反馈循环。
处理步骤2可以被定义为具有在该步骤时段(例如1秒)内执行的100个反馈循环。处理步骤2可以包括在主时钟455的第一时钟周期(例如,反馈循环或周期1)或过渡460的第一毫秒内执行的设置反馈循环或周期430。设置反馈循环被配置用于设置多个子***的一个或多个控制参数。例如,设置反馈循环可以用于设置RF发生器子***的RF功率(例如,幅值)。
因为在一个时钟周期内可能无法实现对一个或多个相应子***的控制,所以将多个时钟周期分配给在步骤时段的片段内发生的过渡时段。这样,除了设置反馈循环430之外,处理步骤2还可以包括多个调整反馈循环431,以执行对相应的子***的反馈受控调谐。每个调整反馈循环在主时钟的另一个时钟周期内执行,其中,在设置反馈循环430之后执行调整反馈循环。如图所示,调整反馈循环431包括在过渡460的第二个毫秒和第十个毫秒之间执行的反馈循环或周期2-10。另外,每个调整反馈循环431被配置用于验证一个或多个控制参数的设置和/或用于调整一个或多个控制参数的设置。到过渡460结束时,已经执行了所需数量和相应数量的反馈循环,此后,针对相应的子***中的每个子***,向处理步骤2的过渡完成并且实现。
此外,可以在步骤时段内的多个调整反馈循环之后执行多个监测反馈循环432。如图所示,监测反馈循环432包括在步骤时段的第十一毫秒与第一百毫秒之间执行的反馈循环或周期11-100。每个监测反馈循环431被配置用于验证一个或多个控制参数的设置。如有必要,可以在监测反馈循环431期间对设置进行进一步的调整。
另外,由于通过主时钟实现了对耦合至处理模块的子***的精确相位和同步控制,因此可以在较短的步骤时段(例如,不到一秒的步骤时段)内控制等离子体处理,以具有更大的处理功能(例如在为准ALD和准ALE处理实现复杂的配方调整算法时)。例如,在一个或多个监测反馈循环432期间,附加或配方子步骤可被包括在整个步骤时段内。在一个实施方案中,可在整个步骤时段的片段的过渡时段内执行对子步骤的控制,以在类似执行的处理步骤之间实现均匀性和可重复性。
通过对通信***200A、200B和300的各个模块的详细描述,流程图500公开了根据本公开的一个实施方案的用于在等离子体处理模块的子***之间同步控制信号的方法。在实施方案中,流程图500在以上引用的通信***内实现。
在510处,该方法包括由分布式控制器启动多个处理步骤。处理步骤由耦合到处理模块的多个子***执行,其中每个处理步骤都具有步骤时段。子***由同步的控制信号控制,其中,通过独立的分布式控制器或通过最初从单独的分布式控制器接收控制信号的分布式时钟模块使控制信号同步并将其传送给子***。每个子***具有相应的子***响应时间,在向处理步骤的过渡过程中,可以在该响应时间内实现对相应子***的控制。
在一个实施方案中,在流程图500中执行的方法被实现为在多个处理步骤中以原子层精度执行沉积处理,而没有自限反应,例如在准ALD处理中,处理步骤包括激活步骤和沉积步骤。在另一个实施方案中,在流程图500中执行的方法被实现为在多个处理步骤中以原子层精度执行蚀刻处理而没有自限反应,所述处理步骤包括激活步骤和蚀刻步骤。
在520处,该方法包括配置主时钟,该主时钟具有包括多个时钟周期的时钟速度。主时钟用于使控制信号同步,并且因此位于独立的分布式控制器或分布式时钟模块中。主时钟的每个时钟周期都具有与反馈循环预相关的持续时间。在反馈循环和时钟周期内,通过分布式控制器将同步的控制信号传送到多个子***以及从多个子***接收同步的控制信号。
在530处,该方法包括分配预定数量的时钟周期,以执行相应数量的反馈循环,从而在处理步骤之间过渡。此外,一个反馈循环被定义为在主时钟的一个时钟周期内执行。如前所述,执行反馈循环包括:测量由相应子***控制的参数,处理所测量的参数,基于处理后的测量值确定变化,以及通过在主时钟的时钟速度的一个周期内向相应子***传送相应的控制信号来应用该变化。在一个实施方案中,反馈循环包括在PID循环中执行的步骤。
另外,在540处,该方法包括将时钟周期的预定数量限制为步骤时段的片段,其中步骤时段包括一百个片段。即,步骤时段的片段限定过渡时段,在该过渡时段内,在向相应的处理步骤过渡期间,实现了针对每个子***的控制信号。在一个实施方案中,该片段是步骤时段的百分之一或更少。在另一个实施方案中,该片段是步骤时段的百分之五或更少。在又一个实施方案中,该片段为步骤时段的10%或更少。
此外,一旦确定了步骤时段的片段、时钟周期的预定数量以及反馈循环的相应数量,就可以确定主时钟的时钟速度。同样,可以确定步骤时段的时钟周期的数量。这样,当执行用于在处理步骤之间过渡的相应数量的反馈循环时,该方法包括执行设置反馈循环,其中,设置反馈循环在主时钟的第一时钟周期内执行。设置反馈循环被配置用于设置多个子***的一个或多个控制参数。另外,该方法包括执行多个调整反馈循环。每个调整反馈循环都在主时钟的另一个时钟周期内执行,并在设置反馈循环之后执行。如前所述,每个调整反馈循环被配置用于验证一个或多个控制参数的设置以及用于调整一个或多个控制参数的设置。
在一个实施方案中,该方法包括基于对由第二子***控制的至少一个参数的测量来生成传送至第一子***的控制信号。控制信号可以是在向处理步骤过渡时使用的反馈控制信号或初始控制信号。例如,控制信号可以是传送到作为第一子***的RF发生器的RF功率控制信号。特别地,控制信号基于对等离子体处理模块内的第一气体化学物质和第二气体化学物质的测量,其中当执行至少一个处理步骤时使用第一气体化学物质和第二气体化学物质。另外,第一气体化学物质和第二气体化学物质由作为第二子***的一个或多个气体供应歧管控制。在一些实施方案中,控制信号可以是初始控制信号。
图6A-6F根据本公开的实施方案示出了在通信***中以高分辨率同步对RF功率和气体传送的控制的能力,该通信***被配置为使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步。在图6A-6E中的每一个中,线610示出了步骤边界,并且表示气体化学物质从第一化学物质改变为第二化学物质的点,例如当从第一配方步骤(第一处理步骤)改变为第二配方步骤(第二处理步骤)时。即,第一配方步骤在线610的左侧,而第二配方步骤在线610的右侧。在图6A-6E的曲线图中的每一个中,参考沿其各自的x轴的时间线突出显示了第一配方步骤到第二配方步骤之间的过渡,该x轴示出了十分之一秒的增量。y轴显示相关气体化学物质的发射强度。
图6A根据本公开的一个实施方案示出了曲线图600A,其示出了气体化学物质与具有0ms相位延迟的RF功率的施加之间的关系。例如,线630示出了第一气体化学物质在相应处理模块内从高浓度移动到低浓度时的测量结果。在一个实施方式中,线630表示第一气体化学物质的测得的发射强度。随着第一气体化学物质的浓度降低,线630的所得发射强度也将降低。
适当的RF功率的施加对第一气体化学物质的发射强度有影响。线635表示RF功率的施加方面的变化。在图6A中,RF功率没有相位延迟。特别地,线610表示RF功率变化的点。即,在线635与线610相交(0相位延迟)的位置,RF功率的变化也被启动(例如,从第一电平到第二电平)。在一个实施方式中,RF功率的变化从低到高,或从高到低。对气体和RF功率的控制是可能的,因为当使用主时钟使控制信号同步时,实现抖动的减少,该主时钟具有与一个或多个反馈循环绑定的足够的且所需的速度。如图所示,第一气体化学物质的发射强度随RF功率电平的变化而降低,并在施加RF功率后1到3ms后稳定到最小浓度。
图6B根据本公开的一个实施方案示出了曲线图600B,其示出了气体化学物质与具有50ms相位延迟的RF功率的施加之间的关系。例如,线640示出了第一气体化学物质在相应处理模块内从高浓度移动到低浓度时的测量结果。在一个实施方案中,线640表示第一气体化学物质的测得的发射强度。随着第一气体化学物质的浓度降低,线640的所得发射强度也将降低。
适当的RF功率的施加对发射强度有影响。线645表示RF功率的施加方面的变化。在曲线图600B中,RF功率具有50ms的相位延迟。特别地,线612表示RF功率变化的点。即,在线645与线612相交(50ms的相位延迟)的位置,RF功率的变化也被启动(例如,从第一电平到第二电平)。在一个实施方案中,RF功率的变化从低到高,或从高到低。对气体和RF功率的控制是可能的,因为当使用主时钟使控制信号同步时,实现抖动的减少,该主时钟具有与一个或多个反馈循环绑定的足够的且所需的速度。如图所示,第一气体化学物质的发射强度随RF功率电平的变化而降低,并在线612处在施加RF功率后1到3ms后稳定到最小浓度。
图6C根据本公开的一个实施方案示出了曲线图600C,其示出了气体化学物质与具有100ms相位延迟的RF功率的施加之间的关系。例如,线650示出了第一气体化学物质在相应处理模块内从高浓度移动到低浓度时的测量结果。在一个实施方案中,线650表示第一气体化学物质的测得的发射强度。随着第一气体化学物质的浓度降低,线650的所得发射强度也将降低。
适当的RF功率的施加对第一气体化学物质的发射强度有影响。线655表示RF功率的施加方面的变化。在曲线图600C中,RF功率具有100ms的相位延迟。特别地,线614表示RF功率变化的点。即,在线655与线614相交(100ms的相位延迟)的位置,RF功率的变化也被启动(例如,从第一电平到第二电平)。在一个实施方案中,RF功率的变化从低到高,或从高到低。对气体和RF功率的控制是可能的,因为当使用主时钟使控制信号同步时,实现抖动的减少,该主时钟具有与一个或多个反馈循环绑定的足够的且所需的速度。如图所示,第一气体化学物质的发射强度随RF功率电平的变化而降低,并在线614处在施加RF功率后1到3ms后稳定到最小浓度。
图6D根据本公开的一个实施方案示出了曲线图600D,其示出了气体化学物质与具有200ms相位延迟的RF功率的施加之间的关系。例如,线660示出了第一气体化学物质在相应等离子体处理模块内从高浓度移动到低浓度时的测量结果。在一个实施方案中,线660表示第一气体化学物质的测得的发射强度。随着第一气体化学物质的浓度降低,线660的所得发射强度也将降低。
适当的RF功率的施加对第一气体化学物质的发射强度有影响。线665表示RF功率的施加方面的变化。在曲线图600D中,RF功率具有200ms的相位延迟。特别地,线616表示RF功率变化的点。即,在线665与线616相交(200ms的相位延迟)的位置,RF功率的变化也被启动(例如,从第一电平到第二电平)。在一个实施方案中,RF功率的变化从低到高,或从高到低。对气体和RF功率的控制是可能的,因为当使用主时钟使控制信号同步时,实现抖动的减少,该主时钟具有与一个或多个反馈循环绑定的足够的且所需的速度。如图所示,第一气体化学物质的发射强度随RF功率电平的变化而降低,并在线616处在施加RF功率后1到3ms后稳定到最小浓度。
图6E根据本公开的一个实施方案示出了曲线图600E,其示出了气体化学物质与具有400ms相位延迟的RF功率的施加之间的关系。例如,线670示出了第一气体化学物质在相应处理模块内从高浓度移动到低浓度时的测量结果。在一个实施方案中,线670表示第一气体化学物质的测得的发射强度。随着第一气体化学物质的浓度降低,线670的所得发射强度也将降低。
适当的RF功率的施加对第一气体化学物质的发射强度有影响。线675表示RF功率的施加方面的变化。在曲线图600E中,RF功率具有400ms的相位延迟。特别地,线618表示RF功率变化的点。即,在线675与线618相交(400ms的相位延迟)的位置,RF功率的变化也被启动(例如,从第一电平到第二电平)。在一个实施方案中,RF功率的变化从低到高,或从高到低。对气体和RF功率的控制是可能的,因为当使用主时钟使控制信号同步时,实现抖动的减少,该主时钟具有与一个或多个反馈循环绑定的足够的且所需的速度。如图所示,第一气体化学物质的发射强度随RF功率电平的变化而降低,并在线618处在施加RF功率后1到3ms后稳定到最小浓度。
图6F根据本公开的一个实施方案示出了曲线图600F,其示出了气体化学物质与具有800ms相位延迟的RF功率的施加之间的关系。例如,线680示出了第一气体化学物质在相应处理模块内从高浓度移动到低浓度时的测量结果。在一个实施方案中,线680表示第一气体化学物质的测得的发射强度。随着第一气体化学物质的浓度降低,线680的所得发射强度也将降低。
适当的RF功率的施加对第一气体化学物质的发射强度有影响。线685表示RF功率的施加方面的变化。在曲线图600F中,RF功率具有800ms的相位延迟。特别地,线620表示RF功率变化的点。即,在线685与线620相交(800ms的相位延迟)的位置,RF功率的变化也被启动(例如,从第一电平到第二电平)。在一个实施方案中,RF功率的变化从低到高,或从高到低。对气体和RF功率的控制是可能的,因为当使用主时钟使控制信号同步时,实现抖动的减少,该主时钟具有与一个或多个反馈循环绑定的足够的且所需的速度。如图所示,第一气体化学物质的发射强度随RF功率电平的变化而降低,并在线620处在施加RF功率后1到3ms后稳定到最小浓度。
图7A是曲线图700A,其示出了两种水平的具有低分辨率的RF功率和气体传送在通信***中的应用,该通信***用于将控制信号传送至处理模块的子***。在曲线图700A中,用于子***(例如,RF发生器和气体供应歧管等)的控制信号未同步,因为曲线图700A示出在没有任何反馈/主动反馈控制的情况下RF功率和气体传送的应用。在曲线图700A中,y轴701表示RF功率,并且x轴705表示时间。步骤边界线731表示在标记为步骤B 742的一般处理步骤到标记为步骤A 741的第二处理步骤之间的过渡。仅出于说明目的,步骤B 742可以是激活步骤,而步骤A 741可以是沉积步骤。步骤边界线732表示在标记为步骤A 741的一般处理步骤到标记为步骤B 742的另一处理步骤之间的过渡。步骤边界线733指示在标记为步骤B 742的一般处理步骤到另一一般处理步骤(未示出,例如步骤A 741)之间的过渡。处理步骤A 741和处理步骤B 742(例如,激活步骤和边界步骤)是可重复的。线720表示RF功率强度,并且在相应的处理步骤中以高电平和低电平施加,如将在下面所述的。线720分成多个段(例如720A-720C)。由于没有采用主时钟来同步并提供1ms的控制信号反馈,因此具有与通信子***相关的大的等待时间和抖动影响。线710表示气体化学物质X的浓度。例如,在步骤A 741是沉积步骤的情况下,气体化学物质X可以是四氟甲烷(CF4)。线710分成多个段(例如710A-710E)。
在边界步骤线731的左侧,在处理模块中执行步骤B 742。如线段720A所示,以高电平施加RF功率。处理模块中气体的浓度主要是气体化学物质Y的。例如,在步骤B 742是激活步骤的情况下,气体化学物质Y可以是氩气(Ar)。即,如线段710A所示,气体化学物质X的浓度低。
处理步骤A 741(例如,沉积)在边界步骤线731处开始,其中实现了气体化学物质的变化(例如,气体化学物质X的引入)。如在整个处理步骤A 741中由线段720B所表示的那样,以低电平施加RF功率,即使在整个处理模块中气体化学物质可能不是最佳的。由延迟时段(t-gas delay712)来表示新气体的引入的变化的延迟。如线段710B中所示,新的气体化学物X(例如,CF4)在气体渐变(ramp)时间(t-gas ramp714)内逐渐上升到高浓度,线段710B表示气体(气体化学物质X和气体化学物质Y)的混合物。气体化学物质X在线段710C处达到最大浓度,同时仍在处理模块中进行处理步骤A 741。
处理步骤B 742(例如,激活)在边界步骤线732处开始,其中再次实现气体化学物质的变化(例如,引入气体化学物质Y)。即使在处理模块内气体化学物质可能不是最佳的,在整个处理步骤B 742中也以高电平施加RF功率,如线段720C所示。新的气体化学物质Y(例如,氩气)在处理模块中逐渐升高到高浓度,这通过气体化学物质X浓度的降低来表示,如虚线段710D上的值逐渐减小所表示的,虚线段710D表示气体(气体化学物质X和气体化学物质Y)的混合物。气体化学物质Y在线段710E中达到其最大浓度,同时仍在处理模块中进行处理步骤B 742。
图7B根据本公开的一个实施方案示出了在控制等离子体处理模块的子***的通信***中,关于所需气体混合物的等待时间和延迟时段的影响。本公开的实施方案能够减少通信***中的等待时间和延迟的影响,该通信***被配置为使传送到处理模块的子***和从处理模块的子***接收的控制信号同步。在这种情况下,理想地,可以在点759处重复地执行RF功率的施加,所述点指示一种或多种气体的期望浓度。曲线700B包括示出气体化学物质X的浓度的y轴757B和示出时间的x轴757A。
线750表示在向处理步骤(例如,激活或沉积)过渡期间的气体化学物X的浓度。线758B表示处理步骤之间的步骤边界。为了施加相应的RF功率,期望在点759处的气体化学物质X的浓度。这是所期望的化学物质的比率(例如,气体X比气体Y)。为了实现高可重复性并因此实现同一处理步骤的实现之间的均匀性,必须减少等待时间和抖动,以便可以在点759处施加相应的RF功率(例如,等待时间为1ms,抖动为+/-1ms)。
在没有本公开的实施方案的分布式控制器的情况下,引入了抖动和等待时间。也就是说,RF功率的施加可以发生在点759之前或之后。例如,点755表示在RF功率的施加与点759处的期望气体浓度有50ms的延迟,其中该延迟是由于抖动和/或等待时间导致的。在点755处,化学物质的比率(例如,气体X比气体Y)可以通过两个面积(例如,1-在线750上方并由线758B和755B界定的面积,以及2-在线750下方并由线758A和755A界定的面积)的比率给出。该比率可能不是理想的,因为线750在点751附近(尤其是在点752和755之间)经历了指数式增长,因此比率在这些点之间变化很大。
本发明的实施方案对附接到分布式控制器的任何子***提供反馈/主动反馈控制。例如,一个子***被配置为提供RF功率和/或气体传送。作为对子***提供反馈/主动反馈的图示,图7C是根据本公开的一个实施方案的曲线图700C,其图示了在通信***中RF功率和气体传送的施加,该通信***被配置为使向等离子体处理模块的子***传送的控制信号和从等离子体处理模块的子***接收的控制信号同步,其中由第一子***控制的RF功率可以在考虑由第二子***控制的气体化学物质比率的情况下施加,并且其中使用具有时钟周期的主时钟使控制信号同步,在该时钟周期内执行反馈循环,从而允许线性和非线性(即,任意函数形式)施加RF功率。特别地,使用先前介绍的主时钟在曲线图700C中使针对子***(例如,RF发生器和气体供应歧管等)的控制信号同步。在曲线图700C中,y轴701表示RF功率,而x轴705表示时间。步骤边界线731表示在标记为步骤B 742的一般处理步骤与标记为步骤A 741的第二处理步骤之间的过渡。仅出于说明目的,步骤B742可以是激活步骤,而步骤A 741可以是沉积步骤。步骤边界线732指示一般处理步骤A 741到另一一般处理步骤B742之间的过渡。步骤边界线733表示处理步骤B 742到处理步骤A 741之间的过渡(未示出)。处理步骤A 741和处理步骤B 742(例如,激活步骤和边界步骤)是可重复的。
如曲线图700C所示,通信***被配置为实时测量气体延迟时间(t-gas delay)和气体渐变时间(t-gas ramp),并确定(例如,在两种气体化学物质之间)阈值化学物质的比率。基于先前的信息,通信***被配置为调整RF功率的施加的相位延迟和幅值(RF-hold)并在处理步骤B 742期间(例如当在线段770C、770D和770E期间施加RF功率时)调整RF施加渐变时间。可以使用相同或相似的处理来确定在处理步骤A 741期间的RF功率施加的相位延迟和幅值。
线770表示RF功率强度,并且在重复的处理步骤中以高电平和低电平之间的各种电平施加。也就是说,由于(例如在实现准ALD和准ALE处理时)对耦合到处理模块的子***的精确相位和同步控制,RF功率强度可以在整个处理步骤中根据处理模型进行调制或改变。线770分成多个段(例如770A-770E)。由于使用主时钟和/或分布式控制器来同步并提供1ms的控制信号反馈,因此减少了与通信子***相关的等待时间和抖动影响。
线760表示气体化学物质X的浓度。例如,在步骤A 741是沉积步骤的情况下,气体化学物质X可以是四氟甲烷(CF4)。线760分成多个段(例如760A-760D)。尽管未必示出,但是由于(例如在实施准ALD和准ALE处理时)对耦合到处理模块的子***的精确相位和同步控制,因此可以在整个处理步骤中根据处理模型来调制或改变气体浓度,如下文将在图8中描述的。
在边界步骤线731的左侧,在处理模块中执行处理步骤B742。如线段770A所示,以高电平施加RF功率。刚好在线731的左侧,在处理模块内的气体化学物质Y的浓度处于其最高水平。例如,在步骤B 742是激活步骤的情况下,气体化学物质Y可以是氩气(Ar)。即,如线段760A所示,气体化学物质X的浓度是低的。
处理步骤A(例如,沉积)在边界步骤线731开始,其中实现了气体化学物质的改变(例如,引入气体化学物质X)。线731和731-A之间的延迟时段(t-gas delay712)表示引入新气体的变化的延迟。如线731-A和731-B之间的线段710B中所示,新的气体化学物质X(例如CF4)在气体渐变时间(t-gas ramp714)内上升到高浓度,线段710B表示气体(气体化学物质X和气体化学物质Y)的混合物。气体化学物质X在线731B处的线段760C中达到其最大浓度,同时仍在处理模块中进行处理步骤A 741。
RF功率的施加可以与处理模块内的气体浓度匹配。即,随着气体混合物在线段760B内变化,可以施加RF功率以匹配气体混合物(例如,线性或非线性施加RF功率)。为了简化附图,如线段770B所示,在功率渐变时间714期间以中等水平施加RF功率,但是可以采取任何函数形式。特别地,在线731和731-A之间的RF时间或相位延迟(t-RF delay 722)之后,线段770B开始于线731-A处。也就是说,RF功率的施加与气体渐变时间714的开始相匹配。以这种方式,可以以适当的电平施加RF功率以匹配在处理模块中发现的气体化学物质。
此外,线731-B指示气体渐变时间714的结束,其中气体化学物质X(例如CF4)在线段760C中达到其最大浓度。在处理步骤A(例如,沉积)期间,可以进一步调制RF功率以匹配在处理模块中存在的气体化学物质。即,如线段770C所示,以最低电平施加RF功率,同时气体化学物质X的浓度保持最高。
如上所述,处理步骤B 742(例如,激活)在边界步骤线732处开始,其中再次实现气体化学物质的变化(例如,引入气体化学物质Y)。将RF功率电平(低)保持在线段770C中,稍微通过处理步骤B 742,超出步骤边界732到达线732-A,这是因为由于气体化学物质变化的延迟,气体化学物X保持在高浓度,如延伸超出线732的线段760C所指示的。也就是说,可以根据处理模型在每个处理步骤中对RF功率进行调制。
新气体化学物Y(例如,氩气)在处理模块中升高到高浓度,如气体化学物X的浓度降低所表示的,气体化学物X的浓度降低如线段760D上的值逐渐降低所表示的,线段760D表示气体的混合物(气体化学X和气体化学Y)。
RF功率的施加可以与处理模块内的气体浓度匹配。即,随着气体混合物在线段760D内变化,可以施加RF功率以匹配气体混合物(例如,线性或非线性施加RF功率)。例如,在一个实施方案中,可以使用明确限定的渐变(例如,在限定意指气体(fas),可重复关断和开启RF电源的情况下),基于前述步骤的输入参数的反馈值,或者基于从子***传感器的每个时钟周期回读的输入参数而主动提供的反馈,将RF功率施加于处理步骤(例如,步骤A和/或步骤B)。如图所示,如线段770D所示,RF功率作为渐变的递增的RF功率施加。特别地,线段770D在线732和732-A之间的RF时间或相位延迟(t-RF delay724)之后在线732-A处开始。即,如线732-A所示,RF功率的施加与气体化学物质的变化匹配。以这种方式,可以以适当的电平施加RF功率以匹配在处理模块中存在的气体化学物质。
气体化学物质Y在线732-B处的气体渐变末端、在线段760E中达到其最大浓度,同时仍在处理模块中进行处理步骤B 742。如线段770E所示,以其最高电平施加RF功率,以匹配处理模块中气体的浓度(例如,氩气的高浓度)。
图8是根据本公开的一个实施方案的图形800,其示出了在一时间段内不同气体化学物质的比率的施加,其中,在通信***内控制气体化学物质,该通信***被配置为用于使传送到等离子体处理模块的子***和从等离子体处理模块的子***接收的控制信号同步。图形800C相对于时间的x轴801示出了在t-0和t-1之间的时间段(T)。因为本公开的实施方案能够对耦合至处理模块的子***执行精确的相位和同步控制,所以这使得(例如当为准ALD和准ALE处理实现复杂的配方调整谐算法(例如,RF功率调制和相位延迟以及气体化学物质调制和相位延迟)时)短的步骤时段(例如,不到一秒)能够实现更大的处理能力。
曲线图810示出了在时间段(T)内气体1和气体2之间的标准瞬态气体交换曲线(即,气体混合物),其基本上是处于气体之间的一比一的比率(例如9x比9x)。标准气体混合物从气箱中接收,并传送到气阀以进入处理室。
曲线图820示出了瞬态的、密度受控的气体交换曲线(例如,如通过质量流量控制器-MFC所实现的),其中在整个曲线图820中的时间段(T)内的化学物质的比率类似于在曲线图810中的化学物质的比率(即,气体之间的一比一的比率)。例如,在可重复的时间段820A中,气体1比气体2的比率为二比一,其中气体1是气体2浓度的两倍。在可重复的时间段820B中,气体1比气体2的比率是一比二,其中气体2的浓度是气体1的两倍。在整个时间段(T)中,气体之间的比率为一比一(例如9x比9x),因为时间段820A和时间段820B的数量相等。
图9示出了用于控制上述子***的分布式控制器220。在一个实施方案中,图1、2A-2B和3的分布式控制器220、220A和220B可以包括图9所示的分布式控制器的示例性部件中的一些。例如,分布式控制器220可以包括处理器、存储器和一个或多个接口。分布式控制器220可以被用于部分地基于感测到的值来控制***中的装置。仅举例而言,分布式控制器220可以基于感测到的值和其他控制参数来控制阀902、过滤器加热器904、泵906和其他装置908中的一个或多个。分布式控制器220从(仅举例而言)压力计910、流量计912、温度传感器914和/或其他传感器916接收感测到的值。分布式控制器220还可用于在前体传送和膜沉积期间控制处理条件。分布式控制器220通常将包括一个或多个存储器设备和一个或多个处理器。
分布式控制器220可控制前体传送***和沉积装置的活动。分布式控制器220执行计算机程序,计算机程序包括用于控制工艺时序、输送***温度、以及跨过滤器的压差、阀位、气体的混合物、室压力、室温度、衬底温度、RF功率电平、衬底卡盘或基座位置、以及特定工艺的其它参数的成组的指令。分布式控制器220还可以监测压力差,并自动将气相前体传送从一个或多个路径切换到一个或多个其它的路径。在一些实施方案中,可以使用存储在与分布式控制器220相关联的存储器设备的其它计算机程序。
典型地,将存在与分布式控制器220相关联的用户界面。用户界面可以包括显示器918(例如,装置和/或工艺条件的显示屏和/或图形软件显示),以及用户输入装置920,如定点装置、键盘、触摸屏、麦克风等。
用于控制前体的传送、沉积和工艺序列中的其它处理的计算机程序可以用例如任何以下常规的计算机可读编程语言写入:汇编语言、C、C++、Pascal、Fortran或其它。编译的对象代码或脚本由处理器执行以执行在程序中识别的任务。
控制模块参数涉及工艺条件,诸如例如,过滤器的压力差、工艺气体组成和流速、温度、压力、等离子体条件(如RF功率电平和低频RF频率)、冷却气体压力、以及室壁温度。
***软件可以以许多不同的方式设计或配置。例如,各种室部件子程序或控制对象可以被写入以控制进行本发明的沉积工艺所必需的室部件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、加热器控制代码和等离子体控制代码。
衬底定位程序可包括用于控制室部件的程序代码,室部件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件(例如气体入口和/或靶)之间的间距。工艺气体控制程序可包括用于控制气体组成和流速以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。过滤器监控程序包括比较测得的一个或多个差值与预定的一个或多个值的代码和/或用于切换路径的代码。压力控制程序可以包括用于通过调节例如在室的排气***中的节流阀来控制室中的压力的代码。加热器控制程序可包括用于控制通向加热单元的电流的代码,加热单元用于加热前体传送***内的部件、衬底和/或***的其它部分。替代地,加热器控制程序可控制传热气体(例如氦)到衬底卡盘的传送。
在沉积期间可被监测的传感器的示例包括,但不限于,质量流量控制模块,诸如压力计910之类的压力传感器,位于传送***、基座或卡盘内的热电偶(例如温度传感器914)。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用来维持所需的工艺条件。前述内容描述了本发明的实施方案在单室或多室半导体处理工具中的实施。
在一些实现方式中,控制器是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(衬底基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、衬底转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括呈存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令的微控制器(例如,软件)。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,单独设置(或程序文件)定义用于在半导体衬底或***上或针对半导体衬底或***执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机***的全部或一部分,其可以允许对衬底处理的远程访问。计算机可以实现对***的远程访问以监控制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括局域网或因特网)向***提供处理配方。
远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机传送到***。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,使得控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程定位(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制对该室的处理。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与下列中的一者或多者通信:其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具。
先前对实施方案的描述是为了说明和描述目的而提供。其不旨在穷举或限制本公开。特定实施方案的单个的元件或特征一般并不受限于该特定实施方案,而是即使未具体示出或描述,其在适用情况下也可互换且可用于选定的实施方案中。这同样可以以各种方式变化。这样的变化不被视为背离本公开,并且所有这样的修改也旨在包括在本公开的范围内。
虽然前述实施方案已为了清楚理解的目的而相当详细地进行了描述,但将显而易见的是,某些改变与修改可在随附的权利要求的范围内实施。因此,本发明实施方案应视为说明性的而非限制性的,且这些实施方案不应受限于本文中所提供的细节,而是可在其权利要求的范围及等同方案内进行修改。

Claims (30)

1.一种用于使在耦合到用于处理衬底的处理模块的多个子***之间的控制信号同步的通信***,其包括:
分布式控制器,其耦合到所述多个子***中的每个子***,并且被配置为启动多个处理步骤,所述处理步骤中的每一个具有步骤时段,每个步骤时段具有多个片段;和
分布式时钟模块,其包括主时钟,该主时钟具有包括多个时钟周期的时钟速度,每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环中,通过所述分布式时钟模块将同步的控制信号传送到所述多个子***以及从所述多个子***接收所述同步的控制信号,其中,所述分布式时钟模块被配置为分配预定数量的时钟周期,以执行相应数量的反馈循环,从而在处理步骤之间过渡,
其中,所述预定数量的时钟周期被限制为所述步骤时段的片段。
2.根据权利要求1所述的通信***,其中,要在两个处理步骤之间的过渡期间的所述预定数量的时钟周期内执行的相应数量的反馈循环包括:
设置反馈循环,所述设置反馈循环在所述主时钟的第一时钟周期内执行,所述设置反馈循环被配置为设置所述多个子***的一个或多个控制参数;和
多个调整反馈循环,每个调整反馈循环在所述主时钟的一个时钟周期内执行,并且在所述设置反馈循环之后执行,每个调整反馈循环被配置用于验证所述一个或多个控制参数的所述设置并用于调整所述一个或多个控制参数的所述设置。
3.根据权利要求2所述的通信***,其中,所述相应数量的反馈循环还包括:
多个监测反馈循环,其在所述步骤时段内在所述多个调整反馈循环之后执行。
4.根据权利要求1所述的通信***,其中,所述反馈循环包括:
测量由所述相应的子***控制的参数的第一步骤;
处理所测得的参数的第二步骤;
基于处理后的所测得的参数确定变化的第三步骤;以及
通过在所述主时钟的时钟速度的一个周期内向所述相应的子***传送相应的控制信号来施加所述变化的第四步骤。
5.根据权利要求1所述的通信***,其中,所述反馈循环包括比例-积分-微分(PID)控制循环。
6.根据权利要求1所述的通信***,其中,所述多个处理步骤以原子层精度进行沉积处理而没有自限反应,所述处理步骤包括:
激活步骤;和
沉积步骤。
7.根据权利要求1所述的通信***,其中,所述多个处理步骤以原子层精度进行蚀刻处理而没有自限反应,所述处理步骤包括:
激活步骤;和
蚀刻步骤。
8.根据权利要求1所述的通信***,其中,所述时钟速度基于将在所述步骤时段的所述片段期间执行的所述预定数量的时钟周期。
9.根据权利要求1所述的通信***,其中,所述时钟速度是通过执行一个反馈循环所需的时间的倒数来计算的。
10.根据权利要求1所述的通信***,其中,传送到第一子***的控制信号基于对由第二子***控制的至少一个参数的测量。
11.根据权利要求10所述的通信***,其中,所述控制信号是被传送到作为所述第一子***的至少一个RF发生器的RF功率控制信号,其中,所述控制信号基于对所述处理模块内的第一气体化学物质和第二气体化学物质的测量,所述第一气体化学物质和所述第二气体化学物质在执行所述多个处理步骤时使用,并由作为所述第二子***的至少一个气体供应歧管控制。
12.根据权利要求1所述的通信***,其中,所述分布式控制器按照通用模块欧罗巴(VME)标准或其衍生品中的一种进行操作。
13.根据权利要求1所述的通信***,其中,所述多个子***包括以下至少两个或更多个:
气体供应歧管;
RF发生器;
间隙控制器;
压力控制器;
传感器***;
偏置补偿;和
温度控制器。
14.根据权利要求1所述的通信***,其中,所述步骤时段包括一百个片段。
15.一种用于使在耦合到用于处理衬底的处理模块的多个子***之间的控制信号同步的通信***,其包括:
分布式控制器,其耦合到所述多个子***中的每个子***,并且被配置为启动多个处理步骤,所述处理步骤中的每一个具有步骤时段,每个步骤时段具有多个片段,所述分布式控制器包括主时钟,该主时钟具有包括多个时钟周期的时钟速度,每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环中,通过所述分布式控制器将同步的控制信号传送到所述多个子***以及从所述多个子***接收所述同步的控制信号,其中,所述分布式控制器被配置为分配预定数量的时钟周期,以执行相应数量的反馈循环,从而在处理步骤之间过渡,
其中,所述预定数量的时钟周期被限制为所述步骤时段的片段。
16.根据权利要求15所述的通信***,其中,要在两个处理步骤之间的过渡期间的所述预定数量的时钟周期内执行的相应数量的反馈循环包括:
设置反馈循环,所述设置反馈循环在所述主时钟的第一时钟周期内执行,所述设置反馈循环被配置为设置所述多个子***的一个或多个控制参数;和
多个调整反馈循环,每个调整反馈循环在所述主时钟的一个时钟周期内执行,并且在所述设置反馈循环之后执行,每个调整反馈循环被配置用于验证所述一个或多个控制参数的所述设置并用于调整所述一个或多个控制参数的所述设置。
17.根据权利要求15所述的通信***,其中,所述反馈循环包括:
测量由所述相应的子***控制的参数的第一步骤;
处理所测得的参数的第二步骤;
基于处理后的所测得的参数确定变化的第三步骤;以及
通过在所述主时钟的时钟速度的一个周期内向所述相应的子***传送相应的控制信号来施加所述变化的第四步骤。
18.根据权利要求15所述的通信***,其中,所述多个处理步骤以原子层精度进行沉积处理而没有自限反应,所述处理步骤包括:
激活步骤;和
沉积步骤。
19.根据权利要求15所述的通信***,其中,所述多个处理步骤以原子层精度进行蚀刻处理而没有自限反应,所述处理步骤包括:
激活步骤;和
蚀刻步骤。
20.根据权利要求15所述的通信***,其中,所述时钟速度基于将在所述步骤时段的所述片段期间执行的所述预定数量的时钟周期。
21.根据权利要求15所述的通信***,其中,传送到第一子***的控制信号基于对由第二子***控制的至少一个参数的测量。
22.根据权利要求15所述的***,其中,子***包括以下至少两个或更多个:
气体供应歧管;
RF发生器;
间隙控制器;
压力控制器;
传感器***
偏置补偿;和
温度控制器。
23.根据权利要求15所述的通信***,其中,所述步骤时段包括一百个片段。
24.一种用于使在耦合到用于处理衬底的处理模块的多个子***之间的控制信号同步的方法,其包括:
由分布式控制器启动多个处理步骤,所述处理步骤由所述多个子***执行并且由所述同步的控制信号控制,所述处理步骤中的每一个具有步骤时段,每个步骤时段具有多个片段;以及
配置主时钟,该主时钟具有包括多个时钟周期的时钟速度,每个时钟周期具有与反馈循环预相关的持续时间,在该反馈循环中,通过所述分布式控制器将所述同步的控制信号传送到所述多个子***以及从所述多个子***接收所述同步的控制信号;
分配预定数量的时钟周期,以执行相应数量的反馈循环以在处理步骤之间进行过渡;并且
将所述预定数量的时钟周期限制为所述步骤时段的片段。
25.根据权利要求24所述的方法,其中,所述执行相应数量的反馈循环以在处理步骤之间进行过渡包括:
执行设置反馈循环,所述设置反馈循环在所述主时钟的第一时钟周期内执行,所述设置反馈循环被配置为设置所述多个子***的一个或多个控制参数;以及
执行多个调整反馈循环,每个调整反馈循环在所述主时钟的一个时钟周期内执行,并且在所述设置反馈循环之后执行,每个调整反馈循环被配置用于验证所述一个或多个控制参数的所述设置并用于调整所述一个或多个控制参数的所述设置。
26.根据权利要求24所述的方法,其进一步包括执行所述反馈循环,其中所述执行包括:
测量由所述相应的子***控制的参数;
处理所测得的所述参数;
基于处理后的所测得的所述参数确定变化;以及
通过在所述主时钟的时钟速度的一个周期内向所述相应的子***传送相应的控制信号来施加所述变化。
27.根据权利要求24所述的方法,其还包括:
在所述多个处理步骤中以原子层精度进行沉积处理而没有自限反应,所述处理步骤包括激活步骤和沉积步骤。
28.根据权利要求24所述的方法,其还包括:
在所述多个处理步骤中以原子层精度进行蚀刻处理而没有自限反应,所述处理步骤包括激活步骤和蚀刻步骤。
29.根据权利要求24所述的方法,其还包括:
基于对由第二子***控制的至少一个参数的测量产生传送到第一子***的控制信号。
30.根据权利要求24所述的方法,其中所述步骤时段包括一百个片段。
CN201880087152.6A 2017-11-20 2018-11-07 处理模块子***的主动反馈控制 Pending CN111630469A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/818,590 US10366869B2 (en) 2017-11-20 2017-11-20 Active feedback control of subsystems of a process module
US15/818,590 2017-11-20
PCT/US2018/059703 WO2019099258A1 (en) 2017-11-20 2018-11-07 Active feedback control of subsystems of a process module

Publications (1)

Publication Number Publication Date
CN111630469A true CN111630469A (zh) 2020-09-04

Family

ID=66533292

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880087152.6A Pending CN111630469A (zh) 2017-11-20 2018-11-07 处理模块子***的主动反馈控制

Country Status (4)

Country Link
US (1) US10366869B2 (zh)
KR (2) KR102392734B1 (zh)
CN (1) CN111630469A (zh)
WO (1) WO2019099258A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102438864B1 (ko) * 2018-09-28 2022-08-31 램 리써치 코포레이션 플라즈마 챔버의 전극으로 전력 전달 최적화를 위한 방법들 및 시스템들
JP2023180573A (ja) * 2022-06-09 2023-12-21 東京エレクトロン株式会社 基板処理装置、制御システム、および制御方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3163128B2 (ja) 1991-08-28 2001-05-08 アジレント・テクノロジー株式会社 電子部品等試験装置および電子部品等試験方法
US6323714B1 (en) 2000-02-03 2001-11-27 Hewlett-Packard Company System and method for deskewing synchronous clocks in a very large scale integrated circuit
US7035755B2 (en) 2001-08-17 2006-04-25 Credence Systems Corporation Circuit testing with ring-connected test instrument modules
US7865756B2 (en) 2007-03-12 2011-01-04 Mosaid Technologies Incorporated Methods and apparatus for clock signal synchronization in a configuration of series-connected semiconductor devices
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
JP5362660B2 (ja) 2010-07-14 2013-12-11 本田技研工業株式会社 燃料噴射制御装置
US20140045489A1 (en) 2011-05-03 2014-02-13 Nicolas Josso Reference Clock Management
US8847495B2 (en) 2011-11-29 2014-09-30 Lam Research Corporation Movable grounding arrangements in a plasma processing chamber and methods therefor
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes

Also Published As

Publication number Publication date
KR102392734B1 (ko) 2022-04-28
KR20200079336A (ko) 2020-07-02
US20190157039A1 (en) 2019-05-23
KR20220058661A (ko) 2022-05-09
US10366869B2 (en) 2019-07-30
WO2019099258A1 (en) 2019-05-23
KR102650744B1 (ko) 2024-03-22

Similar Documents

Publication Publication Date Title
CN107564789B (zh) 用于基于rf功率的衬底支撑件前馈温度控制的***与方法
US11798785B2 (en) Systems for reverse pulsing
US10804079B2 (en) Active showerhead
KR102460602B1 (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
JP7027050B2 (ja) ギャップ充填時の蒸着およびエッチングのための装置および方法
KR102302800B1 (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
KR20230062519A (ko) 비말 동반된 증기를 측정하기 위한 시스템들 및 방법들
US10697059B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN107393799A (zh) 控制在连续波和脉冲等离子体之间转换的方法和装置
CN111630469A (zh) 处理模块子***的主动反馈控制
US10777386B2 (en) Methods for controlling plasma glow discharge in a plasma chamber
CN114600223A (zh) 在多站式集成电路制造室中的射频(rf)功率不均衡化
CN107017178B (zh) 用于低功率电压模式操作的周期平均的频率调谐
US20220190854A1 (en) Closed-loop multiple-output radio frequency (rf) matching
EP4373992A1 (en) Showerhead temperature based deposition time compensation for thickness trending in pecvd deposition system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200904

WD01 Invention patent application deemed withdrawn after publication