CN111295465B - 用于沉积含硅膜的组合物和方法 - Google Patents

用于沉积含硅膜的组合物和方法 Download PDF

Info

Publication number
CN111295465B
CN111295465B CN201880071503.4A CN201880071503A CN111295465B CN 111295465 B CN111295465 B CN 111295465B CN 201880071503 A CN201880071503 A CN 201880071503A CN 111295465 B CN111295465 B CN 111295465B
Authority
CN
China
Prior art keywords
plasma
reactor
silicon
branched
straight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880071503.4A
Other languages
English (en)
Other versions
CN111295465A (zh
Inventor
李明
雷新建
R·N·维蒂斯
R·G·里奇韦
萧满超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to CN202211551636.6A priority Critical patent/CN115992345A/zh
Publication of CN111295465A publication Critical patent/CN111295465A/zh
Application granted granted Critical
Publication of CN111295465B publication Critical patent/CN111295465B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/0803Compounds with Si-C or Si-Si linkages
    • C07F7/0805Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms
    • C07F7/0807Compounds with Si-C or Si-Si linkages comprising only Si, C or H atoms comprising Si as a ring atom
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述了在衬底的至少一个表面上形成包含硅和碳的介电膜的组合物和方法,所述方法包括将选自如本文定义的式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器:
Figure DDA0002476902880000011

Description

用于沉积含硅膜的组合物和方法
相关申请的交叉引用
本申请根据35U.S.C.§119(e)要求2017年9月14日提交的美国临时专利申请62/558,621号的优先权,其全部内容通过引用并入本文。
技术领域
本文描述了用于使用包含至少一种硅杂环烷烃的硅前体来沉积保形的、化学计量的或非化学计量的碳化硅或碳氮化硅膜的方法和组合物。更具体地,本文描述了用于沉积介电膜的使用硅杂环烷烃前体以及包含该前体的组合物的沉积工艺,例如但不限于,等离子体增强原子层沉积(“PEALD”)、等离子体增强循环化学气相沉积(“PECCVD”)和等离子体增强可流动气相沉积(“PEFCVD”)。
背景技术
低压化学气相沉积(LPCVD)工艺是半导体工业用于沉积氮化硅膜的更广泛接受的方法之一。使用氨的低压化学气相沉积(LPCVD)可能需要高于650℃的沉积温度以获得合理的生长速率和均匀度。通常采用较高的沉积温度以提供改善的膜性能。生长氮化硅的更常见的工业方法之一是通过使用硅烷、二氯硅烷和/或氨作为前体在高于750℃的温度下在热壁反应器中的低压化学气相沉积。然而,使用这个方法有几个缺点。例如,某些前体,如硅烷,是自燃性的。这可以在处理和使用中带来问题。而且,从二氯硅烷沉积的膜可含有某些杂质,例如氯和氯化铵,其在沉积工艺期间作为副产物形成。
美国专利号9,455,138公开了通过等离子体增强原子层沉积(PEALD)进行≥1个工艺循环在衬底上的沟槽中形成介电膜的方法,每个工艺循环包括(i)以脉冲进料含硅前体,(ii)在不存在含氮气体的情况下,以30-800sccm的流速供应含氢反应物气体,(ⅲ)供应稀有气体到反应空间,和(iv)在存在反应物气体和稀有气体的情况下和在反应空间中不存在任何前体的情况下施加RF功率而以小于1原子层厚度/循环的生长速率在衬底上形成构成介电膜的单层。
WO2012/039833A公开了用于在衬底上形成碳化硅的方法。描述了形成碳化硅的原子层沉积方法,其中式SinHaXb,其中n=1-5,a+b=2n+2,且X=F、Cl、Br、I的第一反应物气体;和式MR3-bYb,其中R为含烃取代基,Y为卤化物、氢化物或其他配体和b=1-3的第二反应物气体相继地沉积在衬底上,和然后暴露于等离子体。该过程可以重复多次以沉积多个碳化硅层。
美国专利号9,234,276公开了用于提供SiC膜的方法和***。可以在采用一种或多种具有≥1个Si-H键和/或Si-Si键的含硅前体的工艺条件下提供SiC层。含硅前体也可以具有≥1个Si-O键和/或Si-C键。处于基本上低能态的一种或多种自由基物质可以与含硅前体反应以形成SiC膜。该≥1种自由基物质可以在远程等离子体源中形成。
美国专利号8,846,536公开了沉积和改性可流动介电膜的方法。通过一种或多种集成工艺,该可流动介电膜的湿蚀刻速率可以改变至少10倍。
Auner,N.和Grobe,J.(1980)."Silaethenes.II.Preparation andcharacterization of 1,3-disilacyclobutanes."J.Organomet.Chem.188(2):151-177公开了3-二硅杂环丁烷I(R、R1=Cl、Me、Me2N、CH2:CH、Ph)(a)通过从氯甲基氯硅烷RR1Si(CH2Cl)Cl的环合成,(b)通过单硅杂环丁烷II的热解;和(c)通过在步骤(a)或(b)的程序获得的含SiCl的1,3-二硅杂环丁烷中用烷基取代氯来制备。比较了该等合成方法。
美国公开号2013/0217241公开了含Si-C-N的可流动层的沉积和处理。Si和C可以来自含Si-C的前体,而N可以来自含N的前体。初始的含Si-C-N的可流动层被处理以除去实现可流动性的组分。除去这些组分可提高蚀刻耐受性,减少收缩,调节膜张力和电性能。后处理可以是热退火、UV暴露或高密度等离子体。
美国专利号8,889,566公开了通过用局部等离子体激发硅前体并用第二等离子体进行沉积来沉积可流动膜的方法。硅前体可以是甲硅烷基胺、高级硅烷或卤代硅烷。第二反应物气体可以是NH3、N2、H2和/或O2
美国专利号7,825,040公开了通过引入烷氧基硅烷或氨基硅烷前体并通过等离子体反应沉积可流动含硅膜来填充间隙的方法。该前体不含Si-C键或C-C键。
美国专利号8,889,566、7,521,378和8,575,040描述了使用可流动化学气相沉积工艺作为气相聚合来沉积氧化硅膜的方法。化合物如三甲硅烷基胺(TSA)用于沉积含Si、H和N的低聚物,其然后使用臭氧暴露氧化为SiOx膜。
美国专利号8,846,536公开了沉积和改性可流动介电膜的方法。通过一种或多种集成工艺,可流动介电膜的湿蚀刻速率可以改变至少10倍。
因此,本领域需要提供用于沉积保形的高质量碳化硅或碳氮化硅膜的低温(例如,400℃或更低的工艺温度)方法,其中所述膜具有以下特征中的一项或多项:与使用其他沉积方法或前体的其他氮化硅膜相比,2.2克/立方厘米(g/cc)或更高的密度、低湿蚀刻速率(如在稀氢氟酸(HF)中测量的)及其组合。
先前描述的专利、专利申请和公开出版物的公开内容通过引用并入本文。
发明内容
本文描述了用于在衬底的至少一部分上形成包含化学计量的或非化学计量的碳化硅、碳氮化硅膜、碳氧化硅、碳氮氧化硅的介电膜的方法。
在一个方面,本文所述的硅前体包含选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体:
Figure BDA0002476902860000041
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基。
在另一个方面,提供了一种组合物,其包含:(a)选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体:
Figure BDA0002476902860000042
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基;和(b)溶剂。在式I的某些实施方式中,R1和R2各自为氢。在其他实施方式中,R1为氢。在本文所述的组合物的某些实施方式中,溶剂是选自醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合的至少一种。在某些实施方式中,硅杂环烷烃的沸点与溶剂的沸点的差异为40℃或更小。
在一个方面,提供了一种形成碳化硅膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure BDA0002476902860000051
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基,其中所述至少一种硅杂环烷烃前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用吹扫气体吹扫所述反应器;
d.将含等离子体的源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的碳化硅膜。
在另一个方面,提供了一种使用选自等离子体增强原子层沉积工艺或等离子体增强ALD样工艺或等离子体增强可流动化学气相沉积的沉积工艺形成包含硅和碳化物的介电膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自以下的至少一种硅杂环烷烃前体引入所述反应器中:1,3-二乙烯基-1,3-二硅杂环丁烷、1,3-二乙烯基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙烯基-1,3-二硅杂环丁烷、1,3,5-三乙烯基-1,3,5-三硅杂环己烷、1,3,5-三乙烯基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙烯基-1,3,5-三硅杂环己烷、1,3-二烯丙基-1,3-二硅杂环丁烷、1,3-二烯丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四烯丙基-1,3-二硅杂环丁烷、1,3,5-三烯丙基-1,3,5-三硅杂环己烷、1,3,5-三烯丙基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六烯丙基-1,3,5-三硅杂环己烷、1,3-二乙炔基-1,3-二硅杂环丁烷、1,3-二乙炔基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙炔基-1,3-二硅杂环丁烷、1,3,5-三乙炔基-1,3,5-三硅杂环己烷、1,3,5-三乙炔基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙炔基-1,3,5-三硅杂环己烷、1,3-二环丙基-1,3-二硅杂环丁烷、1,3-二环丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四环丙基-1,3-二硅杂环丁烷及其组合,其中所述至少一种硅杂环烷烃前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用包含选自氮气、稀有气体及其组合中的至少一种的吹扫气体吹扫所述反应器;
d.将含等离子体的源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的碳化硅膜。
在另一个方面,本发明涉及一种使用选自等离子体增强原子层沉积工艺、等离子体增强ALD样工艺和等离子体增强可流动化学气相沉积的至少一种沉积工艺在衬底的至少一个表面上形成包含硅、氮和碳的介电膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure BDA0002476902860000071
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基,其中所述至少一种硅杂环烷烃前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用包含选自氮气、稀有气体及其组合中的至少一种的吹扫气体吹扫所述反应器;
d.将包含氮源的等离子体引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的碳氮化硅膜。
本发明的进一步方面涉及通过任何前述方法或从任何前述组合物产生的含硅膜,其中所述碳化硅或碳氮化硅膜具有2.2g/cc或更高的密度。
本发明的各个方面可以单独使用或彼此以各种组合使用。
附图说明
图1是从根据实施例4的前体1,1,3,3-四乙烯基-1,3-二硅杂环丁烷沉积的PEFCVD膜的SEM显微图像。
具体实施方式
在低温(例如,400℃或更低的温度)下沉积保形的、化学计量和非化学计量的碳化硅或碳氮化硅膜(其满足被认为是高质量膜的一个或多个标准)是长期存在的行业挑战。在半导体领域中有多种应用需要高质量膜,例如高级图案化或间隔物。如果碳化硅膜或碳氮化硅膜具有以下特征中的一项或多项,则其被认为是“高质量”膜:与其他碳化硅或碳氮化硅膜相比,2.2克/立方厘米(g/cc)或更高(例如,约2.2至约3.0g/cc,约2.4至约3.0/cc,和在一些情况下约2.5至约2.8g/cc)的密度、低湿蚀刻速率(如根据下文更详细描述的方法在稀氢氟酸(在去离子水中的0.5重量%HF)测量的)及其组合。在这些或其他实施方式中,氮化硅膜的折射率如通过椭偏仪测量的应为1.9或更高(例如,约1.9至约2.4,约2.0至约2.4,和在一些情况下约2.0至约2.2)。
在一个方面,本文描述了用于沉积含硅膜的组合物,其中所述组合物包含:(a)选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体:
Figure BDA0002476902860000081
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基;和(b)任选地,至少一种溶剂。在本文所述的组合物的某些实施方式中,示例性溶剂包括但不限于醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合。在某些实施方式中,硅杂环烷烃的沸点与溶剂的沸点的差异为40℃或更小。硅杂环烷烃前体化合物在溶剂中的重量%可以在1至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%之间变化。在一些实施方式中,可以通过直接液体注射将组合物输送到用于含硅膜的反应室中。
在一个实施方式中,本文描述了在包含氮和任选地稀有气体的等离子体工艺中,使用具有本文所述的式IA或IB的硅杂环烷烃前体在低温或约25℃至约400℃范围内的一个或多个沉积温度下的原子层沉积(ALD)或ALD样方法。
本文描述了用于在衬底的至少一部分上形成包含硅和氮的化学计量的或非化学计量的碳化硅或碳氮化硅膜的方法。在某些实施方式中,碳化硅或碳氮化硅膜进一步包含氧,例如氮氧化硅膜。在这个或其他实施方式中,碳化硅膜包含氧和氮,例如通过XPS测量的具有0.1至30原子%范围的氧含量和0.1至40原子%范围的碳含量的碳氮氧化硅膜。
具有式IA或IB表示的结构的示例性硅杂环烷烃前体包括但不限于表1所示的以下前体。
表1.具有式IA或IB的前体
Figure BDA0002476902860000091
Figure BDA0002476902860000101
Figure BDA0002476902860000111
具有本文所述的式IA或IB表示的结构的硅杂环烷烃前体表现出反应性和稳定性的平衡,这使其理想地适合作为用于半导体器件制造工艺的PEALD或PECCVD或PEFCVD前体。关于反应性,某些前体可能具有太高的沸点(例如,高于约200℃),以至于不能汽化并输送到反应器以在衬底上沉积为膜。具有较高相对沸点的前体要求输送容器和管线需要在给定真空下在前体的沸点或更高温度下加热以防止在容器、管线或两者中冷凝或形成颗粒。重要地,具有式IA或IB表示的结构的硅杂环烷烃前体具有至少两个碳-碳双键或三键或者至少两个环状烷基是更好的,且因此据信能够通过碳-碳双键或三键或者环状烷基特别是环丙基在等离子体条件下的交联或聚合来沉积碳化硅。在某些实施方式中,具有本文所述的式IA或IB表示的结构的硅杂环烷烃前体包含2重量%或更少,或1重量%或更少,或0.5重量%或更少的副产物(在储存6个月或更久,或一年或更久的时间之后),这表明它是贮存稳定的。在某些实施方式中,具有本文所述的式IA或IB表示的结构的硅杂环烷烃前体包含100ppm或更少的卤化物如氯化物的杂质,或50ppm或更少的卤化物杂质,或10ppm或更少的卤化物杂质。除了前述优点之外,在某些实施方式中,例如使用PEALD或PECCVD或PEFCVD沉积方法沉积碳化硅或碳氮化硅膜,本文所述的硅杂环烷烃前体可能能够在一个或多个沉积温度下,例如400℃或更低,350℃或更低,300℃或更低,或250℃或更低,200℃或更低,150℃或更低,100℃或更低,或50℃或更低,沉积高密度材料。
在整个说明书中,术语“烷基烃”是指直链或支链C6至C20烃、环状C6至C20烃。示例性烃包括但不限于己烷、庚烷、辛烷、壬烷、癸烷、十二烷、环辛烷、环壬烷、环癸烷及其混合物。
在整个说明书中,术语“芳族烃”是指C6至C20芳族烃。示例性芳族烃包括但不限于甲苯和均三甲苯。
在式IA或IB中以及在整个说明书中,术语“环烷基”表示具有3至10或4至10个碳原子或5至10个碳原子的环状官能团。示例性环状烷基包括但不限于环丙基、环丁基、环戊基、环己基和环辛基,优选地由于高反应性,其是环丙基。
在式IA或IB中以及在整个说明书中,术语“芳基”表示具有5至12个碳原子或6至10个碳原子的芳族环状官能团。示例性芳基包括但不限于苯基、苄基、氯苄基、甲苯基和邻二甲苯基。
在式IA或IB中以及在整个说明书中,术语“烷基”表示具有1至10个或1至4个碳原子的直链或支链官能团。示例性烷基包括但不限于甲基、乙基、正丙基、异丙基、正丁基、异丁基、仲丁基、叔丁基、正戊基、异戊基、叔戊基、己基、异己基和新己基。在某些实施方式中,烷基可具有连接至其的一个或多个官能团,例如但不限于烷氧基、二烷基氨基或其组合。在其他实施方式中,烷基不具有连接至其的一个或多个官能团。
在式IA或IB中以及在整个说明书中,术语“烯基”表示具有一个或多个碳-碳双键并且具有2至10个或2至6个或2至4个碳原子的基团。示例性烯基包括但不限于乙烯基(CH2=CH-)或烯丙基(CH2=CHCH2-)。
在式IA或IB中以及在整个说明书中,术语“炔基”表示具有一个或多个碳-碳三键并且具有2至10个或2至6个或2至4个碳原子的基团。示例性炔基包括乙炔基。
在整个说明书中,如本文所用,术语“有机胺”描述了具有至少一个氮原子的有机化合物。有机胺的实例包括但不限于甲胺、乙胺、丙胺、异丙胺、叔丁胺、仲丁胺、叔戊胺、乙二胺、二甲胺、三甲胺、二乙胺和三乙胺。
在某些实施方式中,式IA或IB中的烷基、烯基、炔基、烷氧基、二烷基氨基、芳基和/或吸电子基团中的一个或多个可以被取代或使一个或多个原子或原子团被例如氢原子替代。示例性取代基包括但不限于氧、硫、卤素原子(例如,F、Cl、I或Br)、氮和磷。示例性取代的取代基包括但不限于直链或支链C1至C6氟化烷基。在一个特定实施方式中,R1至R4中的至少一个是直链或支链C1至C6氟化烷基。在其他实施方式中,式IA或IB中的烷基、烯基、炔基、烷氧基、二烷基氨基芳基和/或吸电子基团中的一个或多个未被取代。
在某些实施方式中,具有式IA或IB表示的结构的硅杂环烷烃前体可以通过使1,3-二卤代-1,3-二烷基-1,3-二硅杂环丁烷或1,1,3,3-四卤代-1,3-二硅杂环丁烷或1,3,5-三卤代-1,3,5-三烷基-1,3,5-三硅杂环己烷或1,1-二卤代-3,3-二烷基-1,3-二硅杂环丁烷与各种金属烷基试剂如XMR2(如M=镁)在有机溶剂或溶剂混合物中反应来制备,如方程式(1)至(3)。
Figure BDA0002476902860000131
在方程式(1)-(2)中,R1和R2与式I中所述的取代基中的相同,且X选自氯、溴和碘。以下方程式(1)-(3)提供了可用于制备具有如本文所述的式IA或IB表示的结构的硅杂环烷烃前体的反应方案或合成路线的非限制性实例。方程式(1)至(3)中的反应可以用有机溶剂(例如在有机溶剂存在的情况下)或不用有机溶剂(例如在有机溶剂不存在的情况下)进行。在其中使用有机溶剂的实施方式中,合适的有机溶剂的实例包括,但不限于,烃如己烷类、辛烷、甲苯,和醚如二***和四氢呋喃(THF)。在这些或其它实施方式中,反应温度是在约-70℃至所用溶剂(如果使用溶剂的话)的沸点的范围内。可以纯化所得的硅杂环烷烃,例如在除去所有副产物以及任何溶剂(如果存在)之后通过真空蒸馏或升华。方程式(1)至(2)是制备具有式IA或IB表示的结构的硅杂环烷烃前体的合成路线的两个实例。也可以采用涉及环化的其他合成路线。
具有式IA或IB表示的结构的硅杂环烷烃前体优选基本上不含卤离子如氯化物或者金属离子如Al。如本文所用,术语“基本上不含”在其涉及卤离子(或卤化物)如,例如氯化物和氟化物、溴化物、碘化物,金属离子如Li+、Mg2+、Al3+、Fe2+、Fe3+、Ni2+、Cr3+时,是指如通过离子色谱法(IC)或ICP-MS测量的小于5ppm(按重量计),优选如通过离子色谱法(IC)或ICP-MS测量的小于3ppm,更优选如通过离子色谱法(IC)或ICP-MS测量的小于1ppm,最优选如通过离子色谱法(IC)测量的0ppm b。氯化物或金属离子已知充当硅杂环烷烃前体的降解催化剂。最终产品中显著水平的氯化物可导致硅杂环烷烃前体降解。硅杂环烷烃前体的逐渐降解可直接影响膜沉积工艺,从而使半导体制造商难以满足膜规格。另外,硅杂环烷烃前体的较高降解速率对保质期或稳定性有负面影响,从而使得难以保证1-2年的保质期。因此,硅杂环烷烃前体的加速分解带来了与这些易燃性和/或自燃性气态副产物的形成有关的安全性和性能问题。
在一些实施方式中,添加到具有式IA或IB表示的结构的蒸馏硅杂环烷烃前体中的是稳定剂化合物或聚合抑制剂。示例性稳定剂化合物包括2,6-二叔丁基-4-甲基苯酚(或BHT,表示丁羟基甲苯)、2,2,6,6-四甲基-1-哌啶基氧基(TEMPO)、2-叔丁基-4-羟基茴香醚、3-叔丁基-4-羟基茴香醚、3,4,5-三羟基苯甲酸丙酯、2-(1,1-二甲基乙基)-1,4-苯二醇、二苯基苦基肼(diphenylpicrylhydrazyl)、4-叔丁基儿茶酚、叔丁基氢醌、1,4-苯醌、6-叔丁基-2,4-二甲苯酚、2,6-二叔丁基-对甲酚、2,6-二叔丁基苯酚、1,1-二苯基-2-2-苦基肼自由基、氢醌、4-甲氧基苯酚、吩噻嗪、N-甲基苯胺、对甲氧基二苯胺、二苯胺、N,N'-二苯基-对苯二胺、对羟基二苯胺、苯酚、十八烷基-3-(3,5-二叔丁基-4-羟基苯基)丙酸酯、四(亚甲基(3,5-二叔丁基)-4-羟基-氢化肉桂酸酯)甲烷、吩噻嗪类、烷基酰胺基异脲、硫代二亚乙基双(3,5-二叔丁基-4-羟基-氢化肉桂酸酯、1,2-双(3,5-二叔丁基-4-羟基氢化肉桂酰基)肼、三(2-甲基-4-羟基-5-叔丁基苯基)丁烷、环状新戊烷四基双(十八烷基亚磷酸酯)、4,4'-硫代双(6-叔丁基-间甲酚)、2,2'-亚甲基双(6-叔丁基-对甲酚)、草酰基双(苯亚甲基酰肼)和天然存在的抗氧化剂,如未加工的种子油(raw seed oil)、小麦胚芽油、生育酚类和树胶。稳定剂化合物或聚合抑制剂的功能是防止硅杂环烷烃前体的自聚合或低聚化。基于气相色谱分析(GC),稳定剂化合物或聚合抑制剂的量在约0.01至约10000ppm,优选约0.01至约500ppm,最优选约0.01至约100ppm的范围内。重要的选择的稳定剂化合物或聚合抑制剂应当是挥发性的,且在通过直接液体注射从容器输送到CVD室的过程中不在管线或注射器中留下一些残留物。
用于形成碳化硅或碳氮化硅膜或涂层的方法是沉积工艺。用于本文公开的方法的合适沉积工艺的实例包括但不限于等离子体增强ALD(PEALD)或等离子体增强循环CVD(PECCVD)或等离子体增强可流动化学气相沉积工艺。如本文所用,术语“化学气相沉积工艺”是指其中将衬底暴露于一种或多种挥发性前体的任何工艺,所述挥发性前体在衬底表面上反应和/或分解以产生期望的沉积。如本文所用,术语“原子层沉积工艺”是指自限性的(例如,在每个反应循环中沉积的膜材料的量是恒定的)、顺序的表面化学,其将材料的膜沉积到具有不同组成的衬底上。尽管本文使用的前体、试剂和源有时可以被描述为“气态的”,但应理解前体可以是在有或没有惰性气体的情况下通过直接汽化、鼓泡或升华运输到反应器中的液体或固体。在一些情况下,汽化的前体可以通过等离子体发生器。在一个实施方式中,使用ALD工艺沉积氮化硅膜。在另一个实施方式中,使用CCVD工艺沉积氮化硅膜。在另一个实施方式中,使用热CVD工艺沉积氮化硅膜。如本文所用,术语“反应器”包括但不限于反应室或沉积室。ALD样工艺在本文中被定义为循环CVD工艺,其在衬底上提供高保形氮化硅膜,如氮化硅或碳氮化硅,如通过具有以下中的至少一种所示的:如通过椭偏仪测量的约10%或更低(例如,约1至约10%,约1至约5%,和在一些情况下约1至约3%)的非均匀性百分比,每循环
Figure BDA0002476902860000161
或更高(例如,每循环约1至约
Figure BDA0002476902860000162
每循环约1至约
Figure BDA0002476902860000163
和在一些情况下每循环约1至约
Figure BDA0002476902860000164
)的沉积速率,或其组合。
在某些实施方式中,本文公开的方法通过使用在引入反应器之前和/或期间分隔前体的PEALD或PECCVD或PEFCVD方法避免了前体的过早反应。在这个方面,沉积技术如PEALD或PECCVD或PEFCVD工艺被用于沉积氮化硅膜。在一个实施方式中,膜通过交替地将衬底表面暴露于一种或多种氮化硅前体、含氮源或其他前体或试剂经由PEALD工艺沉积。膜生长通过表面反应的自限性控制、每种前体或试剂的脉冲长度和沉积温度来进行。然而,一旦衬底的表面饱和,则膜生长停止。
在某些实施方式中,本文所述的方法进一步包括除具有式IA或IB表示的结构的硅杂环烷烃前体以外的一种或多种另外的硅前体。另外的氮化硅前体的实例包括但不限于一氯硅烷、二氯硅烷、六氯乙硅烷、二异丙基氨基硅烷、二仲丁基氨基硅烷、双(叔丁基氨基)硅烷、双(二乙基氨基)硅烷、三(二甲基氨基)硅烷、双二异丙基氨基乙硅烷、三甲硅烷基胺和双(二甲硅烷基氨基)硅烷。
取决于沉积方法,在某些实施方式中,可以以预定摩尔体积或约0.1至约1000微摩尔将至少一种硅杂环烷烃前体引入反应器中。在这个或其他实施方式中,可以将该至少一种硅杂环烷烃前体引入反应器中预定的时间段。在某些实施方式中,所述时间段在约0.001秒至约500秒范围内。在又一个实施方式中,可以以在100mg/分钟至5g/分钟范围的固定流速引入该至少一种硅杂环烷烃。
在某些实施方式中,氮化硅膜包含硅和氮。在这些实施方式中,使用本文所述的方法沉积的氮化硅膜是在含氮源的存在下形成。含氮源可以以至少一种含氮源的形式引入反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可以包括,例如,氮/氩等离子体。在某些实施方式中,含氮源包括氮/氩等离子体源气体,其以约1至约2000标准立方厘米(sccm)或约1至约1000sccm范围的流速引入反应器中。含氮源可以引入约0.1至约100秒范围内的时间。在其中膜通过ALD或循环CVD工艺沉积的实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氮源可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,脉冲之间的吹扫持续时间可以低至0秒或连续地脉冲而没有在其间的吹扫。
在本文所述的方法中,可以原位或远程地产生包含含氮气体(例如但不限于氮气)和任选地稀有气体的含氮等离子体,优选原子质量大于氮的原子质量(即28amu)的惰性气体。原子质量大于氮的原子质量的稀有气体的存在据信产生更多的原子氮自由基。氮等离子体源气体以约1至约2000平方立方厘米(sccm)或约1至约1000sccm或更高范围内的流速引入反应器中。含氮等离子体可以引入约0.01至约100秒或更长范围的时间。在实施方式中,前体脉冲可以具有大于0.01秒的脉冲持续时间,并且含氮等离子体可以具有小于0.01秒的脉冲持续时间,而水脉冲持续时间可以具有小于0.01秒的脉冲持续时间。在又一个实施方式中,前体脉冲与氮等离子体之间的吹扫持续时间可以低至0秒。在又一个实施方式中,当可以使用氢等离子体时,可以使用与稀有气体混合的纯氢气(H2)原位或远程产生氢等离子体。包含氮气和稀有气体两者的等离子体中稀有气体的重量百分比可以在1重量%至99重量%变化,而包含氢气和稀有气体两者的等离子体中稀有气体的重量百分比也可以从1重量%至99重量%变化。在又一个实施方式中,当可以使用氨等离子体时,可以使用与稀有气体混合的纯氨(NH3)原位或远程地产生氨等离子体。包含氨和稀有气体两者的等离子体中稀有气体的重量百分比也可以从1重量%至99重量%变化。
本文所述的沉积方法可涉及一种或多种吹扫气体。用于吹扫掉未消耗的反应物和/或反应副产物的吹扫气体是惰性气体,其不与前体反应的。示例性吹扫气体包括,但不限于,氩气(Ar)、氮气(N2)、氦气(He)、氖气(Ne)、氢气(H2)及其混合物。在某些实施方式中,用作吹扫气体的惰性气体包含稀有气体。如本文所用,术语“稀有气体”是指见于周期表的第18族中的那些气体,包括氦气(He)、氖气(Ne)、氩气(Ar)、氙气(Xe)、氡气(Kr)及其混合物。在一个特定实施方式中,用作吹扫气体的稀有气体包含氩气。在这个或其它实施方式中,包含Ar的吹扫气体以约10至约2000sccm范围的流速供应到反应器中约0.1至1000秒,从而吹扫可能残留在反应器中的未反应的前体材料和任何副产物。
供应前体、含氮源和/或其它前体、源气体和/或试剂的相应步骤可通过改变供应它们的时间而进行,以改变所得氮化硅膜的化学计量组成。
能量被施加到前体、含氮源、还原剂、其他前体或其组合中的至少一种以引发反应并在衬底上形成氮化硅膜或涂层。这样的能量可以通过但不限于热、等离子体、脉冲等离子体、螺旋波等离子体、高密度等离子体、电感耦合等离子体、X射线、电子束、光子、远程等离子体方法(包括远程微波等离子体)及其组合提供。在某些实施方式中,次级RF射频源可用于改变衬底表面处的等离子体特性。在其中沉积涉及等离子体的实施方式中,等离子体发生过程可以包括直接等离子体发生过程(其中等离子体在反应器中直接产生)或者远程等离子体发生过程(其中等离子体在反应器外部产生并被供应到反应器中)。
硅杂环烷烃前体可以以各种方式(如鼓泡、蒸气抽吸或直接液体注射(DLI))被输送至单晶片式或批式的反应室,例如PEALD或PECCVD或PEFCVD反应器。在一个实施方式中,可以使用液体输送***。在替代性实施方式中,可以使用组合的液体输送和闪蒸处理单元,例如由MSP Corporation,Shoreview,MN制造的涡轮蒸发器,以使低挥发性材料能够被定量地输送,这导致可重复的输送和沉积而不使前体热分解。在液体输送方式中,本文所述的前体可以以纯液体形式输送,或者可以在包含其的溶剂制剂或组合物中使用。因此,在某些实施方式中,前体制剂可以包含具有合适特性(如在给定最终用途应用中可以是期望和有利的)的溶剂组分以在衬底上形成膜。示例性溶剂可包括,但不限于,醚、叔胺、烷基烃、芳族烃、叔氨基醚及其组合。在某些实施方式中,具有式IA或IB的硅杂环烷烃前体的沸点与溶剂的沸点的差异为40℃或更小。硅杂环烷烃前体化合物在溶剂中的重量%可以从1至99重量%,或10至90重量%,或20至80重量%,或30至70重量%,或40至60重量%,或50至50重量%变化。在一些实施方式中,可以通过直接液体注射将组合物输送到用于含硅膜的反应室中。
在某些实施方式中,将从前体罐连接到反应室的气体管线加热至取决于工艺要求的一个或多个温度,并且将具有本文所述的式IA或IB表示的结构的硅杂环烷烃前体的容器保持在用于鼓泡的一个或多个温度下。在其他实施方式中,将包含具有本文所述的式的至少一种氮化硅前体的溶液注射到保持在用于直接液体注射的一个或多个温度下的蒸发器中。
氩气、稀有气体和/或其它惰性气体的流可以用作载气以帮助在前体脉冲期间输送至少一种硅杂环烷烃前体的蒸气到反应室。在某些实施方式中,反应室工艺压力为约2托或更低。在其他实施方式中,反应室工艺压力为约10托或更低。
在典型的PEALD或PECCVD或PEALD样工艺或PEFCVD中,衬底如,但不限于,氧化硅、多晶硅、掺杂锗的硅、掺杂硼的硅、锗、碳掺杂氧化硅、柔性衬底或金属氮化物衬底在反应室中的加热器台上加热,其最初暴露于氮化硅前体以允许硅杂环烷烃化学吸附到衬底的表面上。吹扫气体(例如氮气、氩气或其他惰性气体)从处理室吹扫掉未吸收的过量硅杂环烷烃。在充分吹扫后,可将含氮源引入反应室中以与所吸附的表面反应,然后是另一气体吹扫以从反应室除去反应副产物。可以重复该工艺循环以实现期望的膜厚度。在其他实施方式中,可以使用真空抽吸从工艺室除去未吸附的过量硅杂环烷烃,在抽吸下充分排空之后,可以将等离子体源引入反应室中以与所吸附的表面反应,然后是另一抽吸吹扫以从室除去反应副产物。
在一个方面,提供了一种形成包含硅和碳的介电膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入反应器中:
Figure BDA0002476902860000201
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基,其中所述至少一种硅杂环烷烃前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用吹扫气体吹扫所述反应器;
d.将含等离子体的源引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2范围的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的碳化硅膜。
氩气、稀有气体和/或其它惰性气体的流可以用作载气以帮助在前体脉冲期间输送该至少一种硅杂环烷烃前体的蒸气到反应室。在某些实施方式中,反应室的工艺压力为约10托或更低。在其他实施方式中,反应室的工艺压力为约2托或更低。在所述方法的某些实施方式中,等离子体包括选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体及其混合物的氢。在一些实施方式中,等离子体包含惰性气体,其选自氦等离子体、氩等离子体、氖等离子体及其混合物。
在另一个方面,本发明涉及一种在衬底的至少一个表面上形成包含硅、氮和碳的介电膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure BDA0002476902860000211
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基,其中所述至少一种硅杂环烷烃前体在所述衬底的表面的至少一部分上反应以提供化学吸附层;
c.用包含选自氮气、稀有气体及其组合中的至少一种的吹扫气体吹扫所述反应器;
d.将含氮源的等离子体引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以约0.01至约1.5W/cm2的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的氮化硅膜。在一些实施方式中,可以将含氮源的等离子体以至少一种氮源的形式引入反应器中和/或可以附带地存在于沉积工艺中使用的其他前体中。合适的含氮源气体可包括例如氨、肼、单烷基肼、二烷基肼、氮等离子体、氮/氢、氮/氦、氮/氩等离子体、氨等离子体、氮/氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3等离子体、有机胺等离子体及其混合物。在其他实施方式中,等离子体选自氢等离子体、氦等离子体、氖等离子体、氩等离子体、氙等离子体、氢/氦等离子体、氢/氩等离子体及其混合物。
以上步骤限定了本文所述的方法的一个循环,且可以重复该循环直到获得期望厚度的氮化硅膜。在这个或其他实施方式中,应理解本文所述的方法的步骤可以以各种顺序执行,可以顺序地或同时地(例如,在另一步骤的至少一部分期间)执行,及其任意组合。供应前体和含氧源的相应步骤可以通过改变供应它们的时间的长度来进行,以改变所得氮化硅膜的化学计量组成,尽管总是使用相对于可用硅的化学计量的量更少的氮。
在另一个方面,本发明涉及一种在衬底的表面上或蚀刻到衬底表面中的特征中形成包含硅、碳、氮和氢的介电膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure BDA0002476902860000231
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基,其中所述至少一种硅杂环烷烃前体与从远程等离子体源产生的自由基反应。
硅杂环烷烃前体经过从液体的气相抽取被输送至处理室,或作为液体被输送至使所述液体汽化的加热的注射器,其通过载气吹扫到双充压(plenum)喷淋头中,所述喷淋头使硅杂环烷烃前体在进入衬底上方的处理空间之前与自由基隔离。同时,RPS用于解离反应物如氨(NH3),且这些反应性自由基通过喷淋头中的单独的孔输送至工艺室,从而使它们与硅杂环烷烃前体反应以产生反应性物质,其可以是在撞击经冷却的衬底时冷凝并流入蚀刻的特征中的低聚物。在某些实施方式中,可以重复该过程以改善进入特征中的流动性。
在沉积可流动膜之后,晶片随后加热至约200℃至约400℃,优选300℃的温度以蒸发低分子量反应性物质。较高能量源如UV的后续暴露用于使膜致密和交联。PEFCVD膜倾向于具有在1.2–2.2g/cm3范围内的密度。
在本文所述的方法的某些实施方式中,重复步骤b至e以提供厚度在约0.1至约
Figure BDA0002476902860000232
或约0.1至约
Figure BDA0002476902860000233
或约0.1至约
Figure BDA0002476902860000234
或约0.1至约
Figure BDA0002476902860000235
或0.1至
Figure BDA0002476902860000236
范围内的包含硅和碳的介电膜或包含硅、氮和碳的介电膜。在本文所述方法的一个特定实施方式中,可以在步骤d之前***包含氢的等离子体以帮助除去从硅杂环烷烃和表面之间的反应产生的烃。包含氢的等离子体选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体及其混合物。
在一个方面,提供了一种用于通过等离子体增强可流动化学气相沉积来沉积包含硅和碳的介电膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其是在-20℃至约200℃范围内的一个或多个温度下;
将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure BDA0002476902860000241
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基;和
将等离子体源提供到所述反应器中以使第一和第二化合物至少部分地反应以形成可流动液体,其中所述可流动液体至少部分地填充所述表面特征的一部分。所述可流动液体包含至少一种低聚物。
上述步骤限定了本文所述的方法的一个循环;且可以重复该循环直到获得期望厚度的含硅膜。在一个特定实施方式中,衬底温度为约-20℃至约200℃,优选约-20℃至约100℃或更低,以保持所得聚合物物质与在更高温度下形成的那些相比具有小的分子量,因此更高流动性以允许填充较小的特征。在这个实施方式中,等离子体源可以原位或远程地产生。当采用远程等离子体源时,等离子体必须通过喷淋头中的孔输送到处理室中,其独立于硅杂环烷烃前体的蒸气的输送。在这个实施方式的部分中,采用原位或远程的一个等离子体源。在这个或其他实施方式中,可以采用原位和远程的等离子体两者。在这个或其他实施方式中,应理解本文所述的方法的步骤可以以各种顺序执行,可以顺序地或同时地(例如,在另一步骤的至少一部分期间)执行,及其任意组合。供应该化合物和其他试剂的相应步骤可以通过改变供应它们的时间的长度来进行以改变所得含硅膜的化学计量组成。
在一个方面,提供了一种用于通过等离子体增强可流动化学气相沉积来沉积包含硅和碳的介电膜或包含硅、氮和碳的介电膜的方法,所述方法包括:
将包含表面特征的衬底置于反应器中,其是在-20℃至约400℃范围内的一个或多个温度下;
将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure BDA0002476902860000251
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基;
向所述反应器中引入具有至少一个Si-H键的第二化合物,其中所述至少一种第二化合物选自下式IIA至IIH和III:
IIA.具有式SixH2x+2的聚硅烷化合物,其中x是2至6的数字;
IIB.具有式R1 mSiH4-m的化合物,其中R1选自氢和直链或支链C1至C10烷基;和m是选自1、2和3数字;
IIC.具有式SiH3-R2-SiH3的化合物,其中R2是直链或支链C1至C6亚烷基;
IID.具有式R3SiH2-R2-SiH2R4的化合物,其中R2为直链或支链C1至C6亚烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;和R4选自直链或支链C1至C10烷基;
IIE.具有式(R3R4N)nSiH3-nR1的化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、直链或支链C1至C10烷基和C4至C10芳基;和R4选自直链或支链C1至C10烷基;
IIF.硅杂环烷基化合物,例如1,3-二硅杂环丁烷或其衍生物或者1,3,5-三硅杂环己烷及其衍生物;
IIG.三甲硅烷基胺化合物或其衍生物;和
IIH.具有式[(R3R4N)pSiH3-p]2NR1或[R3 pSiH2-pNR1]q的硅氮烷化合物,其中R1选自氢和直链或支链C1至C10烷基;R3选自氢、支链C1至C10烷基和C4至C10芳基;和R4选自直链或支链C1至C10烷基;p=0、1、2;q=2或3;和
III.具有式(R3R4N)SiH2SiH3的有机氨基乙硅烷,其中R3选自氢、支链C1至C10烷基和C4至C10芳基;和R4选自直链或支链C1至C10烷基;和
向所述反应器中提供等离子体源以使所述第一和第二化合物至少部分地反应以形成可流动液体或低聚物,其中所述可流动液体或低聚物至少部分地填充所述表面特征的一部分。
上述步骤限定了本文所述的方法的一个循环;且可以重复该循环直到获得期望厚度的含硅膜。在一个特定实施方式中,衬底温度为约100℃或更低以保持所得聚合的聚硅氮烷物质与在更高温度下形成的那些相比具有小的分子量,因此更高流动性以允许填充较小的特征。在这个或其他实施方式中,应理解本文所述的方法的步骤可以以各种顺序执行,可以顺序地或同时地(例如,在另一步骤的至少一部分期间)执行,及其任意组合。供应该化合物和其他试剂的相应步骤可以通过改变供应它们的时间的长度来进行以改变所得含硅膜的化学计量组成。在某些实施方式中,在沉积含硅膜之后,衬底在足以使氮化硅或碳化硅膜形成氧化硅、氮氧化硅或碳掺杂氧化硅膜的某些工艺条件下任选地用含氧源处理。含氧源可以选自水(H2O)、氧(O2)、过氧化氢(H2O2)、氧等离子体、臭氧(O3)、NO、N2O、一氧化碳(CO)、二氧化碳(CO2)、N2O等离子体、一氧化碳(CO)等离子体、二氧化碳(CO2)等离子体及其组合。
在任何上述或替代实施方式中,可流动液体或低聚物在约100℃至约1000℃范围内的一个或多个温度下处理以使材料的至少一部分致密化。
在一些实施方式中,将热处理后材料暴露于等离子体、红外光、化学处理、电子束或UV光以形成致密膜。在本发明的一个实施方式中,包括暴露于UV光暴露的后处理在发射烃或硅烷气态副产物的条件下进行以使介电膜致密化。
在某些实施方式中,可以将所得含碳化硅或碳氮化硅的膜或涂层暴露于沉积后处理,例如,但不限于,等离子体处理、化学处理、紫外光暴露、真空UV暴露、准分子激光暴露、电子束暴露和/或影响膜的一种或多种性质的其他处理。在本文所述的方法的一个特定实施方式中,可以使用包含氢的等离子体作为对如此沉积的氮化硅膜的沉积后处理以增加密度以及降低蚀刻速率。含氢的等离子体选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体及其混合物。
在某些实施方式中,本文所述的含碳化硅或碳氮化硅的膜具有6或更小的介电常数。在这些或其他实施方式中,膜可以具有如通过汞探针技术测量的约5或更低,或约4或更低,或约3.5或更低的介电常数。然而可以预想,取决于膜的期望的最终用途,可以形成具有其他介电常数(例如,更高或更低)的膜。使用本文所述的硅杂环烷烃前体和方法形成的碳化硅或碳氮化硅膜的实例具有式SixOyCzNvHw,其中Si在约10%至约50%范围内;O在约0%至约10%范围内;C在约0%至约20%范围内;和N在约10%至约75%或约10%至60%范围内;和H在约0%至约10%的原子重量百分比%范围内,其中x+y+z+v+w=100原子重量百分比,如例如通过X射线光电子能谱(XPS)或二级离子质谱法(SIMS)测定的。
在其中膜使用包含稀有气体的等离子体沉积的一个特定实施方式中,氮化硅膜包含如通过XPS或其他手段测定的约5%至约50%的碳原子重量百分比。在该特定实施方式中,氮化硅膜还包含其中Si在约10%至约40%范围内;O在约0%至约5%范围内;N在约10%至约75%或约10%至50%范围内;和H在约0%至约10%范围内的原子重量百分比%,其中所述膜的总重量百分比合计达100原子重量百分比。
在整个说明书中,如本文所用,术语“介电膜”是指选自化学计量的或非化学计量的碳化硅、碳氮化硅、碳氧氮化硅及其混合物的膜。
在整个说明书中,如本文所用,术语“金属烷基”是指具有至少一个金属-碳键的试剂,例如MR2,其中M是选自Li、Na、K的金属,或XMR2,其中X=Cl、Br或I,M=Mg或Ca。
如前所述,本文所述的方法可以用于在衬底的至少一部分上沉积氮化硅膜。合适衬底的示例包括但不限于硅、硅/锗、锗、III/V材料、SiO2、Si3N4、OSG、FSG、碳化硅、氢化的碳化硅、氮化硅、氢化的氮化硅、碳氮化硅、氢化的碳氮化硅、氮化硼、抗反射涂层、光致抗蚀剂、柔性衬底如IGZO、有机聚合物、多孔有机和无机材料、金属如铜和铝及扩散屏障层,例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。膜与各种各样的后续加工步骤相容,例如化学机械平面化(CMP)和各向异性蚀刻工艺。
沉积的膜具有包括但不限于计算机芯片、光学器件、磁信息存储器、支撑材料或衬底上的涂层、微机电***(MEMS)、纳米机电***、薄膜晶体管(TFT)、发光二极管(LED)、有机发光二极管(OLED)、IGZO和液晶显示器(LCD)的应用。
以下实施例说明了本文所述用于沉积氮化硅膜的方法,而不旨在以任何方式限制本文所附权利要求书。
实施例
在以下实施例中,除非另有说明,性质从在中等电阻率(14-17Ω-cm)单晶硅晶片衬底上沉积的样品膜获得。所有膜沉积使用具有13.56MHz直接等离子体的喷淋头设计的商业反应器进行。在典型的工艺条件下,除非另有说明,室压力固定在约1至约10托范围的压力下。使用另外的惰性气体以维持室压力。硅杂环烷烃前体使用蒸气抽吸(即完全不使用氩气)输送。所用的典型RF功率是在150mm晶片的电极区域上的125W以提供0.7W/cm2的功率密度。膜沉积包括分别针对热ALD和等离子体增强ALD在表1中列出的步骤。表1中的步骤1至4构成一个PEALD循环并重复(除非另有说明)共计300次以获得期望的膜厚度。
表1.PEALD碳化硅膜中使用的步骤
Figure BDA0002476902860000291
使用椭偏仪测量沉积膜的折射率(RI)和厚度。使用标准方程计算膜的非均匀性:%非均匀性=((最大厚度-最小厚度)/(2*平均(avg)厚度))。使用傅立叶变换红外(FTIR)光谱法和X射线光电子能谱(XPS)分析膜的结构和组成。用X射线反射法(XRR)测量膜的密度。
实施例1:1,1,3,3-四乙烯基-1,3-二硅杂环丁烷的合成
将在THF中的乙烯基氯化镁溶液(4L,1.6M)装入配备有机械搅拌器、热电偶套管、冷凝器和加料漏斗的12L烧瓶中。以使反应温度保持低于60℃的添加速度将在己烷(500ml)中的1,1,3,3-四氯-1,3-二硅杂环丁烷(339.0g,1.5mol)溶液缓慢加入到烧瓶中。在添加完成后,将烧瓶加热至60℃ 4小时并冷却至室温。在搅拌下,通过加料漏斗将冷水(1.5L)缓慢加入烧瓶中。形成两个液体层。分离有机层并在Na2SO4上干燥。在通过蒸馏除去溶剂后,将产物在真空下蒸馏。获得185.0g产物,产率:65.4%。用DSC测量的正常沸点为201.5℃。
实施例2:1,3-二乙烯基-1,3-二甲基-1,3-二硅杂环丁烷的合成
将在THF中的乙烯基氯化镁溶液(3L,1.6M)装入配备有机械搅拌器、热电偶套管、冷凝器和加料漏斗的12L烧瓶中。以使反应温度保持低于60℃的添加速度将在己烷(500ml)中的1,3-二乙氧基-1,3-二甲基-1,3-二硅杂环丁烷(408.0g,2.0mol)缓慢加入到烧瓶中。在添加完成后,将烧瓶加热至60℃ 4小时并冷却至室温。在搅拌下,通过加料漏斗将冷水(1.5L)缓慢加入烧瓶中。形成两个液体层。分离有机层并在Na2SO4上干燥。在通过蒸馏除去溶剂后,将产物在真空下蒸馏。获得261.5g产物,具有b.p.62℃/20mmHg,产率:78.0%。
实施例3:使用1,3-二乙烯基-1,3-二甲基-硅杂环丁烷和氢等离子体的PEALD碳化硅膜(预示性)
将硅晶片装载到配备有具有13.56MHz直接等离子体的喷淋头设计的商业反应器中并在2托室压力下加热到300℃。在72℃温度下使用蒸气抽吸输送作为硅杂环烷烃前体的1,3-二乙烯基-1,3-二甲基-硅杂环丁烷到反应器中。ALD循环包括表1中提供的工艺步骤并使用以下工艺参数:
a.将硅杂环烷烃前体引入反应器
氮气流:1000sccm
硅杂环烷烃前体脉冲:1秒
b.惰性气体吹扫
氮气流:1000sccm
吹扫时间:10秒
c.引入氢等离子体
氢气流:1000sccm
氢等离子体脉冲:10秒,等离子体功率125W
d.吹扫
氮气流:1000sccm
吹扫时间:10秒
步骤a至d重复500个循环以提供碳化硅膜。
实施例4:使用1,1,3,3-四乙烯基-1,3-二硅杂环丁烷的碳化硅膜的PEFCVD
将硅晶片装载到配备有双充压喷淋头设计和基于微波的远程等离子体源的商业反应器中。将作为硅杂环烷烃前体的1,1,3,3-四乙烯基-1,3-二硅杂环丁烷经过液体流量计和加热的注射器输送,以经过喷淋头中的孔将蒸气输送到处理室中,其独立于通过远程等离子体源从氨(NH3)的解离产生的自由基的输送。用于沉积可流动膜的工艺条件为:
Figure BDA0002476902860000311
在沉积后,通过以下使膜固化:将晶片转移到其中其在300℃下退火5分钟的退火室而没有真空中断(vacuum break),然后转移到其中通过在400℃的基座温度下UV暴露10分钟而使其固化的另一个室。所得膜可见于图1,其中显示可流动碳化硅从底部向上完全填充特征,在特征上方具有平面覆盖层。如通过X射线光电子能谱法(XPS)测定的,图1所示的膜具有以下组成:碳74.0原子%,氮<1.0原子%,氧5.0原子%,和硅20.0原子%。预料不到的是,氨用作远程等离子体源,但是所得膜具有小于1.0原子%的氮。
前体具有5:1的碳-硅比率。所沉积和固化的膜具有<4:1的碳-硅比率。前体与所部署的工艺条件相结合允许膜相对于前体的总碳含量的降低。
尽管本发明的特定原理已经在上文中结合各个方面或实施方式描述,但应清楚地理解,该描述仅是通过示例的方式作出,而不是作为对本发明范围的限制。

Claims (30)

1.一种在衬底的至少一个表面上形成包括硅和碳的介电膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure FDA0003763547300000011
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基,其中所述至少一种硅杂环烷烃前体在所述衬底的所述表面的至少一部分上反应以提供化学吸附层;
c.用吹扫气体吹扫所述反应器;
d.将含等离子体的源和惰性气体引入所述反应器中以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以0.01至1.5W/cm2的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的所述介电膜。
2.根据权利要求1所述的方法,其中所述至少一种硅杂环烷烃前体选自1,3-二乙烯基-1,3-二硅杂环丁烷、1,3-二乙烯基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙烯基-1,3-二硅杂环丁烷、1,3,5-三乙烯基-1,3,5-三硅杂环己烷、1,3,5-三乙烯基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙烯基-1,3,5-三硅杂环己烷、1,3-二烯丙基-1,3-二硅杂环丁烷、1,3-二烯丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四烯丙基-1,3-二硅杂环丁烷、1,3,5-三烯丙基-1,3,5-三硅杂环己烷、1,3,5-三烯丙基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六烯丙基-1,3,5-三硅杂环己烷、1,3-二乙炔基-1,3-二硅杂环丁烷、1,3-二乙炔基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙炔基-1,3-二硅杂环丁烷、1,3,5-三乙炔基-1,3,5-三硅杂环己烷、1,3,5-三乙炔基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙炔基-1,3,5-三硅杂环己烷、1,3-二环丙基-1,3-二硅杂环丁烷、1,3-二环丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四环丙基-1,3-二硅杂环丁烷及其组合。
3.根据权利要求1所述的方法,其中所述含等离子体的源选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体、氦等离子体、氩等离子体、氖等离子体及其混合物。
4.根据权利要求1所述的方法,其中所述介电膜具有2.2g/cc或更高的密度。
5.根据权利要求1所述的方法,其中所述方法是选自等离子体增强原子层沉积、等离子体增强循环化学气相沉积和等离子体增强可流动化学气相沉积的至少一种气相沉积工艺。
6.根据权利要求1所述的方法,其中所述方法在400℃或更低的一个或多个温度下进行。
7.根据权利要求1所述的方法,其中所述方法在300℃或更低的一个或多个温度下进行。
8.一种在衬底的至少一个表面上形成碳化硅或碳氮化硅膜的方法,所述方法包括以下步骤:
a.在反应器中提供衬底;
b.将选自以下的至少一种硅杂环烷烃前体引入所述反应器中:1,3-二乙烯基-1,3-二硅杂环丁烷、1,3-二乙烯基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙烯基-1,3-二硅杂环丁烷、1,3,5-三乙烯基-1,3,5-三硅杂环己烷、1,3,5-三乙烯基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙烯基-1,3,5-三硅杂环己烷、1,3-二烯丙基-1,3-二硅杂环丁烷、1,3-二烯丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四烯丙基-1,3-二硅杂环丁烷、1,3,5-三烯丙基-1,3,5-三硅杂环己烷、1,3,5-三烯丙基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六烯丙基-1,3,5-三硅杂环己烷、1,3-二乙炔基-1,3-二硅杂环丁烷、1,3-二乙炔基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙炔基-1,3-二硅杂环丁烷、1,3,5-三乙炔基-1,3,5-三硅杂环己烷、1,3,5-三乙炔基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙炔基-1,3,5-三硅杂环己烷、1,3-二环丙基-1,3-二硅杂环丁烷、1,3-二环丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四环丙基-1,3-二硅杂环丁烷及其组合,其中所述至少一种硅杂环烷烃在所述衬底的所述表面的至少一部分上反应以提供化学吸附层;
c.用包含选自氮气、稀有气体及其组合的至少一种的吹扫气体吹扫所述反应器;
d.将含等离子体的源引入所述反应器以与所述化学吸附层的至少一部分反应并提供至少一个反应性位点,其中所述等离子体以0.01至1.5W/cm2的功率密度产生;和
e.任选地用惰性气体吹扫所述反应器;和
其中重复步骤b至e直到获得期望厚度的所述碳化硅或碳氮化硅膜。
9.根据权利要求8所述的方法,其中所述碳化硅或碳氮化硅膜具有2.2g/cc或更高的密度。
10.根据权利要求8所述的方法,其中所述方法是选自等离子体增强原子层沉积、等离子体增强循环化学气相沉积和等离子体增强可流动化学气相沉积的气相沉积工艺。
11.根据权利要求8所述的方法,其中所述方法在400℃或更低的温度下进行。
12.根据权利要求8所述的方法,其中所述方法在300℃或更低的温度下进行。
13.根据权利要求8所述的方法,其中所述方法在100℃或更低的温度下进行。
14.根据权利要求8所述的方法,其中所述含等离子体的源选自氢等离子体、氢/氦、氢/氩等离子体、氢/氖等离子体、氦等离子体、氩等离子体、氖等离子体、氮/氩等离子体、氨等离子体、氮/氨等离子体、氨/氦等离子体、氨/氩等离子体、氨/氮等离子体、NF3等离子体、有机胺等离子体及其混合物。
15.一种用于含硅介电膜的气相沉积的组合物,其包含选自以下的至少一种硅杂环烷烃前体:1,3-二环丙基-1,3-二硅杂环丁烷、1,3-二环丙基-1,3-二甲基-1,3-二硅杂环丁烷和1,1,3,3-四环丙基-1,3-二硅杂环丁烷,其中所述硅杂环烷烃前体包含按重量计小于5ppm的选自卤化物、水、金属离子及其组合的一种或多种杂质。
16.根据权利要求15所述的组合物,其中所述卤化物包含氯离子。
17.根据权利要求16所述的组合物,其中所述氯离子浓度小于50ppm。
18.根据权利要求16所述的组合物,其中所述氯离子浓度小于10ppm。
19.根据权利要求16所述的组合物,其中所述氯离子浓度小于5ppm。
20.一种用于输送硅杂环烷烃前体以沉积含硅膜的容器,所述容器包含:
选自以下的硅杂环烷烃前体:1,3-二环丙基-1,3-二硅杂环丁烷、1,3-二环丙基-1,3-二甲基-1,3-二硅杂环丁烷和1,1,3,3-四环丙基-1,3-二硅杂环丁烷,其中所述硅杂环烷烃前体包含按重量计小于5ppm的选自卤化物、水、金属离子及其组合的一种或多种杂质,其中所述前体的纯度为98%或更高,和
其中所述容器具有包含选自氦、氩、氮及其组合的至少一种惰性气体的顶部空间。
21.根据权利要求20所述的容器,其中所述容器由不锈钢构成。
22.一种用于通过等离子体增强可流动化学气相沉积来沉积包含硅和碳的介电膜的方法,所述方法包括以下步骤:
将包含表面特征的衬底放置于反应器中,其是在-20℃至200℃范围内的一个或多个温度下;
将选自式IA结构表示的化合物和式IB结构表示的化合物的至少一种硅杂环烷烃前体引入所述反应器中:
Figure FDA0003763547300000051
其中R1选自氢、直链或支链C1至C10烷基、环状C3至C10烷基、直链或支链C2至C10烯基和直链或支链C2至C10炔基;和R2选自直链或支链C2至C6烯基、直链或支链C2至C6炔基和环状C3至C10烷基;和
将等离子体源提供到所述反应器中以使所述至少一种硅杂环烷烃前体至少部分地反应以形成可流动液体,其中所述可流动液体至少部分地填充所述表面特征的一部分。
23.根据权利要求22所述的方法,其中所述至少一种硅杂环烷烃前体选自1,3-二乙烯基-1,3-二硅杂环丁烷、1,3-二乙烯基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙烯基-1,3-二硅杂环丁烷、1,3,5-三乙烯基-1,3,5-三硅杂环己烷、1,3,5-三乙烯基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙烯基-1,3,5-三硅杂环己烷、1,3-二烯丙基-1,3-二硅杂环丁烷、1,3-二烯丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四烯丙基-1,3-二硅杂环丁烷、1,3,5-三烯丙基-1,3,5-三硅杂环己烷、1,3,5-三烯丙基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六烯丙基-1,3,5-三硅杂环己烷、1,3-二乙炔基-1,3-二硅杂环丁烷、1,3-二乙炔基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四乙炔基-1,3-二硅杂环丁烷、1,3,5-三乙炔基-1,3,5-三硅杂环己烷、1,3,5-三乙炔基-1,3,5-三甲基-1,3,5-三硅杂环己烷、1,1,3,3,5,5-六乙炔基-1,3,5-三硅杂环己烷、1,3-二环丙基-1,3-二硅杂环丁烷、1,3-二环丙基-1,3-二甲基-1,3-二硅杂环丁烷、1,1,3,3-四环丙基-1,3-二硅杂环丁烷及其组合。
24.根据权利要求22所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体是原位产生的。
25.根据权利要求22所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体是远程产生的。
26.根据权利要求22所述的方法,其中所述沉积工艺是等离子体增强化学气相沉积,并且所述等离子体包含双等离子体源,其中一个源是原位产生的,并且一个源是远程产生的。
27.根据权利要求22所述的方法,其中所述反应器在-20℃至100℃范围的一个或多个温度下。
28.根据权利要求22所述的方法,其中所述可流动液体包含至少一种低聚物。
29.一种通过根据权利要求1所述的方法产生的含硅膜。
30.一种通过根据权利要求22所述的方法产生的含硅膜。
CN201880071503.4A 2017-09-14 2018-09-11 用于沉积含硅膜的组合物和方法 Active CN111295465B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211551636.6A CN115992345A (zh) 2017-09-14 2018-09-11 用于沉积含硅膜的组合物和方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762558621P 2017-09-14 2017-09-14
US62/558,621 2017-09-14
PCT/US2018/050414 WO2019055393A1 (en) 2017-09-14 2018-09-11 COMPOSITIONS AND METHODS FOR DEPOSITION OF FILMS CONTAINING SILICON

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211551636.6A Division CN115992345A (zh) 2017-09-14 2018-09-11 用于沉积含硅膜的组合物和方法

Publications (2)

Publication Number Publication Date
CN111295465A CN111295465A (zh) 2020-06-16
CN111295465B true CN111295465B (zh) 2022-12-09

Family

ID=65723818

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880071503.4A Active CN111295465B (zh) 2017-09-14 2018-09-11 用于沉积含硅膜的组合物和方法
CN202211551636.6A Pending CN115992345A (zh) 2017-09-14 2018-09-11 用于沉积含硅膜的组合物和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202211551636.6A Pending CN115992345A (zh) 2017-09-14 2018-09-11 用于沉积含硅膜的组合物和方法

Country Status (9)

Country Link
US (2) US11851756B2 (zh)
EP (1) EP3682041B1 (zh)
JP (1) JP7025534B2 (zh)
KR (1) KR102434249B1 (zh)
CN (2) CN111295465B (zh)
IL (1) IL273146A (zh)
SG (1) SG11202002265PA (zh)
TW (1) TWI707979B (zh)
WO (1) WO2019055393A1 (zh)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN107429391B (zh) * 2014-10-24 2021-06-25 弗萨姆材料美国有限责任公司 组合物和使用所述组合物沉积含硅膜的方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US20180033614A1 (en) * 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112969816A (zh) * 2018-10-04 2021-06-15 弗萨姆材料美国有限责任公司 用于高质量氧化硅薄膜的高温原子层沉积的组合物
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN114174555A (zh) * 2019-07-25 2022-03-11 弗萨姆材料美国有限责任公司 用于沉积含硅膜的包含硅杂环烷烃的组合物及其使用方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
TW202111147A (zh) 2019-08-12 2021-03-16 美商應用材料股份有限公司 低k介電膜
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11371144B2 (en) * 2020-06-10 2022-06-28 Applied Materials, Inc. Low-k films
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3115573B2 (ja) * 1988-05-13 2000-12-11 サン―ゴバン ビトラージュ 板ガラスまたは板ガラス製品の光学的品質を決定する方法および該方法を実施する装置
WO2006109686A1 (ja) * 2005-04-08 2006-10-19 Taiyo Nippon Sanso Corporation 絶縁膜用材料およびその成膜方法
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
TW201730107A (zh) * 2015-12-04 2017-09-01 英特爾公司 具碳、氧及矽組成控制的以液態前驅物為基底之介電質

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4923716A (en) * 1988-09-26 1990-05-08 Hughes Aircraft Company Chemical vapor desposition of silicon carbide
US5011706A (en) * 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
US5190890A (en) * 1990-06-04 1993-03-02 Advanced Technology Materials, Inc. Wafer base for silicon carbide semiconductor devices, incorporating alloy substrates, and method of making the same
US5043773A (en) * 1990-06-04 1991-08-27 Advanced Technology Materials, Inc. Wafer base for silicon carbide semiconductor devices, incorporating alloy substrates
US5053255A (en) * 1990-07-13 1991-10-01 Olin Corporation Chemical vapor deposition (CVD) process for the thermally depositing silicon carbide films onto a substrate
US5061514A (en) * 1990-07-13 1991-10-29 Olin Corporation Chemical vapor deposition (CVD) process for plasma depositing silicon carbide films onto a substrate
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US6440876B1 (en) * 2000-10-10 2002-08-27 The Boc Group, Inc. Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP4280686B2 (ja) * 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7754906B2 (en) * 2005-10-07 2010-07-13 Air Products And Chemicals, Inc. Ti, Ta, Hf, Zr and related metal silicon amides for ALD/CVD of metal-silicon nitrides, oxides or oxynitrides
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
JP5317089B2 (ja) * 2008-01-23 2013-10-16 独立行政法人物質・材料研究機構 成膜方法および絶縁膜
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
WO2012039833A2 (en) * 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US8722546B2 (en) * 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
EP2935646A4 (en) * 2012-12-21 2016-10-12 Prasad Narhar Gadgil METHODS OF LOW-TEMPERATURE DEPOSITION OF CERAMIC THIN FILMS
US9343293B2 (en) * 2013-04-04 2016-05-17 Applied Materials, Inc. Flowable silicon—carbon—oxygen layers for semiconductor processing
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10023958B2 (en) * 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9685325B2 (en) * 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
WO2017048268A1 (en) * 2015-09-17 2017-03-23 Intel Corporation Gap filling material and process for semiconductor devices
CN108603287B (zh) * 2015-12-21 2021-11-02 弗萨姆材料美国有限责任公司 用于沉积含硅膜的组合物及使用其的方法
US20160314962A1 (en) * 2016-06-30 2016-10-27 American Air Liquide, Inc. Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3115573B2 (ja) * 1988-05-13 2000-12-11 サン―ゴバン ビトラージュ 板ガラスまたは板ガラス製品の光学的品質を決定する方法および該方法を実施する装置
WO2006109686A1 (ja) * 2005-04-08 2006-10-19 Taiyo Nippon Sanso Corporation 絶縁膜用材料およびその成膜方法
WO2017023693A1 (en) * 2015-07-31 2017-02-09 Air Products And Chemicals, Inc. Compositions and methods for depositing silicon nitride films
TW201730107A (zh) * 2015-12-04 2017-09-01 英特爾公司 具碳、氧及矽組成控制的以液態前驅物為基底之介電質
WO2017147150A1 (en) * 2016-02-26 2017-08-31 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film

Also Published As

Publication number Publication date
JP2020534688A (ja) 2020-11-26
EP3682041A1 (en) 2020-07-22
CN111295465A (zh) 2020-06-16
TWI707979B (zh) 2020-10-21
WO2019055393A1 (en) 2019-03-21
KR102434249B1 (ko) 2022-08-18
EP3682041B1 (en) 2022-04-20
IL273146A (en) 2020-04-30
JP7025534B2 (ja) 2022-02-24
US20240093366A1 (en) 2024-03-21
US11851756B2 (en) 2023-12-26
TW201920750A (zh) 2019-06-01
EP3682041A4 (en) 2021-08-04
US20200270749A1 (en) 2020-08-27
CN115992345A (zh) 2023-04-21
WO2019055393A8 (en) 2020-03-26
KR20200040932A (ko) 2020-04-20
SG11202002265PA (en) 2020-04-29

Similar Documents

Publication Publication Date Title
CN111295465B (zh) 用于沉积含硅膜的组合物和方法
CN113403604B (zh) 用于沉积氮化硅膜的组合物和方法
CN113025992B (zh) 组合物和使用所述组合物沉积含硅膜的方法
JP6018149B2 (ja) 窒化ケイ素膜被着方法
WO2020163359A1 (en) Deposition of carbon doped silicon oxide
CN113518834A (zh) 用于含硅膜的组合物及其使用方法
TWI776666B (zh) 含有矽雜環烷的組合物及使用其沉積含矽膜的方法
KR20210158414A (ko) 실리콘 함유 필름의 열적 증착을 위한 조성물 및 이의 사용 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant